TWI555872B - 塡充間隙用的二氧化矽前驅物 - Google Patents

塡充間隙用的二氧化矽前驅物 Download PDF

Info

Publication number
TWI555872B
TWI555872B TW103120333A TW103120333A TWI555872B TW I555872 B TWI555872 B TW I555872B TW 103120333 A TW103120333 A TW 103120333A TW 103120333 A TW103120333 A TW 103120333A TW I555872 B TWI555872 B TW I555872B
Authority
TW
Taiwan
Prior art keywords
group
integer
compound
branched
precursor
Prior art date
Application number
TW103120333A
Other languages
English (en)
Other versions
TW201435130A (zh
Inventor
威廉杭克斯
比洛德歐史帝芬M
蘇中因
漢迪克斯布萊恩C
羅伊德傑佛里
李衛民
Original Assignee
恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 恩特葛瑞斯股份有限公司 filed Critical 恩特葛瑞斯股份有限公司
Publication of TW201435130A publication Critical patent/TW201435130A/zh
Application granted granted Critical
Publication of TWI555872B publication Critical patent/TWI555872B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Description

填充間隙用的二氧化矽前驅物
本發明是關於微電子裝置的溝渠結構和其製造方法,及關於用來填滿高深寬比溝渠結構的二氧化矽前驅物,其中二氧化矽前驅物流動填充溝渠,並於低溫快速固化成實質無孔隙且密度實質均勻遍佈整個體積的二氧化矽。
隨著積體電路尺寸不斷縮小,高深寬比之窄溝渠需求應運而生。目前沉積二氧化矽至溝渠的製程採用化學氣相沉積。然當技術節點下探至65奈米(nm)以下時,越來越難沉積二氧化矽至窄溝渠,又不會在沉積材料中形成孔隙。
面對此困難,因高溫水解與縮合反應易使二氧化矽材料產物具有孔隙和裂痕,故期採行控制溼度環境或利用氧化劑共反應物的低溫流動填充(flow fill)法固化。孔隙、裂痕和其他缺陷將造成異質介電材料而惡化裝置性能。熱固化期間,縮聚之基質材 料的收縮宜小,以免產生裂縫。
傳統二氧化矽前驅物固化時會引起擴散限制氧化,因此均勻密實窄溝渠內的材料亦會引發問題。
一般乃利用高溫(600-1200℃)熱退火步驟形成二氧化矽(SiO2)。由於高溫與積體電路或記憶裝置的其他結構、材料和製程不相容,導致裝置性能因高溫退火而降低,故以低溫製程為佳。另外,高溫退火會提高製程的整體熱預算(thermal budget)。
此技藝用來形成氧化層於半導體且具上述問題的傳統前驅物包括以過氧化氫固化的矽烷或甲基矽烷、以臭氧或好氧電漿固化的聚矽氮烷、以水固化的四乙基正矽酸鹽、和以砒啶與水固化的六氯二矽烷(HCDS)。
儘管某些如三乙氧基矽烷之矽氧烷已做為二氧化矽前驅物,然此類前驅物在潮濕空氣中水解緩慢且pH呈中性時二氧化矽縮合的速率很慢。具可水解或不穩定官能基的前驅物會引起交聯作用,而產生密實的SiO2基質,其密度較高、機械強度較大、且化學機械研磨(CMP)性質與氫氟酸(HF)抗蝕性較佳。雖然水蒸氣退火能有效密實部分氧化膜,其中電晶體已於沉積隔絕材料前形成其上,但水退火會引起電晶體周圍氧化,以致性能大幅降低。
填充記憶裝置溝渠的一可用組成為以臭氧熱固化的四乙基正矽酸鹽(TEOS),其可得到相當共形的 膜層。然TEOS/臭氧製程本質上仍有不足,即當生成組成填滿溝渠時,溝渠側壁上的生成物必然會互相接合而留下裂縫(seam)。裂縫通常是接觸後續裝置處理之清潔試劑時,較快被移除的脆弱區域。
使用低溫下可流動填充及快速水解的前驅物在形成表層前迅速縮聚成密實的SiO2於溝渠內,著實為此技藝的一大進展挑戰。
填充溝渠的另一課題與水蒸氣退火有關。
本發明是關於微電子裝置溝渠結構和其製造方法、及適用於形成二氧化矽而填滿高深寬比溝渠結構的二氧化矽前驅物,其中前驅物流動填充於溝渠中,並於低溫快速固化成實質無孔隙且密度實質均勻遍佈整個體積的二氧化矽。
在一態樣中,本發明是關於一種完全填充之溝渠結構,包含一內含高深寬比溝渠的微電子裝置基材和填滿溝渠的二氧化矽團塊,二氧化矽具有實質無孔隙之特性和實質均勻遍佈整個團塊的密度。
在另一態樣中,本發明是關於一種製造半導體產品的方法,包括提供一具溝渠於其中的微電子裝置基材;使用二氧化矽前驅物組成完全填充溝渠;以及處理二氧化矽前驅物組成而形成填滿溝渠的二氧化矽團塊,其中二氧化矽前驅物組成包含前驅物 矽化合物,選自以下構成之群組:(i)化學式為(R1R2N)4-xSiRx的胺基矽烷(aminosilanes),其中x為整數0-3,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(ii)化學式為(RO)4-xSiR1 x的烷氧基矽烷(alkoxysilanes),其中x為整數0-3,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(iii)化學式為(RO)3-xR1 xSi-Si(OR)3-xR1 x的烷氧基二矽烷(alkoxydisilanes),其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(iv)化學式為(R1R2N)3-xRxSi-Si(NR1R2)3-xRx的胺基二矽烷(aminodisilanes),其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(v)化學式為(R1R2N)3-xRxSi-O-Si(NR1R2)3-xRx的胺基二矽氧烷(aminodisiloxanes),其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(vi)化學式為(RO)3-xR1 xSi-O-Si(OR)3-xR1 x的烷氧基二矽氧烷(alkoxydisiloxanes),其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷 基、C3-C8環烷基和C6-C13芳香基;(vii)化學式為(R1R2N)3-xRxSi-NH-Si(NR1R2)3-xRx的胺基二矽氮烷(aminodisilazanes),其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(viii)化學式為(RO)3-xR1 xSi-NH-Si(OR)3-xR1 x的烷氧基二矽氮烷(alkoxydisilazanes),其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(ix)氯基胺基矽烷(chloroaminosilanes),其化學式為Cl4-xSi(NR1R2)x,其中x為整數0-3、(R1R2N)3-xClxSi-Si(NR1R2)3-xClx,其中x為整數1或2、(R1R2N)3-xClxSi-O-Si(NR1R2)3-xClx,其中x為整數1或2、和(R1R2N)3-xClxSi-NH-Si(NR1R2)3-xClx,其中x為整數1或2,且R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(x)環矽氧烷(cyclosiloxanes)和環矽氮烷(cyclosilazanes),其化學式為:
其中n為整數0-4,R1、R2分別選自氫基、支鏈 與直鏈之C1-C6烷基、C3-C8環烷基、C6-C13芳香基、C1-C6二烷胺基和C1-C6烷氧基;(xi)線性聚矽氧烷和聚矽氮烷;(xii)矽化合物,其化學通式為R4-xSiLx,其中x為整數1-3、和L3-xRxSi-SiL3-xRx,其中x為整數0-2,而L選自異氰酸基(NCO)、甲基乙基酮肟(R1R2C=N-O-)、三氟乙酸基(CF3OCO)、三氟甲磺酸基(CF3SO3)、醯氧基(ROCO)、β-二酮(R1COCHCOR2)、β-二酮亞胺(R1CNR2CHCOR3)、β-二亞胺(R1CNR2CHCNR2R3)、脒(RC(NR1)2)、胍{(R1R2N)C(NR3)2}、烷胺基(NR1R2)、氫化物、烷氧基(RO)和甲酸基(HCOO),R、R1、R2、R3分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(xiii)環氧乙烷基矽烷(oxiranylsilanes),其化學式為:
其中x為整數0-3,n為整數0-3,R1、R2、R3分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基、C6-C13芳香基、C1-C6烷胺基和C1-C6烷氧基;(xiv)含乙酸乙酯基之矽前驅物,其化學式為(ROCOCH2CH2)xSi(OR1)4-x,其中x為整數1-4,R、 R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(xv)(tBuHN)2(H2N)Si-Si(NH2)(NHtBu)2,其中tBu為第三丁基;以及(xvi)上述化合物(i)-(xv)之預聚物的部分水解產物。
在又一態樣中,本發明是關於一種沉積二氧化矽至基材上的方法,包含使基材接觸前驅物矽化合物蒸氣,該前驅物矽化合物選自以下構成之群組:(i)化學式為(R1R2N)4-xSiRx的胺基矽烷,其中x為整數0-3,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(ii)化學式為(RO)4-xSiR1 x的烷氧基矽烷,其中x為整數0-3,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(iii)化學式為(RO)3-xR1 xSi-Si(OR)3-xR1 x的烷氧基二矽烷,其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(iv)化學式為(R1R2N)3-xRxSi-Si(NR1R2)3-xRx的胺基二矽烷,其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(v)化學式為(R1R2N)3-xRxSi-O-Si(NR1R2)3-xRx的 胺基二矽氧烷,其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(vi)化學式為(RO)3-xR1 xSi-O-Si(OR)3-xR1 x的烷氧基二矽氧烷,其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(vii)化學式為(R1R2N)3-xRxSi-NH-Si(NR1R2)3-xRx的胺基二矽氮烷,其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(viii)化學式為(RO)3-xR1 xSi-NH-Si(OR)3-xR1 x的烷氧基二矽氮烷,其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(ix)氯基胺基矽烷,其化學式為Cl4-xSi(NR1R2)x,其中x為整數0-3、(R1R2N)3-xClxSi-Si(NR1R2)3-xClx,其中x為整數1或2、(R1R2N)3-xClxSi-O-Si(NR1R2)3-xClx,其中x為整數1或2、和(R1R2N)3-xClxSi-NH-Si(NR1R2)3-xClx,其中x為整數1或2,且R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基; (x)環矽氧烷和環矽氮烷,其化學式為:
其中n為整數0-4,R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基、C6-C13芳香基、C1-C6二烷胺基和C1-C6烷氧基;(xi)線性聚矽氧烷和聚矽氮烷;(xii)矽化合物,其化學通式為R4-xSiLx,其中x為整數1-3、和L3-xRxSi-SiL3-xRx,其中x為整數0-2,而L選自異氰酸基(NCO)、甲基乙基酮肟(R1R2C=N-O-)、三氟乙酸基(CF3OCO)、三氟甲磺酸基(CF3SO3)、醯氧基(ROCO)、β-二酮(R1COCHCOR2)、β-二酮亞胺(R1CNR2CHCOR3)、β-二亞胺(R1CNR2CHCNR2R3)、脒(RC(NR1)2)、胍{(R1R2N)C(NR3)2}、烷胺基(NR1R2)、氫化物、烷氧基(RO)和甲酸基(HCOO),R、R1、R2、R3分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(xiii)環氧乙烷基矽烷,其化學式為:
其中x為整數0-3,n為整數0-3,R1、R2、R3 分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基、C6-C13芳香基、C1-C6烷胺基和C1-C6烷氧基;(xiv)含乙酸乙酯基之矽前驅物,其化學式為(ROCOCH2CH2)xSi(OR1)4-x,其中x為整數1-4,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(xv)(tBuHN)2(H2N)Si-Si(NH2)(NHtBu)2,其中tBu為第三丁基;以及(xvi)上述化合物(i)-(xv)之預聚物的部分水解產物。
本發明之另一態樣是關於一種形成氧化物材料以填充基材之溝渠結構的方法,方法包含沉積氧化物前驅材料至基材上而填充溝渠結構,其中氧化物前驅材料包含鍺和矽。
本發明之又一態樣是關於一種微電子裝置結構,包含具溝渠結構形成其內之基材,其中溝渠結構填入鍺/矽(Ge/Si)氧化物組成。
在另一態樣中,本發明是關於一種形成氧化物填充材料於溝渠之方法,包含使用一包括抑制劑之前驅物組成來沉積氧化物填充材料,以抑制裂縫形成。
本發明之又一態樣是關於一種使用可氧化成二氧化矽的矽材料,來抑制裂縫不當影響填充基材之溝渠結構的方法,該方法包含混入鍺前驅物到矽材 料中。
本發明之再一態樣是關於一種微電子裝置結構,包括至少部分填入氧化鍺/二氧化矽(GeO2/SiO2)混合物的溝渠。
本發明之另一態樣是關於一種施行四乙基正矽酸鹽/臭氧(TEOS/O3)製程來填充基材中之溝渠結構的方法,包含加入羥基官能基化合物到TEOS中。
本發明之又一態樣是關於一種填充基材中之溝渠的方法,包含進行TEOS/臭氧製程並加入醛類之TEOS,以形成氧化矽材料於溝渠內。
本發明之再一態樣是關於一種填充基材之溝渠的方法,包含進行TEOS/臭氧製程來形成氧化矽材料於溝渠內,其中TEOS沉積於基材中的組成包含乙二醇(ethylene glycol)和異丙醇(isopropyl alcohol)。
本發明之其他態樣、特徵和實施例在參閱說明書和所附申請專利範圍後,將變得更清楚易懂。
10‧‧‧溝渠結構
12‧‧‧基材
14、16‧‧‧表面
18‧‧‧溝渠
20‧‧‧壁面
22‧‧‧底面
24‧‧‧二氧化矽
50‧‧‧裝置結構
52‧‧‧基材
54‧‧‧側壁
55‧‧‧底面
56‧‧‧材料
58‧‧‧溝渠
60‧‧‧氧化物
第1圖為高深寬比溝渠結構的示意圖,其中溝渠含有由本發明之前驅物形成的二氧化矽,其在低溫條件下固化成實質無孔隙且密度實質均勻遍佈整個體積的二氧化矽。
第2及3圖為微電子裝置結構的示意圖,其中沉積溝渠填充材料包括加入抑制劑於沉積之填充材 料中,第3圖繪示抑制劑對溝渠內之氧化物材料生長的影響。
本發明是關於各種溝渠結構、前驅物和用來形成溝渠結構的製程。
在此,“低溫”是指低於400℃。
本發明之前驅材料相關的“流動填充”是指引進高深寬比溝渠的材料在溝渠內為自行推平(self-leveling),而形成接觸溝渠壁面和底面的材料塊體。
微電子裝置基材之溝渠相關的“高深寬比”是指溝渠的深度:寬度比至少為3:1。在一較佳實施例中,溝渠的深度:寬度比為3:1至10:1。在另一較佳實施例中,溝渠的深度:寬度比至少為5:1。
在此及後附申請專利範圍提及之單數格式“一”、“和”、“該”等包括複數意涵,除非內文另特別指明。
在此提出之碳數範圍,例如C1-C6烷基或C1-C12烷基,包括此範圍內的各碳數部分,是以涵蓋此範圍內介於中間值的每一碳數和任何碳數範圍;更應理解此特定碳數範圍內的子範圍可個別包含較小的碳數範圍,此亦落在本發明之保護範圍內,且本發明尚包括特別排除某一或多個碳數的碳數範圍,故 排除特定碳數範圍之上限及/或下限的子範圍亦不脫離本發明。因此,C1-C12烷基意為包括甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、壬基、癸基、十一烷基和十二烷基,包括其直鏈與支鏈形式。當可理解廣泛應用於取代基部分的碳數範圍,如C1-C12,在本發明之特定實施例中可進一步限制成落在特定之較寬取代基部分範圍內的子範圍。舉例來說,如C1-C12烷基之碳數範圍在本發明之特定實施例將進一步限制成涵蓋如C1-C4烷基、C2-C8烷基、C2-C4烷基、C3-C5烷基、或其他適合子界的子範圍。應理解特定範圍內的任何碳數皆可當作特殊取代基碳原子數的下限或上限,故在此等同視為已提出各種子界排列。
同樣地,雖然本發明以特定排列之特定特徵結構、態樣、例子和實施例說明主題,但應理解這些特徵結構、態樣、例子及/或實施例在不脫離本發明之精神和範圍內,彼此當可選擇性聚集、交換、組合和再次組合。
根據本發明之適用於填滿高深寬比溝渠結構的二氧化矽前驅物可流動填入高深寬比溝渠結構內,並於低溫快速固化成實質無孔隙與裂痕且密度實質均勻遍佈整個體積的二氧化矽。
廣泛應用於本發明之前驅物一般包括下列前驅物矽化合物,但不以此為限: (i)化學式為(R1R2N)4-xSiRx的胺基矽烷,其中x為整數0-3,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(ii)化學式為(RO)4-xSiR1 x的烷氧基矽烷,其中x為整數0-3,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(iii)化學式為(RO)3-xR1 xSi-Si(OR)3-xR1 x的烷氧基二矽烷,其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(iv)化學式為(R1R2N)3-xRxSi-Si(NR1R2)3-xRx的胺基二矽烷,其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(v)化學式為(R1R2N)3-xRxSi-O-Si(NR1R2)3-xRx的胺基二矽氧烷,其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(vi)化學式為(RO)3-xR1 xSi-O-Si(OR)3-xR1 x的烷氧基二矽氧烷,其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(vii)化學式為(R1R2N)3-xRxSi-NH-Si(NR1R2)3-xRx的胺基二矽氮 烷,其中x為整數0-2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(viii)化學式為(RO)3-xR1 xSi-NH-Si(OR)3-xR1 x的烷氧基二矽氮烷,其中x為整數0-2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(ix)氯基胺基矽烷,其化學式為Cl4-xSi(NR1R2)x,其中x為整數0-3、(R1R2N)3-xClxSi-Si(NR1R2)3-xClx,其中x為整數1或2、(R1R2N)3-xClxSi-O-Si(NR1R2)3-xClx,其中x為整數1或2、和(R1R2N)3-xClxSi-NH-Si(NR1R2)3-xClx,其中x為整數1或2,而R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(x)環矽氧烷和環矽氮烷,其化學式為:
其中n為整數0-4,R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基、C6-C13芳香基、C1-C6二烷胺基和C1-C6烷氧基;(xi)線性聚矽氧烷和聚矽氮烷;(xii)矽化合物,其化學通式為R4-xSiLx,其中x 為整數1-3、和L3-xRxSi-SiL3-xRx,其中x為整數0-2,而L選自異氰酸基(NCO)、甲基乙基酮肟(R1R2C=N-O-)、三氟乙酸基(CF3OCO)、三氟甲磺酸基(CF3SO3)、醯氧基(ROCO)、β-二酮(R1COCHCOR2)、β-二酮亞胺(R1CNR2CHCOR3)、β-二亞胺(R1CNR2CHCNR2R3)、脒(RC(NR1)2)、胍{(R1R2N)C(NR3)2}、烷胺基(NR1R2)、氫化物、烷氧基(RO)和甲酸基(HCOO),R、R1、R2、R3分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(xiii)環氧乙烷基矽烷,其化學式為:
其中x為整數0-3,n為整數0-3,R1、R2、R3分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基、C6-C13芳香基、C1-C6烷胺基和C1-C6烷氧基;(xiv)含乙酸乙酯基之矽前驅物,其化學式為(ROCOCH2CH2)xSi(OR1)4-x,其中x為整數1-4,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(xv)(tBuHN)2(H2N)Si-Si(NH2)(NHtBu)2,其中tBu為第三丁基;以及(xvi)上述化合物(i)-(xv)之預聚物的部分水解產 物。
熟諳此技藝者能輕易合成上述前驅物化合物做為本發明之前驅物組成和應用。
在本發明之一特定態樣中,前驅物組成包括胺基矽烷,其接觸水氣、水和質子溶劑後會快速水解。氨、胺類和二矽烷用來催化縮合反應以固化前驅物組成。前驅物組成也可包括產生快速熱分解之矽自由基或配位基(如經由CO2之脫羧作用產生之甲酸基)的前驅物。
在本發明之另一特定態樣中,前驅物組成包括以下化學式:(RO)4-xSiHx,其中x為整數0-3、H4-xSi(NR2)x,其中x為整數1-4、H4-xSi(NHR)x,其中x為整數1-4、(RO)3-xHxSi-Si(OR)3-xHx,其中x為整數0-2、(NR1R2)3-xHxSi-Si(NR1R2)3-xHx,其中x為整數0-2,而R、R1、R2分別選自氫基、和支鏈與直鏈之C1-C6烷基。
在本發明之又一特定態樣中,前驅物組成包含矽前驅物,其中矽原子與包括異氰酸基(isocyanato)、甲基乙基酮肟(methylethylketoxime)、三氟乙酸基(trifluoroacetate)、三氟磺酸(triflate,F3SO3H)、烷胺基(alkylamines)、氫化物(hydrides)、烷氧基(alkoxides)、二矽烷(disilanes)和甲酸基(formato)的至少其中一個配位基配位。形成之Si(OH)4溶膠可以不同技術進行縮合,例如包括流入 氨至前驅物水溶液、添加諸如四氯化矽(silicon tetrachloride)之共反應物(如0.05-5%的SiCl4)至烷氧基矽烷(如四乙基正矽酸鹽(tetraethylorthosilicate,TEOS)、二丁氧基二乙醯氧基矽烷(dibutoxydiacetoxysilane,DBDAS)、(EtO)3SiH等),以產生少量的酸催化物質、或者添加矽烷(Si-H)或二矽烷(Si-Si)前驅物,例如六乙基胺基二矽烷(hexaethylaminodisilane,HEADS)。
在本發明之再一態樣中,另一催化縮合反應之方式涉及混合少量的氯基胺基矽烷(如0.05-5%的Cl4-xSi(NR2)x,其中x為整數0-3)至對應的胺基矽烷(如H4-xSi(NR2)x,其中x為整數1-3)。
同樣地,可按二氧化矽前驅物和氯化合物之重量,將將氯代矽烷(chloro-substituted)與氯代二矽烷(chloro-substituted disilanes)混合物以一定量加到烷氧基矽烷前驅物中,例如0.05-5重量%,以產生縮合反應的有效催化物質。
本發明之一較佳胺基矽烷前驅物為六乙基胺基二矽烷(HEADS),其在存有水或水氣的環境中會快速縮聚成SiO2。其他較佳的烷基胺基矽烷包括(tBuHN)2(H2N)Si-Si(NH2)(NHtBu)2和Si(NMe2)4
本發明之較佳二氧化矽前驅物包括下述前驅物(1)-(12)。
其中化學式(2)的OAc代表乙醯氧基(CH3C(O)O-),化學式(3)和(4)的Me為甲基,化學式(1)、(6)、(7)和(11)的Et為乙基,化學式(2)和(5)的tBu為第三丁基,化學式(8)之OR基的R則代表支鏈或直鏈之C1-C6烷基。
為有效進行處理,利用流動填充技術來間隙填充溝渠的製程應於10分鐘內完成,最好不超過5分鐘,例如3-5分鐘。
二氧化矽前驅物可利用完全填充技術沉積至溝渠凹洞內,例如化學氣相沉積、原子層沉積、或其他氣相接觸基材與前驅物之技術,其乃在低溫下進行(<400℃),較佳低於350℃,促使二氧化矽前驅物進入及填充溝渠。填滿溝渠的前驅物組成暴露於水或水氣中會水解,隨後進行縮合反應形成二氧化矽材料。
衍生自本發明前驅物的二氧化矽材料接著最好經後固化處理,藉以有效密實二氧化矽。溝渠內的二氧化矽材料量足以構成接觸溝渠壁面與底面的團 塊,而於溝渠內形成同質且實質無孔隙的連續團塊。
任一適合之後固化處理方法可用於溝渠內的二氧化矽材料,以密實由前驅物水解及縮合反應形成的二氧化矽材料。本發明特定實施例採用的較佳特殊後固化處理技術包括將流動填充之材料暴露於氧氣、紫外線照射、及/或低溫加熱,但不以此為限。
第1圖為高深寬比溝渠結構的示意圖,包括二氧化矽填滿溝渠,且二氧化矽是由本發明之前驅物形成。
如第1圖所示,高深寬比溝渠結構10包括具上表面14與下表面16的微電子裝置基材12。基材12包括由側壁壁面20與底面22界定的溝渠18。此結構的溝渠凹洞填滿大量的二氧化矽24,其接觸壁面20與底面22、又實質無孔隙且密度實質均勻遍佈整個體積。
在一較佳實施例中,二氧化矽於溝渠內實質無孔隙之特性可利用測孔儀(porosimetry)、滲透性標準(permeability criteria)、SEM切片、或其他描繪形貌特性之傳統技術證實,以判斷是否存有孔隙。
本發明之上述前驅物和方法能以二氧化矽有效填滿溝渠結構,其中二氧化矽可在低溫條件下固化成實質無孔隙且密度實質均勻遍佈整個體積的二氧化矽。
本發明之另一態樣是關於Ge/Si氧化物組成做 為間隙填充之用,例如填充記憶裝置溝渠。氧化鍺(GeO2)的黏度遠小於二氧化矽(SiO2)。在此態樣中,本發明涵蓋使用氧化矽鍺(SixGe1-xO2)造成足夠的原子重排來癒合因溝渠側壁上之生成物接合而形成的裂縫,進而消除間隙內的不均勻張力。如此在接觸後續裝置結構處理之清潔試劑時,可得到均一的移除速率。
就用於間隙填充的SixGe1-xO2而言,膜層所含的鍺與矽比例較佳為0.005-0.25(化學式SixGe1-xO2的x為0.75-0.995),並適當降低鍺含量以獲得可接受的介電性質。
就Ge/Si氧化物組成而言,流量只需能填充裂縫及消除不均勻張力即可,且其固化操作可承受高溫。這些特性可將鍺的添加量減至最少,故能最佳化其他膜層性質。為達此目的,較佳之鍺前驅物選自烷氧基鍺烷(germanium alkoxides):R4-xGe(OR1)x、R4-xGe(NR1R2)x和R4-xGeHx,其中x=0-4,R、R1、R2分別選自支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基。
較佳實施例使用四烷氧基鍺烷(tetra-alkoxygermanes)、Ge(OMe)4(TMOG)或Ge(OEt)4(TEOG)。
四乙基正矽酸鹽/臭氧(TEOS/O3)製程採用烷氧基鍺烷的好處在於,可實質改善膜層的平滑度。由 於裂縫寬度可能與側壁上之生成物接合產生的表面粗糙度有關,故此因素對間隙填充尤其重要。
本發明之另一態樣是關於使用抑制劑從下往上填充間隙。本發明之此態樣善用溝渠底部生長速率比上部快之沉積製程來縮短裂縫長度,甚至消除裂縫。因此本發明涵蓋使用“抑制劑”化學物質來相對溝渠底部抑制溝渠外部之沉積。由於傳遞至溝渠特徵結構內的速率比傳遞至晶圓表面的速率慢,故可降低溝渠內的抑制劑濃度。為了在溝渠內形成低濃度,需消耗一些抑制劑物質,例如藉由臭氧媒介氧化以致在氣相中或表面上分解或反應。
就四乙基正矽酸鹽(TEOS)/臭氧製程而言,添加甲醇可平坦化沉積輪廓。因TEOS/O3反應產物積聚會抑制生長,故將減慢沉積至深寬比很高之結構的速率。TEOS/O3反應產物包括乙醛及/或適量的環氧乙烷,活性物質包括具羰基官能基的碳氫化合物,其為醇與臭氧氧化的產物。
更廣泛地說,許多碳氫化合物可當作抑制劑來修改溝渠內的沉積膜輪廓。其包括具一或多個羰基或一或多個羥基的碳氫化合物。
在此,“抑制劑”為伴隨前驅物引至基材上時將減慢生長速率的物質。
第2圖為微電子裝置結構50的示意圖,其中基材52包括由側壁54和底面55界定的溝渠58。
第2圖顯示抑制劑置於含有前驅物的沉積材料56中,第3圖繪示氧化物60形成在裝置結構50的主面和溝渠58內。因抑制劑傳遞至溝渠內的速率較慢、加上抑制劑消耗將使得溝渠底部的抑制劑濃度降低,是以氧化物生長速率較快。隨著溝渠封閉,此作用越強。
理想的抑制劑具有低氣體擴散速率和高分子量,且能有效抑制膜層生長。抑制劑消耗量與沉積系統的質傳程度有關。理想上,抑制劑被臭氧氧化並分解及與四乙基正矽酸鹽反應。藉著控制表面反應,可控制TEOS/O3製程的氧化物生長速率。特殊應用的較佳抑制劑包括醇類和醇氧化產物。
使用氧化鍺/二氧化矽(GeO2/SiO2)混合物來間隙填充溝渠乃善用GeO2/SiO2黏度實質較低之優勢(尤其是在退火條件下)。若GeO2/SiO2混合物含有少量GeO2/SiO2,則因GeO2/SiO2黏度實質較低而可實質釋放張力;若含有適量GeO2/SiO2,則其有十足的流動性來癒合溝渠填充材料內的窄裂縫結構。烷氧基鍺烷可用於適合填充溝渠的GeO2/SiO2混合物。相較於只含SiO2,含鍺之GeO2/SiO2混合物在高溫下有更佳的流動性、更少的水氣含量、更低的膜層粗糙度、和相當均一的化學反應性。鍺含量宜適當限制,以免接觸到如氟化氫之蝕刻劑時造成過度蝕刻及避免固化之填充材料產生洩漏和強度崩潰等問 題。另外,退火操作期限制或甚至避開氫環境,以免GeO2/SiO2還原成GeO。
以下反應涉及溶膠-凝膠溶液形成氧化物填充材料的化學作用,包括TEOS的水解反應: 和矽醇基(silanol)的(聚)縮合反應:
在此反應過程中,每當形成一矽醇基架橋,即耗去一水分子。凝膠結構與TEOS與水的反應劑濃度和沉積填充材料的pH有關。在酸性溶液中,傾向完全水解而產生較少的交聯鏈,且有時會引起再酯化(reesterification)(反向水解)反應。在鹼性溶液中,會形成較多交聯的結構,且促進水解及阻礙縮合的水濃度較高,故氧化物材料的交聯密度較高。
就酸和鹼催化水解反應而言,酸催化水解反應 按以下包含H3O+之親電性機制進行:
鹼催化水解反應按以下親核性反應機制進行:
相對於烷氧基,矽醇基形成將降低矽原子的電子密度,故在鹼催化系統中,傾向攻擊具較多矽醇基的矽中心,而在酸催化系統中,傾向攻擊具較多烷氧基的矽中心。因此在酸性溶液中傾向形成長鏈,在鹼性溶液中則傾向進行交聯。
就用來沉積氧化膜材料的TEOS/臭氧系統而言,TEOS/臭氧反應產物或烷氧配位基之氧化產物列於表1。
就TEOS/臭氧製程而言,添加醇類會增強流動特性,特別是添加甲醇。在醇氧化反應中,一級醇經二次氧化後會產生一當量的水和一當量的羧酸。
熟諳此技藝者可依據本文憑經驗挑選任一適合醇類加入TEOS/臭氧製程。本發明之特定實施例可採用諸如甲醇等醇類、甲酸、乙酸和丙酸。更廣泛地說,具羥基官能基的化合物可用於TEOS/O3製程,以加強溝渠填充特性。
在一特定實施例中,具羥基官能基的物質包括二元醇(如乙二醇(ethylene glycol))和鹵化醇(如2,2,2-三氯乙醇(2,2,2-tricholoroethanol))。
二元醇經過以下反應會形成二羧酸:
多元醇產生的酸比一級醇產生的酸強。鹵化醇氧化後將產生更強的羧酸(如以下反應所示)。三氯乙酸(trichloracetic acid)的pKa為0.77。
故本發明使用鍺與氧化矽混合物及利用含醇或其他抑制劑物質來防止或減少填充過程形成裂縫,進而改善溝渠結構的間隙填充結果。
本發明克服了進行TEOS/臭氧製程填充溝渠結構(尤其是高深寬比結構)產生裂縫的相關問題。
更廣泛地說,在此已相當了解利用烷氧化矽前驅物於水溶液中合成二氧化矽的化學作用。在溶膠-凝膠製程中,TEOS可以鹼或酸催化水解產生矽醇基和二當量的乙醇。在後續反應步驟中,二矽醇基縮合成矽氧烷架橋並釋出一當量的水。縮合後,通常仍留有一些矽醇基與未反應的烷氧基和反應產物。如此得到半固態混合物,其稱為凝膠。若欲得到 SiO2,則接著加熱凝膠以移除副產物及進一步縮合(鍛燒(calcinations))。最終結構的鍵角和缺陷取決於溶液的pH、水與TEOS濃度、和鍛燒條件。
TEOS與溶液的水解和縮合在酸催化溶液中是按親電性反應機制進行,此與鹼催化溶液的親核性反應相反。因此,水解較少之矽中心上的烷氧基比水解較多之矽中心更不穩定進行縮合。是以傾向形成鏈結構。反之,鹼催化將使已水解之矽中心更易進行縮合。故在縮合前會產生水解更完全的物質,以致交聯程度較高。
水解會消耗水,縮合則會釋出水。水濃度越高,越能促進水解及阻礙縮合,故交聯密度越高。
在TEOS/臭氧製程中,產生矽醇後、接著使矽醇基縮合成矽氧烷架橋尚會形成膜層。烷氧基也會氧化(產生乙醛、甲醛、CO、CO2和矽醇基)。TEOS/臭氧製程存有水與酸可引起水解反應而形成SiO2膜。
加入TEOS/臭氧製程的醇若氧化將產生羧酸。由較小之一級醇產生的酸的pKa較低,故能更有效地催化水解。
在臭氧環境中氧化的物質可用來控制TEOS/臭氧製程的化學反應。例如,醇經二次氧化後會產生水和羧酸(例如,乙醇經二次氧化後產生乙酸)。添加中間物(乙醛)則會產生酸而不產生水,藉此可控制酸 /水的比例。
此法優於直接添加水和酸。因醇/醛或其他有機物可與烷氧化物形成穩定的混合物,而酸及/或水與烷氧化物之混合物會反應過久。液態混合物能更精確地控制反應劑比例,並且容許同步產生不夠穩定供有效輸送的物質。使用醛時不會加入水,因此需要縮合反應產生的水來進一步水解。另一優點為具有避免生成較不安全且較不穩定之烷氧化物的彈性。例如,TEOS比四甲氧基矽烷(tetramethoxysilane,TMOS)佳,因TMOS毒性較大又更易反應成大氣污染物。但TMOS有較佳的流動性。倘若重要差異在於存有TMOS分解產生的甲酸,則使用TEOS與甲醇也可得到類似的結果。
越強的酸越容易催化水解。這些強酸可由乙二醇或鹵化醇(如三氯乙醇(trichloroethanol)或三氟乙醇(trifluoroethanol))形成。乙二醇氧化後會產生草酸(oxalic acid)(pKa=1.23),三氯乙醇和三氟乙醇氧化後會產生三氯乙酸(trichloroacetic acid)和三氟乙酸(trifluoroacetic acid)(pKa分別為0.77和0.30)。
就採用單一溶液之方法而言,加入TEOS/臭氧製程的添加劑必須可溶於烷氧化物。在一些例子中,需加入共溶劑才能形成溶液。例如,TEOS和乙二醇的互溶性有限,但添加異丙醇(isopropyl alchohol,IPA)後可形成溶液。
故加入所述之添加劑可實質提高TEOS/臭氧製程的效率。
從以下非限制用之間隙填充製程實施例可更清楚了解本發明之特徵和優點。
間隙填充製程實施例
沉積的施行是使用應用材料公司的P5000反應器進行TEOS/臭氧製程。藉由SEM切片及以200:1之H2O:HF染色測量截面的孔隙面積,可知孔隙比例。染色時間為選擇讓同一回沉積之毯覆膜證物(blanket film witness pieces)被移除5nm之SiO2。實施例1、2、3的結果列於以下列表。
實施例1
實施例2
實施例3
雖然本發明已以特定態樣、特徵結構和實施例揭露如上,但應理解其並非用以限定本發明,一般熟習此技藝者可依據本文進行各種更動、潤飾與替代,本發明當涵蓋這些實施例。因此在不脫離本發明之精神和範圍內,本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10‧‧‧溝渠結構
12‧‧‧基材
14、16‧‧‧表面
18‧‧‧溝渠
20‧‧‧壁面
22‧‧‧底面
24‧‧‧二氧化矽

Claims (19)

  1. 一種沉積二氧化矽至一基材上的方法,包含使一基材接觸一前驅物矽化合物之一蒸氣,該前驅物矽化合物選自以下構成之一群組:(i)化學式為(R1R2N)4-xSiRx的胺基矽烷,其中x為整數0至3,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(ii)化學式為(RO)4-xSiR1 x的烷氧基矽烷,其中x為整數0至3,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(iii)化學式為(RO)3-xR1 xSi-Si(OR)3-xR1 x的烷氧基二矽烷,其中x為整數0至2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(iv)化學式為(R1R2N)3-xRxSi-Si(NR1R2)3-xRx的胺基二矽烷,其中x為整數0至2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(v)化學式為(R1R2N)3-xRxSi-O-Si(NR1R2)3-xRx的胺基二矽氧烷,其中x為整數0至2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(vi)化學式為(RO)3-xR1 xSi-O-Si(OR)3-xR1 x的烷氧基二矽氧烷,其中x為整數0至2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(vii)化學式為(R1R2N)3-xRxSi-NH-Si(NR1R2)3-xRx的胺 基二矽氮烷,其中x為整數0至2,R、R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(viii)化學式為(RO)3-xR1 xSi-NH-Si(OR)3-xR1 x的烷氧基二矽氮烷,其中x為整數0至2,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(ix)氯基胺基矽烷,化學式為Cl4-xSi(NR1R2)x,其中x為整數0至3、(R1R2N)3-xClxSi-Si(NR1R2)3-xClx,其中x為整數1或2、(R1R2N)3-xClxSi-O-Si(NR1R2)3-xClx,其中x為整數1或2、和(R1R2N)3-xClxSi-NH-Si(NR1R2)3-xClx,其中x為整數1或2,且R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(x)環矽氧烷和環矽氮烷,化學式為: 其中n為整數0至4,R1、R2分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基、C6-C13芳香基、C1-C6二烷胺基和C1-C6烷氧基(alkoxide);(xi)線性聚矽氧烷和聚矽氮烷;(xii)矽化合物,化學通式為R4-xSiLx,其中x為整數1至3、和L3-xRxSi-SiL3-xRx,其中x為整數0至2,而L選自異氰酸基(NCO)、甲基乙基酮肟(R1R2C=N-O-)、三氟乙 酸基(CF3OCO)、三氟甲磺酸基(CF3SO3)、醯氧基(ROCO)、β-二酮(R1COCHCOR2)、β-二酮亞胺(R1CNR2CHCOR3)、β-二亞胺(R1CNR2CHCNR2R3)、脒(RC(NR1)2)、胍{(R1R2N)C(NR3)2}、烷胺基(NR1R2)、氫化物、烷氧基(RO)和甲酸基(HCOO),R、R1、R2、R3分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(xiii)環氧乙烷基矽烷,化學式為: 其中x為整數0至3,n為整數0至3,R1、R2、R3分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基、C6-C13芳香基、C1-C6烷胺基和C1-C6烷氧基;(xiv)含乙酸乙酯基之矽前驅物,化學式為(ROCOCH2CH2)xSi(OR1)4-x,其中x為整數1至4,R、R1分別選自氫基、支鏈與直鏈之C1-C6烷基、C3-C8環烷基和C6-C13芳香基;(xv)(tBuHN)2(H2N)Si-Si(NH2)(NHtBu)2,其中tBu為第三丁基;以及(xvi)上述化合物(i)-(xv)之預聚物的部分水解產物;以及更包括使該基材接觸一前驅物鍺化合物之一蒸氣。
  2. 如申請專利範圍第1項所述之方法,其中該接觸步驟包含化學氣相沉積。
  3. 如申請專利範圍第1項所述之方法,其中該接觸步驟包含原子層沉積。
  4. 如申請專利範圍第1項所述之方法,更包含進行該前驅物矽化合物的水解反應與縮合化學反應,以形成二氧化矽於該基材上。
  5. 如申請專利範圍第1項所述之方法,其中該前驅物矽化合物包含一選自以下構成群組之化合物:(a)化學式為(RO)4-xSiHx之化合物,其中x為整數0至3;(b)化學式為H4-xSi(NR2)x之化合物,其中x為整數1至4;(c)化學式為H4-xSi(NHR)x之化合物,其中x為整數1至4;(d)化學式為(RO)3-xHxSi-Si(OR)3-xHx之化合物,其中x為整數0至2;以及(e)化學式為(NR1R2)3-xHxSi-Si(NR1R2)3-xHx之化合物,其中x為整數0至2,其中R、R1、R2分別選自氫基、和支鏈與直鏈之C1-C6 烷基。
  6. 如申請專利範圍第1項所述之方法,其中該前驅物矽化合物包含一選自由矽化合物所構成之群組的化合物,該矽化合物之一矽原子與包括異氰酸基、甲基乙基酮肟、三氟乙酸基、三氟磺酸(F3SO3H)、烷胺基、氫化物、烷氧基、二矽烷和甲酸基(formato)之至少其中一者的配位基配位。
  7. 如申請專利範圍第1項所述之方法,其中該前驅物矽化合物包含六乙基胺基二矽烷。
  8. 如申請專利範圍第1項所述之方法,其中該二氧化矽前驅物組成包含(tBuHN)2(H2N)Si-Si(NH2)(NHtBu)2和Si(NMe2)4
  9. 如申請專利範圍第1項所述之方法,其中該前驅物矽化合物包含一選自以下構成群組之化合物: 其中化學式(2)的OAc代表乙醯氧基(CH3C(O)O-),化學 式(3)和(4)的Me為甲基,化學式(1)、(6)、(7)和(11)的Et為乙基,化學式(2)和(5)的tBu為第三丁基,化學式(8)之OR基的R代表支鏈或直鏈之C1-C6烷基。
  10. 如申請專利範圍第1項所述之方法,其中該前驅物鍺化合物包含烷氧基鍺烷(germanium alkoxide)。
  11. 如申請專利範圍第1項所述之方法,其中該前驅物鍺化合物包含TMOG。
  12. 如申請專利範圍第1項所述之方法,其中該接觸步驟是在一氧化氛圍下進行。
  13. 如申請專利範圍第12項所述之方法,其中該氧化氛圍包括臭氧。
  14. 如申請專利範圍第1項所述之方法,其中一羥基官能基化合物存在於該蒸氣中。
  15. 如申請專利範圍第14項所述之方法,其中該羥基官能基化合物選自由異丙醇、乙醇及其混合物所組成之一群組。
  16. 如申請專利範圍第14項所述之方法,其中該羥基官能 基化合物為乙醇。
  17. 如申請專利範圍第14項所述之方法,其中該羥基官能基化合物為甲醇。
  18. 如申請專利範圍第14項所述之方法,其中該羥基官能基化合物選自由甲醇、甲酸、乙酸和丙酸構成之一群組。
  19. 一種沉積二氧化矽至一基材上的方法,包含使一基材接觸一前驅物矽化合物之一蒸氣,其中該二氧化矽前驅物組成包含(tBuHN)2(H2N)Si-Si(NH2)(NHtBu)2和Si(NMe2)4
TW103120333A 2007-06-28 2008-06-30 塡充間隙用的二氧化矽前驅物 TWI555872B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94696807P 2007-06-28 2007-06-28
US5580908P 2008-05-23 2008-05-23

Publications (2)

Publication Number Publication Date
TW201435130A TW201435130A (zh) 2014-09-16
TWI555872B true TWI555872B (zh) 2016-11-01

Family

ID=40226480

Family Applications (2)

Application Number Title Priority Date Filing Date
TW97124579A TWI471975B (zh) 2007-06-28 2008-06-30 填充間隙用的二氧化矽前驅物
TW103120333A TWI555872B (zh) 2007-06-28 2008-06-30 塡充間隙用的二氧化矽前驅物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW97124579A TWI471975B (zh) 2007-06-28 2008-06-30 填充間隙用的二氧化矽前驅物

Country Status (5)

Country Link
US (3) US9337054B2 (zh)
JP (1) JP2011511881A (zh)
KR (2) KR101593352B1 (zh)
TW (2) TWI471975B (zh)
WO (1) WO2009006272A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771760B (zh) * 2019-04-05 2022-07-21 美商慧盛材料美國責任有限公司 用於沉積含矽膜的有機胺官能化環寡矽氧烷及將含矽及氧膜沉積到一基材上之方法

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011002705A2 (en) * 2009-07-02 2011-01-06 Advanced Technology Materials, Inc. Hollow gst structure with dielectric fill
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
WO2011119175A1 (en) 2010-03-26 2011-09-29 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US20120277457A1 (en) * 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US20130243968A1 (en) 2012-03-16 2013-09-19 Air Products And Chemicals, Inc. Catalyst synthesis for organosilane sol-gel reactions
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
KR101361454B1 (ko) * 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
KR101583225B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 실리카계 절연층 형성용 조성물, 실리카계 절연층 및 실리카계 절연층의 제조방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10170297B2 (en) 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10006122B2 (en) 2014-10-02 2018-06-26 American Air Liquide, Inc. Organodisilane precursors for ALD/CVD silicon-containing film applications
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11028477B2 (en) 2015-10-23 2021-06-08 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102015404B1 (ko) 2016-12-08 2019-08-28 삼성에스디아이 주식회사 실리카 막 형성용 조성물, 실리카 막의 제조방법 및 실리카 막을 포함하는 전자소자
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
CN107346727B (zh) * 2017-07-19 2019-12-24 武汉华星光电技术有限公司 基板清洗方法及成膜方法
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) * 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11649547B2 (en) * 2019-02-05 2023-05-16 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
WO2021201910A1 (en) 2020-04-02 2021-10-07 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11466038B2 (en) * 2020-06-11 2022-10-11 Entegris, Inc. Vapor deposition precursor compounds and process of use
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023043950A1 (en) * 2021-09-20 2023-03-23 Applied Materials, Inc. Germanium and silicon stacks for 3d nand

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050255712A1 (en) * 2003-01-24 2005-11-17 Tokyo Electronlimited Method of cvd for forming silicon nitride film on substrate
US20050266700A1 (en) * 2004-05-05 2005-12-01 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4962214A (en) * 1988-05-11 1990-10-09 Massachusettes Institute Of Technology Catalytic enantioselective addition of hydrocarbon equivalents to alpha, beta-unsaturated carbonyl compounds
US4960916A (en) * 1989-09-29 1990-10-02 United States Of America As Represented By The Secretary Of The Navy Organometallic antimony compounds useful in chemical vapor deposition processes
US5453494A (en) * 1990-07-06 1995-09-26 Advanced Technology Materials, Inc. Metal complex source reagents for MOCVD
JPH0782999B2 (ja) * 1991-04-15 1995-09-06 株式会社半導体プロセス研究所 気相成長膜の形成方法、半導体製造装置、および半 導体装置
JPH0669196A (ja) * 1992-08-21 1994-03-11 Nippon Telegr & Teleph Corp <Ntt> 絶縁薄膜形成方法
JPH0878406A (ja) * 1994-09-08 1996-03-22 Sony Corp 酸化膜の成膜方法
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6787186B1 (en) * 1997-12-18 2004-09-07 Advanced Technology Materials, Inc. Method of controlled chemical vapor deposition of a metal oxide ceramic layer
US6759306B1 (en) 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US7098163B2 (en) * 1998-08-27 2006-08-29 Cabot Corporation Method of producing membrane electrode assemblies for use in proton exchange membrane and direct methanol fuel cells
US6376391B1 (en) 1999-12-30 2002-04-23 Novellus Systems Inc Pulsed or tailored bias for filling gaps with low dielectric constant material
US6331494B1 (en) 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
GB0004852D0 (en) * 2000-02-29 2000-04-19 Unilever Plc Ligand and complex for catalytically bleaching a substrate
US6736993B1 (en) * 2000-04-18 2004-05-18 Advanced Technology Materials, Inc. Silicon reagents and low temperature CVD method of forming silicon-containing gate dielectric materials using same
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4621333B2 (ja) * 2000-06-01 2011-01-26 ホーチキ株式会社 薄膜形成方法
US20020090815A1 (en) * 2000-10-31 2002-07-11 Atsushi Koike Method for forming a deposited film by plasma chemical vapor deposition
US20020092824A1 (en) * 2001-01-18 2002-07-18 Sun C. Jacob Low loss optical waveguide device
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US6974970B2 (en) 2002-01-17 2005-12-13 Silecs Oy Semiconductor device
US7189571B1 (en) * 2002-03-27 2007-03-13 Advanced Technology Materials, Inc. Method for trace water analysis in cyclic siloxanes useful as precursors for low dielectric constant thin films
TW540135B (en) * 2002-04-24 2003-07-01 Nanya Technology Corp Method of forming shallow trench isolation region
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US7194176B2 (en) * 2002-05-29 2007-03-20 Hoya Corporation Functional optical devices and methods for producing them
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR20150067397A (ko) * 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7115927B2 (en) * 2003-02-24 2006-10-03 Samsung Electronics Co., Ltd. Phase changeable memory devices
US7425735B2 (en) * 2003-02-24 2008-09-16 Samsung Electronics Co., Ltd. Multi-layer phase-changeable memory devices
US7402851B2 (en) * 2003-02-24 2008-07-22 Samsung Electronics Co., Ltd. Phase changeable memory devices including nitrogen and/or silicon and methods for fabricating the same
US6936513B2 (en) * 2003-05-30 2005-08-30 Micron Technology, Inc. Methods of forming capacitors and electronic devices
US7022864B2 (en) * 2003-07-15 2006-04-04 Advanced Technology Materials, Inc. Ethyleneoxide-silane and bridged silane precursors for forming low k films
TWI316282B (en) * 2003-07-23 2009-10-21 Nanya Technology Corp A method of fabricating a trench isolation with high aspect ratio
TWI245381B (en) 2003-08-14 2005-12-11 Via Tech Inc Electrical package and process thereof
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7601860B2 (en) * 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US6914015B2 (en) 2003-10-31 2005-07-05 International Business Machines Corporation HDP process for high aspect ratio gap filling
JP4889481B2 (ja) * 2004-02-18 2012-03-07 株式会社Adeka アルコキシド化合物、薄膜形成用原料及び薄膜の製造方法
US7005665B2 (en) * 2004-03-18 2006-02-28 International Business Machines Corporation Phase change memory cell on silicon-on insulator substrate
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7176105B2 (en) * 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
KR100642635B1 (ko) * 2004-07-06 2006-11-10 삼성전자주식회사 하이브리드 유전체막을 갖는 반도체 집적회로 소자들 및그 제조방법들
KR100632948B1 (ko) * 2004-08-06 2006-10-11 삼성전자주식회사 칼코겐화합물 스퍼터링 형성 방법 및 이를 이용한 상변화 기억 소자 형성 방법
US7300873B2 (en) * 2004-08-13 2007-11-27 Micron Technology, Inc. Systems and methods for forming metal-containing layers using vapor deposition processes
KR100652378B1 (ko) * 2004-09-08 2006-12-01 삼성전자주식회사 안티몬 프리커서 및 이를 이용한 상변화 메모리 소자의 제조방법
US7390360B2 (en) * 2004-10-05 2008-06-24 Rohm And Haas Electronic Materials Llc Organometallic compounds
US7193289B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Damascene copper wiring image sensor
KR100618879B1 (ko) * 2004-12-27 2006-09-01 삼성전자주식회사 게르마늄 전구체, 이를 이용하여 형성된 gst 박막,상기 박막의 제조 방법 및 상변화 메모리 소자
US20060172067A1 (en) * 2005-01-28 2006-08-03 Energy Conversion Devices, Inc Chemical vapor deposition of chalcogenide materials
KR100585175B1 (ko) * 2005-01-31 2006-05-30 삼성전자주식회사 화학 기상 증착법에 의한 GeSbTe 박막의 제조방법
US7189639B2 (en) * 2005-02-10 2007-03-13 Applied Materials, Inc. Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
KR100688532B1 (ko) * 2005-02-14 2007-03-02 삼성전자주식회사 텔루르 전구체, 이를 이용하여 제조된 Te-함유 칼코게나이드(chalcogenide) 박막, 상기 박막의 제조방법 및 상변화 메모리 소자
US7582561B2 (en) 2005-09-01 2009-09-01 Micron Technology, Inc. Method of selectively depositing materials on a substrate using a supercritical fluid
US20070054505A1 (en) * 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
US20070154637A1 (en) * 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
KR100695168B1 (ko) * 2006-01-10 2007-03-14 삼성전자주식회사 상변화 물질 박막의 형성방법, 이를 이용한 상변화 메모리소자의 제조방법
KR101499260B1 (ko) * 2006-05-12 2015-03-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 상 변화 메모리 재료의 저온 증착
KR101097112B1 (ko) * 2006-11-02 2011-12-22 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20110060165A1 (en) 2006-12-05 2011-03-10 Advanced Technology Materials, Inc. Metal aminotroponiminates, bis-oxazolinates and guanidinates
WO2008128141A2 (en) 2007-04-12 2008-10-23 Advanced Technology Materials, Inc. Zirconium, hafnuim, titanium, and silicon precursors for ald/cvd
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
SG152203A1 (en) * 2007-10-31 2009-05-29 Advanced Tech Materials Amorphous ge/te deposition process
US20090275164A1 (en) * 2008-05-02 2009-11-05 Advanced Technology Materials, Inc. Bicyclic guanidinates and bridging diamides as cvd/ald precursors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050255712A1 (en) * 2003-01-24 2005-11-17 Tokyo Electronlimited Method of cvd for forming silicon nitride film on substrate
US20050266700A1 (en) * 2004-05-05 2005-12-01 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
1995年,I. A. Shareef "Subatmospheric chemical vapor deposition ozone/TEOS process for SiO2 trench filling"J. Vac. Sci. Technol. B 13(4), Jul/Aug 1995 網址:http://www.rubloffgroup.umd.edu/research/recent_results/publications/publications-pdfs/JVST.95.SACVD_oz-TEOS.paper.pdf *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771760B (zh) * 2019-04-05 2022-07-21 美商慧盛材料美國責任有限公司 用於沉積含矽膜的有機胺官能化環寡矽氧烷及將含矽及氧膜沉積到一基材上之方法

Also Published As

Publication number Publication date
US20100164057A1 (en) 2010-07-01
WO2009006272A1 (en) 2009-01-08
US9337054B2 (en) 2016-05-10
KR101593352B1 (ko) 2016-02-15
KR20100038211A (ko) 2010-04-13
KR20140103189A (ko) 2014-08-25
US20160225615A1 (en) 2016-08-04
US20180130654A1 (en) 2018-05-10
US10043658B2 (en) 2018-08-07
TW201435130A (zh) 2014-09-16
TWI471975B (zh) 2015-02-01
JP2011511881A (ja) 2011-04-14
TW200915481A (en) 2009-04-01

Similar Documents

Publication Publication Date Title
TWI555872B (zh) 塡充間隙用的二氧化矽前驅物
US6797607B2 (en) Contact planarization using nanoporous silica materials
JP4125637B2 (ja) 低誘電率材料及びその製造方法
JP5405031B2 (ja) シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
US7381441B2 (en) Low metal porous silica dielectric for integral circuit applications
JP2004161601A (ja) 低誘電率材料を調製するための組成物
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
JP2010111842A (ja) ポリシラザンおよびその合成方法、半導体素子製造用組成物およびその半導体素子製造用組成物を用いた半導体素子の製造方法
CN111918905B (zh) 全氢聚硅氮烷组合物和用于使用其形成氮化物膜的方法
KR100430464B1 (ko) 낮은 유전상수를 갖는 실리카-함유 코팅 필름의 형성 방법및 이 필름으로 코팅된 반도체 기판
CN107663275B (zh) 用于填充微细图案间隙的间隙填充聚合物及使用其制造半导体器件的方法
US7357961B2 (en) Composition for forming porous film, porous film and method for forming the same, interlevel insulator film, and semiconductor device
EP1566417B1 (en) Composition for porous film formation, porous film, process for producing the same, interlayer insulation film and semiconductor device
JP2004307693A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
KR100632163B1 (ko) 실리카계 내세정성 가공피막의 형성방법, 및 이 방법에의해 얻어지는 실리카계 내세정성 가공피막