TWI499686B - 原子層沈積用的高濃度水脈衝 - Google Patents

原子層沈積用的高濃度水脈衝 Download PDF

Info

Publication number
TWI499686B
TWI499686B TW099127595A TW99127595A TWI499686B TW I499686 B TWI499686 B TW I499686B TW 099127595 A TW099127595 A TW 099127595A TW 99127595 A TW99127595 A TW 99127595A TW I499686 B TWI499686 B TW I499686B
Authority
TW
Taiwan
Prior art keywords
water
reaction space
reactant
cerium
film
Prior art date
Application number
TW099127595A
Other languages
English (en)
Other versions
TW201113390A (en
Inventor
Eric J Shero
Mohith Verghese
Jan Willem Maes
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW201113390A publication Critical patent/TW201113390A/zh
Application granted granted Critical
Publication of TWI499686B publication Critical patent/TWI499686B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

原子層沈積用的高濃度水脈衝
本申請案大體而言是關於使用水作為反應物,藉由原子層沈積來形成含氧薄膜的方法。此類薄膜可例如用於積體電路中。
原子層沈積(atomic layer deposition,ALD)是一種自限式製程(self-limiting process),由此反應物之交替脈衝使基板表面飽和,而且每一週期僅留下一個材料單層。沈積條件及反應物經過選擇,應能確保自飽和反應(self-saturating reaction),以致在一次脈衝中之吸附層產生表面終止狀態(surface termination),表面終止狀態表示其不與同一脈衝之氣相反應物反應。接下來的不同反應物脈衝與先前的終止狀態反應,以使沈積能繼續進行。因此,每一交替脈衝週期通常留下不超過約1個或僅約1個所需材料分子層。ALD型製程之原理已例如由T. Suntola呈現於例如Handbook of Crystal Growth 3,Thin Films and Epitaxy,第B部分:Growth Mechanisms and Dynamics,第14章,Atomic Layer Epitaxy,第601-663頁,Elsevier Science B.V. 1994中,所述文獻之揭露內容以引用的方式併入本文中。已經針對ALD提出了允許調節生長速率之變更。然而,為了提供高保形性及厚度均一性,此等反應仍或多或少為自飽和反應。
根據一些實施例,提供藉由原子層沈積在反應空間中之基板上形成含氧薄膜的方法。所述方法包含一個沈積週期,其包括:向反應空間提供第一反應物之氣相反應物脈衝,由此其在基板表面上形成僅一個第一反應物單層;自反應空間中移除過量的第一反應物;向所述反應空間提供含水之第二反應物的氣相反應物脈衝,以致所述反應空間中之水分壓高於約100毫托(mTorr);及自所述反應空間移除過量的第二反應物及任何反應副產物。
根據一些實施例,提供藉由原子層沈積在反應空間中之基板上形成含氧薄膜的方法。所述方法包括:向反應空間交替且連續地提供包括含氯前驅體之氣相反應物脈衝及含水之氣相反應物脈衝;其中重複所述氣相反應物脈衝,直至獲得具有所需厚度之薄膜,其中提供含水氣相反應物脈衝,以致反應空間中之水分壓高於約200毫托。
根據一些實施例,提供藉由原子層沈積在反應空間中之基板上形成薄膜的方法。所述方法包括:使基板與包括第一前驅體之氣相反應物脈衝及含水之氣相反應物脈衝交替且連續地接觸,其中提供水,以致反應空間中之水分壓高於約250毫托;及重複所述交替且連續的脈衝,直至獲得具有所需厚度的薄膜。
為了概述本發明及所獲得的優於先前技術之一些優點,上文已經描述了本發明的某些目的及優點。當然,應瞭解,根據本發明之任一特定實施例,未必能實現所有所述目的或優點。因此,例如熟習此項技術者將認識到,實施或進行本發明之方式應能實現或優化本文所教示之一個優點或一組優點,無需實現本文所教示或提出的其他目的或優點。
所有此等實施例都將在本文所揭露之本發明的範疇內。自以下參照隨附圖式之較佳實施例的詳細描述,本發明之此等及其他實施例對熟習此項技術者顯而易見,本發明不限於所揭露的任何特定較佳實施例。
可以利用原子層沈積(ALD)型製程,使用本文所述之方法及裝置,在基板上沈積含氧薄膜。儘管在積體電路、介電氧化層(dielectric oxide)、電容器、電晶體或其他半導體元件的一部分中形成含氧薄膜的情形中說明本文中所揭露之原理及優點,但熟習此項技術者將容易瞭解所述原理及優點適用於可使用含氧薄膜之各種其他情形。
原子層沈積(ALD)
ALD型製程是基於受到控制的自限式表面反應。藉由將前驅體交替且連續地饋入反應空間中,可以避免氣相反應。反應空間中氣相反應物彼此分離是例如藉由在反應物脈衝之間自反應空間移除過量的反應物及/或反應副產物實現。
由於ALD製程之自限特性允許更好地控制膜生長,故在一些應用中,ALD製程優於化學氣相沈積製程(chemical vapor deposition process,CVD)。ALD製程亦可製造出均一性高於一些CVD製程之薄膜。
簡言之,在ALD製程中,將基板裝載至反應空間中,並且一般在較低壓力下,加熱至適合的沈積溫度。沈積溫度通常保持低於反應物之熱解溫度,但達到足夠高的水準,以避免反應物縮合,並為所需表面反應提供活化能。當然,任何指定ALD反應之適當溫度限度將視表面終止狀態及所涉及之反應物的種類而定。此處,溫度較佳低於約600℃,更佳低於約500℃,甚至更佳低於400℃,且最佳低於約300℃。下文將提供一些具體實施例之特定沈積溫度。
將第一反應物以氣相脈衝之形式傳輸或脈衝輸送至反應空間中,並與基板表面接觸。較佳選擇條件使得以自限方式將僅約一個第一反應物單層吸附至基板表面上。過量的第一反應物及反應副產物(若存在的話)是諸如藉由用惰性氣體沖洗而自反應空間移除。熟習此項技術者易於根據特定情形確定適合的脈衝時間。
沖洗反應空間意謂,諸如藉由用真空泵抽空反應空間,及/或藉由用諸如氬氣或氮氣之惰性氣體置換反應器內部之氣體,自反應空間中移除氣相前驅體及/或氣相副產物。典型的沖洗時間為約0.05秒至20秒,更佳介於約0.25秒與10秒之間,且更佳介於約0.5秒與2秒之間。然而,必要時,諸如在需要高度保形步階覆蓋(conformal step coverage)極高縱橫比結構或其他具有複雜表面形態之結構時,可以利用其他沖洗時間。另外,由於體積及表面積之增加,分批式ALD反應器可以利用較長的沖洗時間。熟習此項技術者可根據特定情形確定沖洗時間。
將第二氣態反應物脈衝輸送至反應空間中,其中所述反應物與結合至表面之第一反應物反應。較佳藉助於惰性氣體沖洗及/或抽空,自反應空間中移除過量的第二反應物及表面反應之氣態副產物。重複脈衝輸送及沖洗步驟,直至已經在基板上形成具有所需厚度之薄膜,其中每一週期通常會留下小於一個或僅一個分子單層。
如上文所述,每一週期之每一脈衝或階段較佳為自限式的。在每一階段供應過量的反應物,以使易受影響之結構表面理想地飽和。表面飽和將確保反應物佔據所有可用的反應位點(例如受實際尺寸(physical size)或「位阻(steric hindrance)」限制),由此確保優良的步階覆蓋。實務上,難以達成完全表面飽和。
需要以較少週期數及較短週期時間形成連續膜。較長的反應物脈衝可以改良每一週期之步階覆蓋,且每一週期產生較高生長速率。然而,較長的脈衝時間會使週期時間及總體晶圓製程時間增加量大於在較長脈衝時間下所產生之每一週期之膜厚度生長的增益。因此,需要優化脈衝時間及反應條件,以致在較少週期數(其中每一週期優化成相對較短的持續時間)後獲得品質較高的連續膜。結果,無需較長脈衝長度,每一週期中的少量生長增益及沈積膜的品質可明顯減少晶圓製程時間及成本。
在本文所述之一些實施例中,描述一種方法,其將增加反應器中水的濃度,由此在不使用較長水脈衝長度的情況下,引起水分子表面飽和度的改良以及膜生長之增加,同時還展現ALD製程特有的自限特性。先前認為反應器中的高濃度水難以有效除去且幾乎不可能有效除去,且先前脈衝留在反應器中的殘餘水可能會破壞ALD製程之自限特性。在本發明製程中,所得金屬氧化物膜的電性質遠遠勝過低劑量水脈衝,包含在同一等效氧化層厚度(equivalent oxide thickness,EOT)下較少的漏電流。此等改良之結果亦可利用較短的反應物脈衝獲得。
圖1是大體上說明根據一個實施例形成含氧薄膜之方法的流程圖。根據一較佳實施例,藉由包括多個沈積週期之ALD型製程100,在反應空間中之基板上形成含氧薄膜,各沈積週期包括:向反應空間提供第一反應物之氣相反應物脈衝,由此其在基板表面上僅形成一個第一反應物單層;自反應空間移除過量的第一反應物;向反應空間提供含水之第二反應物的氣相反應物脈衝;及自反應空間移除過量的第二反應物及任何反應副產物;這可稱為氧化物沈積週期(oxide deposition cycle)。重複所述週期,直至獲得具有所需厚度及組成之薄膜。
首先,將包括第一反應物之氣相反應物脈衝提供至基板及反應空間110。
第一反應物可例如包括(但不限於)選自由以下構成之族群的元素:鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、鉕(Pm)、釤(Sm)、鉺(Er)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、銩(Tm)、鐿(Yb)、鈧(Sc)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、鋅(Zn)、釔(Y)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鎝(Tc)、釕(Ru)、銠(Rh)、鈀(Pd)、銀(Ag)、鎘(Cd)、鎦(Lu)、鉿(Hf)、鉭(Ta)、鎢(W)、錸(Re)、鋨(Os)、銥(Ir)、鉑(Pt)、金(Au)、汞(Hg)、銻(Sb)、碲(Te)、鈹(Be)、鉍(Bi)、硼(B)、碳(C)、鋁(Al)、矽(Si)、磷(P)、銦(In)、鎵(Ga)、鍺(Ge)及錫(Sn)。第一反應物較佳包括鈦、鋁、鉿、矽及鋯中一者或多者。在一些實施例中,第一反應物包括鉿且沈積二氧化鉿薄膜。
在一些實施例中,可以沈積包括二氧化鉿或二氧化鋯之膜。在一些實施例中,二氧化鉿膜或二氧化鋯膜可以摻雜有稀土元素,例如鑭、鈰、鐠、釹、鉕、釤、鉺、釓、鋱、鏑、鈥、銩、鐿、鎦。在一些實施例中,沈積膜包括HfTiO2 、ErHfO2 、LaZrO、SrBaTiO3 及SrTiO3
在一些實施例中,沈積製程可以水脈衝開始及結束。舉例而言,在初始的水脈衝後,可以執行包括第一反應物脈衝及水脈衝之多個沈積週期,以沈積具有所需厚度之膜。
在一些實施例中,第一反應物較佳為鹵化物。在一些實施例中,鹵化物是氯化合物(chlorine compound)且包括至少一個氯原子。在一些實施例中,使用金屬鹵化物,例如具有式MXn 之化合物,其中M是金屬,X是鹵素,且n等於M的價態,例如當M是鈦時,所述金屬鹵化物為氯化鈦(TiCl4 )、溴化鈦(TiBr4 )及碘化鈦(TiI4 )。在一些較佳實施例中,金屬鹵化物前驅體選自氯化鋁(AlCl3 )、氯化鉿(HfCl4 )、氯化矽(SiCl4 )及氯化鋯(ZrCl4 )。
在一些實施例中,第一反應物包括一或多種選自由以下構成之族群的配位體:經取代或未經取代之烷基-、烷基醯胺-、烷醇-、脒基-、芳基-、β二酮基-、醯亞胺基-醯胺基-及環戊二烯基化合物。在一些實施例中,金屬有機化合物選自由以下構成之族群:三甲基鋁、肆(乙基甲基)胺基鉿、肆(二甲基)胺基鉿、肆(二乙基)胺基鉿、肆(乙基甲基)胺基鋯、肆(二甲基)胺基鋯及肆(二乙基)胺基鋯。
第一反應物較佳在基板上僅形成約單一分子層。必要時,可自反應空間沖洗或移除任何過量的反應物120。在一些實施例中,沖洗步驟可以包括停止第一反應物的流動,同時仍繼續諸如氮氣或氬氣之惰性載氣的流動。
接著,將含水(H2 O)之第二反應物之氣相反應物脈衝提供至基板及反應空間130。在一些實施例中,所提供的水可以是水與諸如氮氣或氬氣之不活潑氣體(inactive gas)的混合物。
水是一種極性分子,通常會展現強氫鍵結合力。此等物理性質導致在水脈衝後反應空間中之水緩慢脫氣的顧慮。ALD製程設計成避免或最小化CVD型氣相反應。未吸附於基板表面上且在第一反應物脈衝期間仍保留在反應空間中的殘餘水可產生氣相或CVD型反應,這可能破壞ALD製程之自限特性。由於此等反應可能在基板表面上引起不均勻生長,故其亦為不合需要的。
意外的是,增加反應空間中之水分壓、增加水流入反應空間之流速或增加水容器中之蒸汽壓,不會引起沈積薄膜品質方面的問題。令人驚訝的是,沈積薄膜展現較低的雜質含量、改良的電性質、較高的生長速率及/或較佳的成核作用。
在一些實施例中,將水提供至反應空間中,以致反應空間中之水分壓高於約10毫托,即反應空間中之典型水分壓。甚至更佳地,在製程期間,水濃度或水分壓比反應空間中典型水濃度或分壓高一個數量級。
在一些實施例中,可藉由控制水源溫度、載氣流速、水流速、水源壓力等,調節反應空間中之水分壓。
在一些實施例中,將水提供至反應空間,以致反應空間中之水分壓高於約100毫托。在一些實施例中,將水提供至反應空間,以致反應空間中之水分壓高於約200毫托或約250毫托。在一些實施例中,在甚至更高的分壓下,例如在高於500毫托之分壓下,將水提供至反應空間。在一些實施例中,反應空間中之水分壓高於約1000毫托,且在一些情況下,高於約1500毫托。在一些實施例中,反應空間中之水分壓高於約2000毫托,且在一些實施例中,高於約2500毫托。
在一些實施例中,水是由溫度保持高於室溫的水源容器供應。水源容器中的水溫較佳高於約37℃,更佳高於約50℃,且最佳高於約75℃。使水源容器溫度保持高於室溫可以產生較高水蒸氣壓力。舉例而言,在約50℃下,水的蒸汽壓為約92托。在一些實施例中,控制水源容器的條件,以致以高於約500標準毫升/分鐘(sccm)的流速將水供應至反應空間。
每一氧化物沈積週期通常僅形成約一個氧化物分子層。必要時,可自反應空間移除任何過量的反應副產物或水140。在一些實施例中,沖洗步驟可以包括停止水的流動,同時仍繼續諸如氮氣或氬氣之惰性載氣的流動。
通常重複所述氧化物沈積週期預定次數150,以形成具有所需厚度的氧化層。在一些實施例中,藉由多個沈積週期形成多個氧化物分子層。在其他實施例中,形成一個或不到一個金屬氧化物分子層。
移除過量反應物可以包含抽空反應空間中的一些內含物,或用氦氣、氮氣或任何其他惰性氣體沖洗反應空間。在一些實施例中,沖洗可包括切斷反應氣體的流動,同時使惰性載氣繼續流到反應空間。
在標準條件(室溫及大氣壓)下,ALD型製程中所用的前驅體或反應物可以是固態、液態或氣態物質,只要在將所述前驅體或反應物傳輸至反應空間中並與基板表面接觸之前,其為氣相即可。將氣化的前驅體或反應物「脈衝輸送(pulsing)」”至基板上意謂,在有限時段內,將所述前驅體或反應物蒸氣傳輸至反應空間中。通常,脈衝時間為約0.05秒至10秒。然而,視基板類型及其表面積而定,脈衝時間甚至可以多於10秒。
對於單晶圓ALD反應器中的300毫米晶圓而言,較佳脈衝輸送第一反應物0.05秒至10秒,更佳0.1秒至5秒,且最佳約0.3秒至3.0秒。較佳將含水的第二反應物脈衝輸送約0.05秒至10秒,更佳0.1秒至5秒,甚至更佳約0.2秒至3.0秒,且最佳約0.1秒至0.5秒。然而,在一些情況下,脈衝時間可達約數分鐘。最佳的脈衝時間可由熟習此項技術者根據特定情形容易地確定。
反應物的質量流速亦可以由熟習此項技術者確定。在一個實施例中,對於在300毫米晶圓上進行沈積,第一反應物的流速較佳(但不限於)介於約1標準毫升/分鐘與10,000標準毫升/分鐘之間,更佳介於約100標準毫升/分鐘與500標準毫升/分鐘之間。第一反應物的質量流速通常低於含水之第二反應物的質量流速,而後者的質量流速通常介於(但不限於)約10標準毫升/分鐘與10,000標準毫升/分鐘之間。
通常,使水流至反應空間的流速最小,以避免在下一次氣相反應物脈衝期間發生水脫氣,這可能導致不合需要的CVD反應。對於此項技術中已知之ALD方法而言,水流至反應空間的流速通常為約15標準毫升/分鐘或低於15標準毫升/分鐘。對於大部分製造工具,典型水流速為約10至100標準毫升/分鐘。
在一些實施例中,水流至反應空間的流速明顯大於此項技術中已知之方法。水流至反應空間的流速較佳高於約100標準毫升/分鐘。水流至反應空間的流速更佳高於約500標準毫升/分鐘。甚至更佳地,水的流速高於約750標準毫升/分鐘。水流速最佳高於1000標準毫升/分鐘。在一些實施例中,水流速高於2000標準毫升/分鐘或甚至高於2500標準毫升/分鐘。水流速對應於水的質量流量,並且排除任何載氣流量。
反應空間中的壓力通常為約0.01毫巴(mbar)(0.0075托)至約20毫巴(15托),更佳為約0.5托至約5托。然而,在一些情況下,壓力將會高於或低於此範圍,此可由熟習此項技術者容易地確定。
在開始膜沈積之前,通常將基板加熱至適合的生長溫度。含氧薄膜的生長溫度較佳低於約600℃,更佳低於約500℃,甚至更佳低於約400℃,且最佳低於約300℃。在一些實施例中,基板的生長溫度較佳為250℃至300℃。在一些實施例中,基板溫度較佳低於約250℃,且更佳低於約200℃。在一些實施例中,提供及移除步驟期間的基板溫度可以低於100℃,並且低至室溫或約15℃至25℃。
可以重複沈積週期預定次數,或直至達到所需厚度。薄膜厚度較佳薄至數埃(),且其厚度更佳介於約3埃與200奈米之間。
在一些實施例中,沈積時,薄膜為結晶。在一些實施例中,沈積時,薄膜為非晶形的。在一些實施例中,可以將基板退火以增加薄膜的結晶度。
在一些實施例中,第一反應物的每次脈衝的單層覆蓋率大於約20%。可以使用盧瑟福背散射光譜(Rutherford Backscattering Spectroscopy,RBS)先測定每一週期的表面覆蓋率。隨後,可以藉由用每一週期的RBS覆蓋率除以含第一反應物之氧化層的容積非晶形密度(bulk amorphous density),計算出單層覆蓋率。第一反應物的每次脈衝的單層覆蓋率較佳大於約21%,且甚至更佳大於22%。
本文所述之方法可以提供許多優於此項技術中已知之方法的優勢。本文所述方法可以改良膜成核作用。膜成核作用的改良可以增加每一週期的單層覆蓋率。膜成核作用的改良以及每一週期的單層覆蓋率的增加可以使每一週期具有較高生長速率。舉例而言,每一週期的單層覆蓋率的增加使ALD膜在較少週期內“閉合(close)”(以目標類型的連續ALD膜完全覆蓋下伏材料(underlying material))。膜閉合的改良可以使EOT縮放(EOT scaling)改良,例如當EOT因在較少週期內形成連續ALD膜而減小時,仍保持洩漏(leakage)與EOT線的關係。
本文所述的方法可以製造出品質較高的薄膜,對於指定厚度的沈積薄膜,其可以展現改良的物理縮放(physical scaling)、改良的洩漏效能及較小的EOT。此外,在各實例中所用溫度下,未觀察到不利的顆粒碰撞。
本文所述之方法亦可製造出具有較少雜質的薄膜,尤其當使用含氯化物之前驅體時製造出具有較少氯雜質的薄膜。
本文所述之方法亦可使每次脈衝之反應物飽和度改良,而無需較長的脈衝時間。藉由增加每一週期之生長速率,而不需搭配增加每一週期時間長度,每一晶圓的製程時間及成本得以降低。
水傳遞
可以藉由多種方法將水提供至反應空間。通常,在室溫及低分壓下,將水連同載氣一起供應至反應空間。根據本文所述之方法,藉由各種修改,可以在較高反應器分壓下及/或以較大流速,將水提供至反應空間。
在一些實施例中,可以在固源烘箱(solid source oven)中加熱水,並將其提供至反應空間。舉例而言,頒予Shero等人之美國專利第7,122,085號揭露了可用於本發明方法中的固源烘箱的配置。美國專利第7,122,085號中有關固源烘箱配置的揭露內容以引用的方式併入本文中。
在一些實施例中,可藉由蒸汽發生器或直接液體注入(direct liquid injector,DLI)系統,將水供應至反應空間。高純度蒸汽發生器及直接液體注入系統的商品實例包括由RASIRCTM 製造的商品。例如,由RASIRCTM 製造的高純度蒸汽發生系統。在一些實施例中,蒸汽發生器、DLI系統或其他RASIRCTM 系統可經過改變以產生所需的水流速條件。
水源容器
頒予Shero等人之美國專利公開案第2005/0000428號揭露了多種反應物源容器。美國專利公開案第2005/0000428號中有關反應物源容器的揭露內容以引用的方式併入本文中。在一些實施例中,水源容器可包括美國專利公開案第2005/0000428號中揭露的任何反應物容器。
在一些實施例中,將水源容器加熱至高於約37℃的溫度。在一些實施例中,可以使用較高蒸氣壓的水,從而以所需流速供應水及/或在反應器中達到所需水分壓。在一些實施例中,可以不藉助於載氣將水供應至反應器中。
在一個實施例中,可以顛倒帕耳帖(Peltier)元件或熱電冷卻器的操作,以使其可用於加熱水源容器。
在一些實施例中,水脈衝可以藉助於載氣(諸如氮氣)實現。在一些實施例中,可以調整載氣的流速以在反應器中達到所需水分壓。
在一些實施例中,載氣可流過或鼓泡通過水源容器及其內含物。使載氣流過水可以在載氣流中夾帶水及水蒸氣。隨後,可以使載氣及水流至反應空間。在一些實施例中,可以藉由使載氣流過水源容器之內含物,將水提供至反應空間。
在其他實施例中,載氣可流經水源容器的出口。載氣流經水源容器之出口可以使水及水蒸氣自水源容器流出並與載氣混合。隨後,可以將載氣及水提供至反應空間。在一些實施例中,可以藉由使載氣流經水源容器之出口,將水提供至反應空間。
在一些實施例中,可以將水源容器加熱至較高溫度,例如高於約55℃的溫度。在一些實施例中,將水源容器加熱至高於約65℃。在一些實施例中,將水源容器加熱至高於約75℃。較高溫度將使水源容器中水的蒸氣壓升高。較高水壓可以產生較高水流速及/或使反應空間具有較高水分壓。可以藉由使流量控制閥(flow control valve)節流、在水供應管線中使用限流孔(restrictive orifice)、使用另一類流量控制閥,或此項技術中已知之控制蒸氣流速的任何其他方法來控制水的流速。
在一些實施例中,控制水流至反應空間的流速,以致反應空間中之分壓高於約200毫托或約250毫托。在一些實施例中,在甚至更高的分壓下,例如在高於500毫托之分壓下,將水提供至反應空間。在一些實施例中,反應空間中之水分壓高於約1000毫托,且在一些情況下,高於約1500毫托。在一些實施例中,反應空間中之水分壓高於約2000毫托,且在一些實施例中,高於約2500毫托。
在一些實施例中,水源容器中水的蒸氣壓為約92托或更高。在一些實施例中,控制水源容器的條件,以致以高於約500標準毫升/分鐘的流速將水供應至反應空間。
量測流至反應空間之水流量
可以藉由若干不同方法定量水流速,包含(但不限於)量測水源容器中水的蒸氣壓、傳遞至反應器之水流速及反應室中之分壓。
在一些實施例中,藉由水源容器中之水蒸氣壓量測水流速。可以根據水源容器中水的溫度容易地測定壓力。可以根據反應系統之特定特徵,例如載氣流量、反應空間壓力、水供應管線上之任何流量或壓力控制元件等,校準所得水流至反應空間的流速。
在一些實施例中,藉由量測水傳遞至反應器之流速定量水的流速。舉例而言,可以使用流量計(flow meter)量測流至反應器之水蒸氣流量。
在一些實施例中,可藉由反應室中水分壓或濃度定量水流量。
以下實例是在先前購自ASM America公司(Phoenix,Arizona)及ASM Europe B.V.公司(Almere,Netherlands)的PulsarP3000反應器中執行。
實例1
藉由ALD法,使用交替且連續的氯化鉿及水脈衝,實現0.5埃/週期的二氧化鉿生長速率,其中水脈衝長度在持續時間內低於500毫秒且水源略低於室溫(15℃)。此等製程條件通常在每一週期產生約15%的單層覆蓋率及低羥基(-OH)表面覆蓋率。
實例2
藉由在各種反應器及反應物製程條件下,將氯化鉿及水交替及連續地提供至反應空間,以將二氧化鉿薄膜沈積於基板上。基板溫度為300℃。圖2說明水脈衝時間與水源溫度對沈積的二氧化鉿薄膜厚度的影響。在所有溫度下,將水脈衝時間自250毫秒增加至5秒均使膜生長速率增加。將水源溫度自55℃增加至75℃使薄膜生長速率增加。對於指定脈衝時間,升高水源容器的溫度使二氧化鉿薄膜的生長速率增加。氮氣用作載氣。
實例3
亦在15℃、37℃、55℃、65℃及75℃之水源容器溫度下,研究每一週期的二氧化鉿膜的薄膜生長速率。使用水及氯化鉿來沈積二氧化鉿。水脈衝時間小於1秒。在各種沈積週期間隔下量測二氧化鉿膜的厚度。
當水源容器源溫度為15℃時,每一週期的平均生長速率為0.50埃/週期。當水源容器源溫度為37℃時,每一週期的平均生長速率亦為約0.50埃/週期。因此,對於15℃與37℃的水源容器源溫度,生長速率大致相同。
在約55℃及更高的水源容器溫度下,觀察到較高生長速率。當水源容器源溫度為55℃時,每一週期的平均生長速率為0.54埃/週期。當水源容器源溫度為75℃時,每一週期的平均生長速率為0.55埃/週期。當水源容器源溫度為65℃時,每一週期的平均生長速率亦為0.55埃/週期。
藉由調節水源反應器入口上節流閥(throttle valve)的位置,使反應器壓力在約4托下保持恆定。隨後根據節流閥位置計算水的流速。亦可使用孔(orifice)、針閥(needle valve)或一些其他的控制來限制。流速與節流閥的打開百分比相關。接著在水脈衝期間,分析節流閥反應。隨後使用相關性來計算水的流速。接著根據反應器壓力、載氣流速及水流速計算反應器中的水分壓。
在水源容器溫度為15℃下,計算出反應器中的水分壓為45毫托。在水源容器溫度為55℃下,計算出反應器中的水分壓為約1500毫托。在水源容器溫度為65℃下,計算出反應器中的水分壓為約1800毫托至約2000毫托。在水源容器溫度為約75℃下,計算出反應器中的水分壓為約2000毫托至約2500毫托。基板溫度保持在約300℃。
在水源容器溫度為75℃下,達到的最高平均生長速率為0.58埃/週期。
實例4
圖3說明二氧化鉿膜厚度與沈積週期數的關係。使用氯化鉿及水沈積二氧化鉿。水源容器溫度為約75℃。每一週期的平均生長速率為約0.56埃/週期。線性關係指示在5個與40個週期之間具有一致的成核作用及膜生長。在後續沈積週期中,未觀察到生長減少或抑制。
亦使用盧瑟福背散射光譜(RBS)研究沈積的二氧化鉿薄膜。圖4說明基板表面上鉿原子密度與沈積週期數的關係。
RBS資料表明,每一週期沈積約2×1014 個鉿原子/平方公分,由此每一沈積週期產生大致為約21.8%的單層覆蓋率。藉由用每一週期的RBS表面覆蓋率(2×1014 個鉿原子/平方公分)除以約9.15×1014 個鉿原子/平方公分的二氧化鉿容積非晶形密度,計算出每一週期的單層覆蓋率為21.8%。比較起來,在常規水濃度脈衝下,此製程之典型單層覆蓋率為約15%。因此,反應空間中水分壓增加及水濃度增加將明顯改良每一週期的單層覆蓋率,由此以較少的沈積週期數即可形成連續膜。
亦使用RBS量測沈積的二氧化鉿薄膜中存在的氯量。使用含氯前驅體可能在沈積的薄膜中產生雜質,例如,殘餘氯可能併入薄膜中。意外的是,沈積的二氧化鉿薄膜中存在的氯量低於RBS的0.5%的可偵測下限。利用本文所述方法製造的二氧化鉿薄膜製造出氯雜質比此項技術中已知之其他方法低的膜。
圖6是沈積的二氧化鉿薄膜中氯含量的二次離子質譜儀(SIMS)影像。SIMS光譜顯示濺鍍時間(在樣品中的深度)與物質強度(任意單位)的關係。量測分別在55℃、65℃及75℃之源溫度下使用水沈積之二氧化鉿膜的氯含量。曲線顯示,沈積的二氧化鉿膜中的氯含量隨水源溫度升高而降低。亦存在氫雜質(未圖示)隨著水源溫度升高而減少的類似資料。所述資料表明,高濃度水脈衝將改良膜純度。圖6亦顯示二氧化鉿膜在何處停止及矽基板根據以矽計數的上升趨勢(up-turn)開始。
實例5
亦研究沈積的二氧化鉿薄膜的電效能。圖5說明隧道電壓(tunnel voltage)與沈積的二氧化鉿薄膜的等效氧化層厚度(EOT)的關係。使用氯化鉿及水沈積二氧化鉿薄膜。水源容器源溫度為75℃。對於劑量A組,水脈衝持續時間為250毫秒,而對於劑量B組,水脈衝持續時間為1000毫秒。
隧道電壓與漏電流呈反比。較高隧道電壓表明較低的漏電流。如圖5中所示,與基於利用此項技術中已知之ALD法沈積的二氧化鉿膜之預期效能相比較,在較高的反應器水分壓下沈積之二氧化鉿薄膜在較小EOT值下展現改良的洩漏(較高隧道電壓)。洩露效能之改良可能是沈積薄膜中化學計量改良、由每一週期的單層覆蓋率增加引起之膜閉合加快、較高膜密度以及沈積薄膜中雜質減少的結果。
熟習此項技術者應瞭解,可在不偏離本發明之範疇的情況下,進行各種修改及變化。類似的其他修改及變化意欲落在隨附申請專利範圍所界定之本發明之範疇內。
110~150...步驟
圖1是大體上說明根據一些實施例形成含氧薄膜之方法的流程圖。
圖2是說明藉由ALD法,使用加熱至不同溫度之氯化鉿(HfCl4 )及水沈積的二氧化鉿膜之膜厚度的曲線圖。
圖3是說明藉由ALD法,在75℃的容器溫度下,使用氯化鉿及水沈積之二氧化鉿膜的中心膜厚度與沈積週期數之間關係的圖。
圖4是說明藉由ALD法,在75℃的容器溫度下,使用水沈積之二氧化鉿膜的鉿原子表面密度與沈積週期數之間關係的圖。
圖5是說明藉由ALD法,在75℃的容器溫度下使用水沈積之二氧化鉿膜的隧道電壓與等效氧化層厚度(EOT)之間關係的圖。
圖6是藉由ALD法,在各種源溫度下使用氯化鉿及水沈積之二氧化鉿膜中氯及矽含量的二次離子質譜儀(SIMS)影像。
110~150...步驟

Claims (20)

  1. 一種方法,藉由原子層沈積在反應空間中之基板上形成含氧薄膜,所述方法包括一個沈積週期,所述沈積週期包括:向所述反應空間提供含鉿及/或鋯之第一反應物的氣相反應物脈衝,由此其在所述基板的表面上僅形成一個第一反應物單層;自所述反應空間移除過量的所述第一反應物;向所述反應空間提供含水之第二反應物的氣相反應物脈衝,以致所述反應空間中之水分壓高於500毫托;及自所述反應空間移除過量的所述第二反應物及任何反應副產物。
  2. 如申請專利範圍第1項所述之方法,其中重複所述沈積週期,直至獲得具有所需厚度之薄膜。
  3. 如申請專利範圍第1項所述之方法,其中所述第一反應物進一步包括來自由以下構成之族群的元素:鈦(Ti)、鋁(Al)及矽(Si)。
  4. 如申請專利範圍第3項所述之方法,其中所述第一反應物包括氯化物。
  5. 如申請專利範圍第4項所述之方法,其中所述第一反應物包括氯化鉿(HfCl4 )或氯化鋅(ZrCl4 )。
  6. 如申請專利範圍第5項所述之方法,其中所述第一反應物是氯化鉿且其中沈積二氧化鉿(HfO2 )。
  7. 如申請專利範圍第1項所述之方法,其中所述反應 空間中之水分壓高於1000毫托。
  8. 如申請專利範圍第1項所述之方法,其中所述沈積週期在每一週期中沈積大於20%的單層。
  9. 如申請專利範圍第1項所述之方法,其中所述基板在所述沈積週期期間的溫度高於250℃。
  10. 如申請專利範圍第1項所述之方法,其中提供水的氣相脈衝包括100毫秒或更長之脈衝長度。
  11. 如申請專利範圍第1項所述之方法,其中所述含氧薄膜進一步包括一種或多種來自由以下構成之族群的元素:鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、鉕(Pm)、釤(Sm)、鉺(Er)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、銩(Tm)、鐿(Yb)、鎦(Lu)、鋇(Ba)及鍶(Sr)。
  12. 一種方法,藉由原子層沈積在反應空間中之基板上形成含氧薄膜,其包括:向所述反應空間交替且連續地提供包括含氯及鉿、氯及鋯、或氯及鉿及鋯之前驅體的氣相反應物脈衝,以及含水之氣相反應物脈衝;其中重複所述氣相反應物脈衝,直至獲得具有所需厚度之薄膜,以及其中所述含水之氣相反應物脈衝經提供以致所述反應空間中之水分壓高於500毫托。
  13. 如申請專利範圍第12項所述之方法,其中所述反應空間中之水分壓高於1500毫托。
  14. 如申請專利範圍第13項所述之方法,其中所述反 應空間中之水分壓高於2500毫托。
  15. 如申請專利範圍第12項所述之方法,其中所述含氧薄膜包括二氧化鉿或二氧化鋅(ZrO2)。
  16. 如申請專利範圍第12項所述之方法,其中所述含氧薄膜進一步包括一種或多種來自由以下構成之族群的元素:鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、鉕(Pm)、釤(Sm)、鉺(Er)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、銩(Tm)、鐿(Yb)、鎦(Lu)、鋇(Ba)及鍶(Sr)。
  17. 一種方法,藉由原子層沈積在反應空間中之基板上形成薄膜,其包括:使基板與包括含鉿及/或鋯之第一前驅體的氣相反應物脈衝以及含水之氣相反應物脈衝交替及連續地接觸,其中所述水經提供以致所述反應空間中之水分壓高於500毫托;及重複所述交替及連續脈衝,直至獲得具有所需厚度之薄膜。
  18. 如申請專利範圍第17項所述之方法,其中所述反應空間中之水分壓高於2000毫托。
  19. 如申請專利範圍第17項所述之方法,其中所述含氧薄膜包括二氧化鉿或二氧化鋅(ZrO2 )。
  20. 如申請專利範圍第17項所述之方法,其中所述含氧薄膜進一步包括一種或多種來自由以下構成之族群的元素:鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、鉕(Pm)、釤(Sm)、鉺(Er)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、 銩(Tm)、鐿(Yb)、鎦(Lu)、鋇(Ba)及鍶(Sr)。
TW099127595A 2009-08-26 2010-08-18 原子層沈積用的高濃度水脈衝 TWI499686B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/547,911 US9117773B2 (en) 2009-08-26 2009-08-26 High concentration water pulses for atomic layer deposition

Publications (2)

Publication Number Publication Date
TW201113390A TW201113390A (en) 2011-04-16
TWI499686B true TWI499686B (zh) 2015-09-11

Family

ID=43625546

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099127595A TWI499686B (zh) 2009-08-26 2010-08-18 原子層沈積用的高濃度水脈衝

Country Status (5)

Country Link
US (1) US9117773B2 (zh)
KR (1) KR101585578B1 (zh)
CN (1) CN102473603B (zh)
TW (1) TWI499686B (zh)
WO (1) WO2011028377A2 (zh)

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104060239B (zh) * 2014-06-06 2017-05-10 华中科技大学 一种金属物品表面保护方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW486771B (en) * 1999-12-03 2002-05-11 Asm Inc Conformal thin films over textured capacitor electrodes
CN1926668A (zh) * 2004-05-21 2007-03-07 应用材料股份有限公司 在高介电常数的介电材料上的硅的氮氧化物层的形成
CN1934287A (zh) * 2004-05-12 2007-03-21 应用材料股份有限公司 用于高介电常数含铪介电材料的原子层沉积的装置和方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2913565A (en) 1955-12-30 1959-11-17 Kanthal Ab Electrically heated apparatus
DE2055425B2 (de) 1970-11-11 1979-09-06 Bayer Ag, 5090 Leverkusen Adsorptionsverfahren zum Zerlegen von Gasgemischen
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4059094A (en) 1975-12-04 1977-11-22 Barrio De Mendoza Cayo Petroni Solar energy collector apparatus
US4425143A (en) 1978-12-29 1984-01-10 Shin Tohoku Chemical Industries Inc. Adsorption by zeolitic composition
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4548138A (en) 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
US4594332A (en) 1983-10-20 1986-06-10 Basf Aktiengesellschaft Preparation of hard, fracture-resistant catalysts from zeolite powder
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
JPH0635650B2 (ja) 1986-07-05 1994-05-11 忠弘 大見 超高純度ガス供給装置
DE3702923A1 (de) 1987-01-31 1988-08-11 Philips Patentverwaltung Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US5092219A (en) 1987-07-08 1992-03-03 Thermedics Inc. Selective decomposition of nitrite esters and nitramines
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
EP0382987A1 (en) 1989-02-13 1990-08-22 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Gas supplying apparatus
DE69006809T2 (de) 1989-09-12 1994-09-15 Shinetsu Chemical Co Vorrichtung für die Verdampfung und Bereitstellung von Organometallverbindungen.
US5078922A (en) 1990-10-22 1992-01-07 Watkins-Johnson Company Liquid source bubbler
US5252134A (en) 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
JP2000252269A (ja) 1992-09-21 2000-09-14 Mitsubishi Electric Corp 液体気化装置及び液体気化方法
US5451258A (en) 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
US5561735A (en) 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
US6132492A (en) 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FR2727691A1 (fr) 1994-12-01 1996-06-07 Framatome Sa Procede de revetement d'un substrat en metal ou alliage passivable, par une couche d'oxyde, et tube de gainage et grille-entretoise pour assemblage combustible revetus d'une couche d'oxyde
US5553395A (en) 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
JPH1025576A (ja) 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5674574A (en) 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JPH10251853A (ja) 1997-03-17 1998-09-22 Mitsubishi Electric Corp 化学気相成長装置
US20010000160A1 (en) 1997-08-14 2001-04-05 Infineon Technologies Ag Method for treatment of semiconductor substrates
JP3684797B2 (ja) 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6410432B1 (en) 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
JP2001073144A (ja) 1999-09-03 2001-03-21 Pioneer Electronic Corp 化学気相成長法における原料供給装置
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6571821B2 (en) 2001-07-13 2003-06-03 Varian, Inc. Energy conserving gate valve
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US8501277B2 (en) 2004-06-04 2013-08-06 Applied Microstructures, Inc. Durable, heat-resistant multi-layer coatings and coated articles
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7537804B2 (en) * 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US20080305646A1 (en) 2007-06-08 2008-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW486771B (en) * 1999-12-03 2002-05-11 Asm Inc Conformal thin films over textured capacitor electrodes
CN1934287A (zh) * 2004-05-12 2007-03-21 应用材料股份有限公司 用于高介电常数含铪介电材料的原子层沉积的装置和方法
CN1926668A (zh) * 2004-05-21 2007-03-07 应用材料股份有限公司 在高介电常数的介电材料上的硅的氮氧化物层的形成

Also Published As

Publication number Publication date
US9117773B2 (en) 2015-08-25
CN102473603B (zh) 2016-01-13
KR101585578B1 (ko) 2016-01-14
CN102473603A (zh) 2012-05-23
WO2011028377A3 (en) 2011-05-26
KR20120059574A (ko) 2012-06-08
US20110053383A1 (en) 2011-03-03
WO2011028377A2 (en) 2011-03-10
TW201113390A (en) 2011-04-16

Similar Documents

Publication Publication Date Title
TWI499686B (zh) 原子層沈積用的高濃度水脈衝
TWI410513B (zh) 金屬矽化物膜之原子層沈積
JP5497442B2 (ja) 金属炭化物膜の気相成長
JP5551681B2 (ja) アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
Leskelä et al. Atomic layer deposition (ALD): from precursors to thin film structures
TWI518202B (zh) 使介電膜鈍化的製程
US7537804B2 (en) ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US11891690B2 (en) Molybdenum thin films by oxidation-reduction
US7544389B2 (en) Precursor for film formation and method for forming ruthenium-containing film
US8592294B2 (en) High temperature atomic layer deposition of dielectric oxides
KR102013927B1 (ko) 평활한 금속 질화물 막들의 퇴적
US20050238808A1 (en) Methods for producing ruthenium film and ruthenium oxide film
KR20060100405A (ko) 원자층 증착에 의한 고-k 유전체의 성장
KR20160145165A (ko) 불소-함유 도전성 막들
EP1238421A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20060088660A1 (en) Methods of depositing lead containing oxides films
KR20220062103A (ko) Peald 나이트라이드 막들
JP2008518104A (ja) 鉛含有酸化物膜の堆積方法
US20210066592A1 (en) Deposition Of Metal-Organic Oxide Films
KR100920402B1 (ko) 저온 게이트 스택