CN102473603B - 原子层沉积用高浓度水脉冲 - Google Patents

原子层沉积用高浓度水脉冲 Download PDF

Info

Publication number
CN102473603B
CN102473603B CN201080035028.9A CN201080035028A CN102473603B CN 102473603 B CN102473603 B CN 102473603B CN 201080035028 A CN201080035028 A CN 201080035028A CN 102473603 B CN102473603 B CN 102473603B
Authority
CN
China
Prior art keywords
reaction compartment
reactant
water
dielectric oxide
hafnium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080035028.9A
Other languages
English (en)
Other versions
CN102473603A (zh
Inventor
E·J·舍罗
M·韦尔盖塞
J·W·梅斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN102473603A publication Critical patent/CN102473603A/zh
Application granted granted Critical
Publication of CN102473603B publication Critical patent/CN102473603B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文提供通过原子层沉积形成含氧薄膜的方法。所述含氧薄膜可以通过将向反应空间中的基板提供浓度较高的水脉冲、较高的反应空间中的水分压及/或较高水流速而沉积。含氧薄膜可以例如用作晶体管、电容器、集成电路及其它半导体应用中的介电氧化物。

Description

原子层沉积用高浓度水脉冲
技术领域
本申请通常涉及使用水作为反应物,通过原子层沉积来形成含氧薄膜的方法。此类薄膜可例如用于集成电路中。
背景技术
原子层沉积(ALD)是一种自限式方法(self-limitingprocess),由此反应物的交替脉冲使基板表面饱和,而且每一周期留下不超过一个材料单层。沉积条件及反应物经过选择,应能确保自饱和反应(self-saturatingreaction),使得在一次脉冲中的吸附层产生表面终止状态(surfacetermination),表面终止状态表示其不与同一脉冲的气相反应物反应。接下来的不同反应物脉冲与先前的终止状态反应,以使沉积能继续进行。因此,每一交替脉冲周期通常留下少于约1个或不超过约1个所需材料分子层。ALD型方法的原理已例如由T.Suntola呈现于例如HandbookofCrystalGrowth3,ThinFilmsandEpitaxy,第B部分:GrowthMechanismsandDynamics,第14章,AtomicLayerEpitaxy,第601-663页,ElsevierScienceB.V.1994中,所述文献的公开内容以引用的方式并入本文中。已经针对ALD提出了允许调节生长速率的变更。然而,为了提供高保形性及厚度均一性,此等反应仍或多或少为自饱和反应。
发明内容
根据一些实施方案,提供通过原子层沉积在反应空间中的基板上形成含氧薄膜的方法。所述方法包含沉积周期,包括:向反应空间提供第一反应物的气相反应物脉冲,由此其在基板表面上形成不超过第一反应物单层;自反应空间中去除过量的第一反应物;向所述反应空间提供含水的第二反应物的气相反应物脉冲,使得所述反应空间中的水分压高于约100毫托(mTorr);以及自所述反应空间去除过量的第二反应物及任何反应副产物。
根据一些实施方案,提供通过原子层沉积在反应空间中的基板上形成含氧薄膜的方法。所述方法包括:向反应空间交替且连续地提供包括含氯前体的气相反应物脉冲及含水的气相反应物脉冲;其中重复所述气相反应物脉冲,直至获得具有所需厚度的薄膜,其中提供含水气相反应物脉冲,使得反应空间中的水分压高于约200毫托。
根据一些实施方案,提供通过原子层沉积在反应空间中的基板上形成薄膜的方法。所述方法包括:使基板与包括第一前体的气相反应物脉冲及含水的气相反应物脉冲交替且连续地接触,其中提供水,使得反应空间中的水分压高于约250毫托;及重复所述交替且连续的脉冲,直至获得具有所需厚度的薄膜。
为了概述本发明及所获得的优于先前技术的一些优点,上文已经描述了本发明的某些目的及优点。当然,应了解,根据本发明的任一特定实施方案,未必能实现所有所述目的或优点。因此,例如本领域技术人员将认识到,实施或进行本发明的方式应能实现或优化本文所教示的一个优点或一组优点,无需实现本文所教示或提出的其它目的或优点。
所有此等实施方案都将在本文所公开的本发明的范围内。自以下参照随附图式的较优选实施方案的详细描述,本发明的此等及其它实施方案对本领域技术人员显而易见,本发明不限于所公开的任何特定较优选实施方案。
附图说明
图1是大体上说明根据一些实施方案形成含氧薄膜的方法的流程图。
图2是说明通过ALD法,使用加热至不同温度的氯化铪及水沉积的二氧化铪膜的膜厚度的曲线图。
图3是说明通过ALD法,在75℃的容器温度下,使用氯化铪及水沉积的二氧化铪膜的中心膜厚度与沉积周期数的间关系的图。
图4是说明通过ALD法,在75℃的容器温度下,使用水沉积的二氧化铪膜的铪原子表面密度与沉积周期数的间关系的图。
图5是说明通过ALD法,在75℃的容器温度下使用水沉积的二氧化铪膜的隧道电压与等效氧化层厚度(EOT)的间关系的图。
图6是通过ALD法,在各种源温度下使用氯化铪及水沉积的二氧化铪膜中氯及硅含量的二次离子质谱仪(SIMS)影像。
具体实施方式
可以利用原子层沉积(ALD)型方法,使用本文所述的方法及装置,在基板上沉积含氧薄膜。尽管在集成电路、介电氧化物、电容器、晶体管或其它半导体组件的一部分中形成含氧薄膜的情形中说明本文中所公开的原理及优点,但本领域技术人员将容易了解所述原理及优点适用于可使用含氧薄膜的各种其它情形。
原子层沉积(ALD)
ALD型方法是基于受到控制的自限式表面反应。通过将前体交替且连续地馈入反应空间中,可以避免气相反应。反应空间中气相反应物彼此分离是例如通过在反应物脉冲的间自反应空间去除过量的反应物及/或反应副产物实现。
由于ALD方法的自限特性允许更好地控制膜生长,故在一些应用中,ALD方法优于化学气相沉积方法(CVD)。ALD方法也可制造出均一性高于一些CVD方法的薄膜。
简言之,在ALD方法中,将基板装载至反应空间中,并且一般在较低压力下,加热至适合的沉积温度。沉积温度通常保持低于反应物的热解温度,但达到足够高的水平,以避免反应物缩合,并为所需表面反应提供活化能。当然,任何指定ALD反应的适当温度限度将视表面终止状态及所涉及的反应物的种类而定。此处,温度较优选低于约600℃,更优选低于约500℃,甚至更优选低于400℃,且最优选低于约300℃。下文将提供一些具体实施方案的特定沉积温度。
将第一反应物以气相脉冲的形式传输或脉冲输送至反应空间中,并与基板表面接触。较优选选择条件使得以自限方式将仅约一个第一反应物单层吸附至基板表面上。过量的第一反应物及反应副产物(若存在的话)是诸如通过用惰性气体冲洗而自反应空间去除。本领域技术人员易于根据特定情形确定适合的脉冲时间。
冲洗反应空间意谓,诸如通过用真空泵抽空反应空间,及/或通过用诸如氩气或氮气的惰性气体置换反应器内部的气体,自反应空间中去除气相前体及/或气相副产物。典型的冲洗时间为约0.05秒至20秒,更优选在约0.25秒与10秒的间,且更优选在约0.5秒与2秒的间。然而,必要时,诸如在需要高度保形步阶覆盖(conformalstepcoverage)极高纵横比结构或其它具有复杂表面形态的结构时,可以利用其它冲洗时间。另外,由于体积及表面积的增加,分批式ALD反应器可以利用较长的冲洗时间。本领域技术人员可根据特定情形确定冲洗时间。
将第二气态反应物脉冲输送至反应空间中,其中所述反应物与结合至表面的第一反应物反应。较优选藉助于惰性气体冲洗及/或抽空,自反应空间中去除过量的第二反应物及表面反应的气态副产物。重复脉冲输送及冲洗步骤,直至已经在基板上形成具有所需厚度的薄膜,其中每一周期通常会留下小于一个或仅一个分子单层。
如上文所述,每一周期的每一脉冲或阶段较优选为自限式的。在每一阶段供应过量的反应物,以使易受影响的结构表面理想地饱和。表面饱和将确保反应物占据所有可用的反应位点(例如受实际尺寸(physicalsize)或“位阻(sterichindrance)”限制),由此确保优良的步阶覆盖。实务上,难以达成完全表面饱和。
需要以较少周期数及较短周期时间形成连续膜。较长的反应物脉冲可以改良每一周期的步阶覆盖,且每一周期产生较高生长速率。然而,较长的脉冲时间会使周期时间及总体晶圆方法时间增加量大于在较长脉冲时间下所产生的每一周期的膜厚度生长的增益。因此,需要优化脉冲时间及反应条件,使得在较少周期数(其中每一周期优化成相对较短的持续时间)后获得质量较高的连续膜。结果,无需较长脉冲长度,每一周期中的少量生长增益及沉积膜的质量可明显减少晶圆方法时间及成本。
在本文所述的一些实施方案中,描述一种方法,其将增加反应器中水的浓度,由此在不使用较长水脉冲长度的情况下,引起水分子表面饱和度的改良以及膜生长的增加,同时还展现ALD方法特有的自限特性。先前认为反应器中的高浓度水难以有效除去且几乎不可能有效除去,且先前脉冲留在反应器中的残余水可能会破坏ALD方法的自限特性。在本发明方法中,所得金属氧化物膜的电性质远远胜过低剂量水脉冲,包含在同一等效氧化层厚度(EOT)下较少的漏电流。此等改良的结果也可利用较短的反应物脉冲获得。
图1是大体上说明根据一个实施方案形成含氧薄膜的方法的流程图。根据较优选实施方案,通过包括多个沉积周期的ALD型方法100,在反应空间中的基板上形成含氧薄膜,各沉积周期包括:
向反应空间提供第一反应物的气相反应物脉冲,由此其在基板表面上形成不超过第一反应物单层;
自反应空间去除过量的第一反应物;
向反应空间提供含水的第二反应物的气相反应物脉冲;及
自反应空间去除过量的第二反应物及任何反应副产物;
这可称为氧化物沉积周期(oxidedepositioncycle)。重复所述周期,直至获得具有所需厚度及组成的薄膜。
首先,将包括第一反应物的气相反应物脉冲提供至基板及反应空间110。
第一反应物可例如包括(但不限于)选自由以下构成的组的元素:镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钷(Pm)、钐(Sm)、铒(Er)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铥(Tm)、镱(Yb)、钪(Sc)、钛(Ti)、钒(V)、铬(Cr)、锰(Mn)、铁(Fe)、钴(Co)、镍(Ni)、铜(Cu)、锆(Zn)、钇(Y)、锆(Zr)、铌(Nb)、钼(Mo)、鎝(Tc)、钌(Ru)、铑(Rh)、钯(Pd)、银(Ag)、镉(Cd)、镏(Lu)、铪(Hf)、钽(Ta)、钨(W)、铼(Re)、锇(Os)、铱(Ir)、铂(Pt)、金(Au)、汞(Hg)、锑(Sb)、碲(Te)、铍(Be)、铋(Bi)、硼(B)、碳(C)、铝(Al)、硅(Si)、磷(P)、铟(In)、镓(Ga)、锗(Ge)及锡(Sn)。第一反应物较优选包括钛、铝、铪、硅及锆中一者或多者。在一些实施方案中,第一反应物包括铪且沉积二氧化铪薄膜。
在一些实施方案中,可以沉积包括二氧化铪或二氧化锆的膜。在一些实施方案中,二氧化铪膜或二氧化锆膜可以掺杂有稀土元素,例如镧、铈、镨、钕、钷、钐、铒、钆、铽、镝、钬、铥、镱、镏。在一些实施方案中,沉积膜包括HfTiO2、ErHfO2、LaZrO、SrBaTiO3及SrTiO3
在一些实施方案中,沉积方法可以水脉冲开始及结束。举例而言,在初始的水脉冲后,可以执行包括第一反应物脉冲及水脉冲的多个沉积周期,以沉积具有所需厚度的膜。
在一些实施方案中,第一反应物较优选为卤化物。在一些实施方案中,卤化物是氯化合物(chlorinecompound)且包括至少一个氯原子。在一些实施方案中,使用金属卤化物,例如具有式MXn的化合物,其中M是金属,X是卤素,且n等于M的价态,例如当M是钛时,所述金属卤化物为氯化钛(TiCl4)、溴化钛(TiBr4)及碘化钛(TiI4)。在一些较优选实施方案中,金属卤化物前体选自氯化铝(AlCl3)、氯化铪(HfCl4)、氯化硅(SiCl4)及氯化锆(ZrCl4)。
在一些实施方案中,第一反应物包括一或多种选自由以下构成的组的配位体:经取代或未经取代的烷基-、烷基酰胺-、醇化物-、脒基-、芳基-、β二酮基-、酰亚胺基-酰胺基-及环戊二烯基化合物。在一些实施方案中,金属有机化合物选自由以下构成的组:三甲基铝、四(乙基甲基)胺基铪、四(二甲基)胺基铪、四(二乙基)胺基铪、四(乙基甲基)胺基锆、四(二甲基)胺基锆及四(二乙基)胺基锆。
第一反应物较优选在基板上仅形成约单一分子层。必要时,可自反应空间冲洗或去除任何过量的反应物120。在一些实施方案中,冲洗步骤可以包括停止第一反应物的流动,同时仍继续诸如氮气或氩气的惰性载气的流动。
接着,将含水(H2O)的第二反应物的气相反应物脉冲提供至基板及反应空间130。在一些实施方案中,所提供的水可以是水与诸如氮气或氩气的不活泼气体(inactivegas)的混合物。
水是一种极性分子,通常会展现强氢键结合力。此等物理性质导致在水脉冲后反应空间中的水缓慢脱气的顾虑。ALD方法设计成避免或最小化CVD型气相反应。未吸附于基板表面上且在第一反应物脉冲期间仍保留在反应空间中的残余水可产生气相或CVD型反应,这可能破坏ALD方法的自限特性。由于此等反应可能在基板表面上引起不均匀生长,故其也为不合需要的。
意外的是,增加反应空间中的水分压、增加水流入反应空间的流速或增加水容器中的蒸汽压,不会引起沉积薄膜质量方面的问题。令人惊讶的是,沉积薄膜展现较低的杂质含量、改良的电性质、较高的生长速率及/或较优选的成核作用。
在一些实施方案中,将水提供至反应空间中,使得反应空间中的水分压高于约10毫托,即反应空间中的典型水分压。甚至更优选地,在方法期间,水浓度或水分压比反应空间中典型水浓度或分压高一个数量级。
在一些实施方案中,可通过控制水源温度、载气流速、水流速、水源压力等,调节反应空间中的水分压。
在一些实施方案中,将水提供至反应空间,使得反应空间中的水分压高于约100毫托。在一些实施方案中,将水提供至反应空间,使得反应空间中的水分压高于约200毫托或约250毫托。在一些实施方案中,在甚至更高的分压下,例如在高于500毫托的分压下,将水提供至反应空间。在一些实施方案中,反应空间中的水分压高于约1000毫托,且在一些情况下,高于约1500毫托。在一些实施方案中,反应空间中的水分压高于约2000毫托,且在一些实施方案中,高于约2500毫托。
在一些实施方案中,水是由温度保持高于室温的水源容器供应。水源容器中的水温较优选高于约37℃,更优选高于约50℃,且最优选高于约75℃。使水源容器温度保持高于室温可以产生较高水蒸气压力。举例而言,在约50℃下,水的蒸汽压为约92托。在一些实施方案中,控制水源容器的条件,使得以高于约500标准毫升/分钟(sccm)的流速将水供应至反应空间。
每一氧化物沉积周期通常仅形成约一个氧化物分子层。必要时,可自反应空间去除任何过量的反应副产物或水140。在一些实施方案中,冲洗步骤可以包括停止水的流动,同时仍继续诸如氮气或氩气的惰性载气的流动。
通常重复所述氧化物沉积周期预定次数150,以形成具有所需厚度的氧化层。在一些实施方案中,通过多个沉积周期形成多个氧化物分子层。在其它实施方案中,形成一个或不到一个金属氧化物分子层。
去除过量反应物可以包含抽空反应空间中的一些内含物,或用氦气、氮气或任何其它惰性气体冲洗反应空间。在一些实施方案中,冲洗可包括切断反应气体的流动,同时使惰性载气继续流到反应空间。
在标准条件(室温及大气压)下,ALD型方法中所用的前体或反应物可以是固态、液态或气态物质,只要在将所述前体或反应物传输至反应空间中并与基板表面接触之前,其为气相即可。将气化的前体或反应物“脉冲输送(pulsing)”至基板上意谓,在有限时段内,将所述前体或反应物蒸气传输至反应空间中。通常,脉冲时间为约0.05秒至10秒。然而,视基板类型及其表面积而定,脉冲时间甚至可以多于10秒。
对于单晶圆ALD反应器中的300毫米晶圆而言,较优选脉冲输送第一反应物0.05秒至10秒,更优选0.1秒至5秒,且最优选约0.3秒至3.0秒。较优选将含水的第二反应物脉冲输送约0.05秒至10秒,更优选0.1秒至5秒,甚至更优选约0.2秒至3.0秒,且最优选约0.1秒至0.5秒。然而,在一些情况下,脉冲时间可达约数分钟。最优选的脉冲时间可由本领域技术人员根据特定情形容易地确定。
反应物的质量流速也可以由本领域技术人员确定。在一个实施方案中,对于在300毫米晶圆上进行沉积,第一反应物的流速较优选(但不限于)在约1标准毫升/分钟与10,000标准毫升/分钟之间,更优选在约100标准毫升/分钟与500标准毫升/分钟之间。第一反应物的质量流速通常低于含水的第二反应物的质量流速,而后者的质量流速通常在(但不限于)约10标准毫升/分钟与10,000标准毫升/分钟之间。
通常,使水流至反应空间的流速最小,以避免在下一次气相反应物脉冲期间发生水脱气,这可能导致不合需要的CVD反应。对于此项技术中已知的ALD方法而言,水流至反应空间的流速通常为约15标准毫升/分钟或低于15标准毫升/分钟。对于大部分制造工具,典型水流速为约10至100标准毫升/分钟。
在一些实施方案中,水流至反应空间的流速明显大于此项技术中已知的方法。水流至反应空间的流速较优选高于约100标准毫升/分钟。水流至反应空间的流速更优选高于约500标准毫升/分钟。甚至更优选地,水的流速高于约750标准毫升/分钟。水流速最优选高于1000标准毫升/分钟。在一些实施方案中,水流速高于2000标准毫升/分钟或甚至高于2500标准毫升/分钟。水流速对应于水的质量流量,并且排除任何载气流量。
反应空间中的压力通常为约0.01毫巴(mbar)(0.0075托)至约20毫巴(15托),更优选为约0.5托至约5托。然而,在一些情况下,压力将会高于或低于此范围,此可由本领域技术人员容易地确定。
在开始膜沉积之前,通常将基板加热至适合的生长温度。含氧薄膜的生长温度较优选低于约600℃,更优选低于约500℃,甚至更优选低于约400℃,且最优选低于约300℃。在一些实施方案中,基板的生长温度较优选为250℃至300℃。在一些实施方案中,基板温度较优选低于约250℃,且更优选低于约200℃。在一些实施方案中,提供及去除步骤期间的基板温度可以低于100℃,并且低至室温或约15℃至25℃。
可以重复沉积周期预定次数,或直至达到所需厚度。薄膜厚度较优选薄至数埃且其厚度更优选在约3埃与200纳米之间。
在一些实施方案中,沉积时,薄膜为结晶。在一些实施方案中,沉积时,薄膜为无定形的。在一些实施方案中,可以将基板退火以增加薄膜的结晶度。
在一些实施方案中,第一反应物的每次脉冲的单层覆盖率大于约20%。可以使用卢瑟福背散射光谱(RutherfordBackscatteringSpectroscopy,RBS)先测定每一周期的表面覆盖率。随后,可以通过用每一周期的RBS覆盖率除以含第一反应物的氧化层的容积无定形密度(bulkamorphousdensity),计算出单层覆盖率。第一反应物的每次脉冲的单层覆盖率较优选大于约21%,且甚至更优选大于22%。
本文所述的方法可以提供许多优于此项技术中已知的方法的优势。
本文所述方法可以改良膜成核作用。膜成核作用的改良可以增加每一周期的单层覆盖率。膜成核作用的改良以及每一周期的单层覆盖率的增加可以使每一周期具有较高生长速率。举例而言,每一周期的单层覆盖率的增加使ALD膜在较少周期内“闭合(close)”(以目标类型的连续ALD膜完全覆盖下伏材料(underlyingmaterial))。膜闭合的改良可以使EOT缩放(EOTscaling)改良,例如当EOT因在较少周期内形成连续ALD膜而减小时,仍保持泄漏(leakage)与EOT线的关系。
本文所述的方法可以制造出质量较高的薄膜,对于指定厚度的沉积薄膜,其可以展现改良的物理缩放(physicalscaling)、改良的泄漏效能及较小的EOT。此外,在各实施例中所用温度下,未观察到不利的颗粒碰撞。
本文所述的方法也可制造出具有较少杂质的薄膜,尤其当使用含氯化物的前体时制造出具有较少氯杂质的薄膜。
本文所述的方法也可使每次脉冲的反应物饱和度改良,而无需较长的脉冲时间。通过增加每一周期的生长速率,而不需搭配增加每一周期时间长度,每一晶圆的方法时间及成本得以降低。
水传递
可以通过多种方法将水提供至反应空间。通常,在室温及低分压下,将水连同载气一起供应至反应空间。根据本文所述的方法,通过各种修改,可以在较高反应器分压下及/或以较大流速,将水提供至反应空间。
在一些实施方案中,可以在固源烘箱(solidsourceoven)中加热水,并将其提供至反应空间。举例而言,颁予Shero等人的美国专利第7,122,085号公开了可用于本发明方法中的固源烘箱的配置。美国专利第7,122,085号中有关固源烘箱配置的公开内容以引用的方式并入本文中。
在一些实施方案中,可通过蒸汽发生器或直接液体注入(directliquidinjector,DLI)系统,将水供应至反应空间。高纯度蒸汽发生器及直接液体注入系统的商品实施例包括由RASIRCTM制造的商品。例如,由RASIRCTM制造的高纯度蒸汽发生系统。在一些实施方案中,蒸汽发生器、DLI系统或其它RASIRCTM系统可经过改变以产生所需的水流速条件。
水源容器
颁予Shero等人的美国专利公开案第2005/0000428号公开了多种反应物源容器。美国专利公开案第2005/0000428号中有关反应物源容器的公开内容以引用的方式并入本文中。在一些实施方案中,水源容器可包括美国专利公开案第2005/0000428号中公开的任何反应物容器。
在一些实施方案中,将水源容器加热至高于约37℃的温度。在一些实施方案中,可以使用较高蒸气压的水,从而以所需流速供应水及/或在反应器中达到所需水分压。在一些实施方案中,可以不藉助于载气将水供应至反应器中。
在一个实施方案中,可以颠倒帕耳帖(Peltier)组件或热电冷却器的操作,以使其可用于加热水源容器。
在一些实施方案中,水脉冲可以藉助于载气(诸如氮气)实现。在一些实施方案中,可以调整载气的流速以在反应器中达到所需水分压。
在一些实施方案中,载气可流过或鼓泡通过水源容器及其内含物。使载气流过水可以在载气流中夹带水及水蒸气。随后,可以使载气及水流至反应空间。在一些实施方案中,可以通过使载气流过水源容器的内含物,将水提供至反应空间。
在其它实施方案中,载气可流经水源容器的出口。载气流经水源容器的出口可以使水及水蒸气自水源容器流出并与载气混合。随后,可以将载气及水提供至反应空间。在一些实施方案中,可以通过使载气流经水源容器的出口,将水提供至反应空间。
在一些实施方案中,可以将水源容器加热至较高温度,例如高于约55℃的温度。在一些实施方案中,将水源容器加热至高于约65℃。在一些实施方案中,将水源容器加热至高于约75℃。较高温度将使水源容器中水的蒸气压升高。较高水压可以产生较高水流速及/或使反应空间具有较高水分压。可以通过使流量控制阀(flowcontrolvalve)节流、在水供应管线中使用限流孔(restrictiveorifice)、使用另一类流量控制阀,或此项技术中已知的控制蒸气流速的任何其它方法来控制水的流速。
在一些实施方案中,控制水流至反应空间的流速,使得反应空间中的分压高于约200毫托或约250毫托。在一些实施方案中,在甚至更高的分压下,例如在高于500毫托的分压下,将水提供至反应空间。在一些实施方案中,反应空间中的水分压高于约1000毫托,且在一些情况下,高于约1500毫托。在一些实施方案中,反应空间中的水分压高于约2000毫托,且在一些实施方案中,高于约2500毫托。
在一些实施方案中,水源容器中水的蒸气压为约92托或更高。在一些实施方案中,控制水源容器的条件,使得以高于约500标准毫升/分钟的流速将水供应至反应空间。
测量流至反应空间的水流量
可以通过若干不同方法定量水流速,包含(但不限于)测量水源容器中水的蒸气压、传递至反应器的水流速及反应室中的分压。
在一些实施方案中,通过水源容器中的水蒸气压测量水流速。可以根据水源容器中水的温度容易地测定压力。可以根据反应系统的特定特征,例如载气流量、反应空间压力、水供应管在线的任何流量或压力控制组件等,校准所得水流至反应空间的流速。
在一些实施方案中,通过测量水传递至反应器的流速定量水的流速。举例而言,可以使用流量计(flowmeter)测量流至反应器的水蒸气流量。
在一些实施方案中,可通过反应室中水分压或浓度定量水流量。
以下实施例是在先前购自ASMAmerica公司(Phoenix,Arizona)及ASMEuropeB.V.公司(Almere,Netherlands)的P3000反应器中执行。
实施例1
通过ALD法,使用交替且连续的氯化铪及水脉冲,实现0.5埃/周期的二氧化铪生长速率,其中水脉冲长度在持续时间内低于500毫秒且水源略低于室温(15℃)。此等方法条件通常在每一周期产生约15%的单层覆盖率及低羟基(-OH)表面覆盖率。
实施例2
通过在各种反应器及反应物方法条件下,将氯化铪及水交替及连续地提供至反应空间,以将二氧化铪薄膜沉积于基板上。基板温度为300℃。图2说明水脉冲时间与水源温度对沉积的二氧化铪薄膜厚度的影响。在所有温度下,将水脉冲时间自250毫秒增加至5秒均使膜生长速率增加。将水源温度自55℃增加至75℃使薄膜生长速率增加。对于指定脉冲时间,升高水源容器的温度使二氧化铪薄膜的生长速率增加。氮气用作载气。
实施例3
也在15℃、37℃、55℃、65℃及75℃的水源容器温度下,研究每一周期的二氧化铪膜的薄膜生长速率。使用水及氯化铪来沉积二氧化铪。水脉冲时间小于1秒。在各种沉积周期间隔下测量二氧化铪膜的厚度。
当水源容器源温度为15℃时,每一周期的平均生长速率为0.50埃/周期。当水源容器源温度为37℃时,每一周期的平均生长速率也为约0.50埃/周期。因此,对于15℃与37℃的水源容器源温度,生长速率大致相同。
在约55℃及更高的水源容器温度下,观察到较高生长速率。当水源容器源温度为55℃时,每一周期的平均生长速率为0.54埃/周期。当水源容器源温度为75℃时,每一周期的平均生长速率为0.55埃/周期。当水源容器源温度为65℃时,每一周期的平均生长速率也为0.55埃/周期。
通过调节水源反应器入口上节流阀(throttlevalve)的位置,使反应器压力在约4托下保持恒定。随后根据节流阀位置计算水的流速。也可使用孔(orifice)、针阀(needlevalve)或一些其它的控制来限制。流速与节流阀的打开百分比相关。接着在水脉冲期间,分析节流阀反应。随后使用相关性来计算水的流速。接着根据反应器压力、载气流速及水流速计算反应器中的水分压。
在水源容器温度为15℃下,计算出反应器中的水分压为45毫托。在水源容器温度为55℃下,计算出反应器中的水分压为约1500毫托。在水源容器温度为65℃下,计算出反应器中的水分压为约1800毫托至约2000毫托。在水源容器温度为约75℃下,计算出反应器中的水分压为约2000毫托至约2500毫托。基板温度保持在约300℃。
在水源容器温度为75℃下,达到的最高平均生长速率为0.58埃/周期。
实施例4
图3说明二氧化铪膜厚度与沉积周期数的关系。使用氯化铪及水沉积二氧化铪。水源容器温度为约75℃。每一周期的平均生长速率为约0.56埃/周期。线性关系指示在5个与40个周期之间具有一致的成核作用及膜生长。在后续沉积周期中,未观察到生长减少或抑制。
也使用卢瑟福背散射光谱(RBS)研究沉积的二氧化铪薄膜。图4说明基板表面上铪原子密度与沉积周期数的关系。
RBS数据表明,每一周期沉积约2×1014个铪原子/平方厘米,由此每一沉积周期产生大致为约21.8%的单层覆盖率。通过用每一周期的RBS表面覆盖率(2×1014个铪原子/平方厘米)除以约9.15×1014个铪原子/平方厘米的二氧化铪容积无定形密度,计算出每一周期的单层覆盖率为21.8%。比较起来,在常规水浓度脉冲下,此方法的典型单层覆盖率为约15%。因此,反应空间中水分压增加及水浓度增加将明显改良每一周期的单层覆盖率,由此以较少的沉积周期数即可形成连续膜。
也使用RBS测量沉积的二氧化铪薄膜中存在的氯量。使用含氯前体可能在沉积的薄膜中产生杂质,例如,残余氯可能并入薄膜中。意外的是,沉积的二氧化铪薄膜中存在的氯量低于RBS的0.5%的可侦测下限。利用本文所述方法制造的二氧化铪薄膜制造出氯杂质比此项技术中已知的其它方法低的膜。
图6是沉积的二氧化铪薄膜中氯含量的二次离子质谱仪(SIMS)影像。SIMS光谱显示溅射时间(在样品中的深度)与物质强度(任意单位)的关系。测量分别在55℃、65℃及75℃的源温度下使用水沉积的二氧化铪膜的氯含量。曲线显示,沉积的二氧化铪膜中的氯含量随水源温度升高而降低。也存在氢杂质(未示出)随着水源温度升高而减少的类似数据。所述资料表明,高浓度水脉冲将改良膜纯度。图6也显示二氧化铪膜在何处停止及硅基板根据以硅计数的上升趋势(up-turn)开始。
实施例5
也研究沉积的二氧化铪薄膜的电效能。图5说明隧道电压(tunnelvoltage)与沉积的二氧化铪薄膜的等效氧化层厚度(EOT)的关系。使用氯化铪及水沉积二氧化铪薄膜。水源容器源温度为75℃。对于剂量A组,水脉冲持续时间为250毫秒,而对于剂量B组,水脉冲持续时间为1000毫秒。
隧道电压与漏电流呈反比。较高隧道电压表明较低的漏电流。如图5中所示,与基于利用此项技术中已知的ALD法沉积的二氧化铪膜的预期效能相比较,在较高的反应器水分压下沉积的二氧化铪薄膜在较小EOT值下展现改良的泄漏(较高隧道电压)。泄露效能的改良可能是沉积薄膜中化学计量改良、由每一周期的单层覆盖率增加引起的膜闭合加快、较高膜密度以及沉积薄膜中杂质减少的结果。
本领域技术人员应了解,可在不偏离本发明的范围的情况下,进行各种修改及变化。类似的其它修改及变化意欲落在随附权利要求所界定的本发明的范围内。

Claims (23)

1.一种通过原子层沉积在反应空间中的基板上形成介电氧化物的方法,其中所述介电氧化物用于半导体组件,所述方法包括沉积周期,所述沉积周期包括:
向所述反应空间提供含铪及/或锆的第一反应物的气相反应物脉冲,使得其在所述基板的表面上形成不超过第一反应物单层;
自所述反应空间去除过量的所述第一反应物;
向所述反应空间提供含水的第二反应物的气相反应物脉冲,使得所述反应空间中的水分压高于500毫托,其中所述第二反应物的所述气相反应物脉冲包括比使所述基板的表面饱和需要的高至少一个数量级的水量;以及
自所述反应空间去除过量的所述第二反应物和任何反应副产物,
由此形成适合用于半导体组件的沉积的介电氧化物,其中所述沉积的介电氧化物包括所沉积的小于0.5原子百分比的氯。
2.如权利要求1所述的方法,其中重复所述沉积周期,直至获得具有所需厚度的介电氧化物。
3.如权利要求1所述的方法,其中所述第一反应物进一步包括来自由以下构成的组的元素:Ti、Al及Si。
4.如权利要求3所述的方法,其中所述第一反应物包括氯化物。
5.如权利要求4所述的方法,其中所述第一反应物包括氯化铪或氯化锆。
6.如权利要求5所述的方法,其中所述第一反应物是氯化铪且沉积二氧化铪。
7.如权利要求1所述的方法,其中所述反应空间中的水分压高于1000毫托。
8.如权利要求1所述的方法,其中所述沉积周期在每一周期中沉积大于约20%的单层。
9.如权利要求1所述的方法,其中所述基板在所述沉积周期期间的温度高于约250℃。
10.如权利要求1所述的方法,其中提供水的气相脉冲包括100毫秒或更长的脉冲长度。
11.一种通过原子层沉积在基板上形成介电氧化物的方法,所述方法包括:
交替且连续地使所述基板接触:
包括含氯及铪、氯及锆或氯以及铪及锆的前体的气相反应物,以及包括比使所述基板的表面饱和需要的高至少一个数量级的水量的气相反应物;
其中所述气相反应物被交替且重复地提供,直至获得具有所需厚度的介电氧化物,其中所述介电氧化物包括所沉积的小于0.5原子百分比的氯,并且适合用于半导体组件,
其中所述含水的气相反应物脉冲经提供使得所述反应空间中的水分压高于500毫托。
12.如权利要求11所述的方法,其中所述反应空间中的水分压高于1500毫托。
13.如权利要求12所述的方法,其中所述反应空间中的水分压高于2500毫托。
14.一种通过原子层沉积在反应空间中的基板上形成介电氧化物的方法,所述方法包括:
使基板与包括含铪及/或锆的第一前体的气相反应物脉冲以及含水的气相反应物脉冲交替及连续地接触,其中所述水经提供使得所述反应空间中的水分压高于500毫托,其中所述含水的气相反应物脉冲包括比使所述基板的表面饱和需要的高至少一个数量级的水量;及
重复所述交替及连续脉冲,直至获得具有所需厚度的介电氧化物,所述介电氧化物包括所沉积的不超过0.5原子百分比的氯,并且其中所述介电氧化物适合用于半导体组件。
15.如权利要求14所述的方法,其中所述反应空间中的水分压高于2000毫托。
16.如权利要求11所述的方法,其中所述介电氧化物包括二氧化铪或二氧化锆。
17.如权利要求14所述的方法,其中所述介电氧化物包括二氧化铪或二氧化锆。
18.如权利要求1所述的方法,其中所述沉积的介电氧化物进一步包括一种或多种来自由以下构成的组的元素:镧、铈、镨、钕、钷、钐、铒、钆、铽、镝、钬、铥、镱、镏、钡及锶。
19.如权利要求11所述的方法,其中所述介电氧化物进一步包括一种或多种来自由以下构成的组的元素:镧、铈、镨、钕、钷、钐、铒、钆、铽、镝、钬、铥、镱、镏、钡及锶。
20.如权利要求14所述的方法,其中所述介电氧化物进一步包括一种或多种来自由以下构成的组的元素:镧、铈、镨、钕、钷、钐、铒、钆、铽、镝、钬、铥、镱、镏、钡及锶。
21.如权利要求1-10或18任一项所述的方法,其中所述反应空间中的总压力是0.5托至15托。
22.如权利要求11-13、16或19任一项所述的方法,其中所述反应空间中的总压力是0.5托至15托。
23.如权利要求14、15、17或20任一项所述的方法,其中所述反应空间中的总压力是0.5托至15托。
CN201080035028.9A 2009-08-26 2010-08-11 原子层沉积用高浓度水脉冲 Active CN102473603B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/547,911 US9117773B2 (en) 2009-08-26 2009-08-26 High concentration water pulses for atomic layer deposition
US12/547,911 2009-08-26
PCT/US2010/045166 WO2011028377A2 (en) 2009-08-26 2010-08-11 High concentration water pulses for atomic layer deposition

Publications (2)

Publication Number Publication Date
CN102473603A CN102473603A (zh) 2012-05-23
CN102473603B true CN102473603B (zh) 2016-01-13

Family

ID=43625546

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080035028.9A Active CN102473603B (zh) 2009-08-26 2010-08-11 原子层沉积用高浓度水脉冲

Country Status (5)

Country Link
US (1) US9117773B2 (zh)
KR (1) KR101585578B1 (zh)
CN (1) CN102473603B (zh)
TW (1) TWI499686B (zh)
WO (1) WO2011028377A2 (zh)

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104060239B (zh) * 2014-06-06 2017-05-10 华中科技大学 一种金属物品表面保护方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1934287A (zh) * 2004-05-12 2007-03-21 应用材料股份有限公司 用于高介电常数含铪介电材料的原子层沉积的装置和方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2913565A (en) 1955-12-30 1959-11-17 Kanthal Ab Electrically heated apparatus
DE2055425B2 (de) 1970-11-11 1979-09-06 Bayer Ag, 5090 Leverkusen Adsorptionsverfahren zum Zerlegen von Gasgemischen
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4059094A (en) 1975-12-04 1977-11-22 Barrio De Mendoza Cayo Petroni Solar energy collector apparatus
US4425143A (en) 1978-12-29 1984-01-10 Shin Tohoku Chemical Industries Inc. Adsorption by zeolitic composition
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4548138A (en) 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
US4594332A (en) 1983-10-20 1986-06-10 Basf Aktiengesellschaft Preparation of hard, fracture-resistant catalysts from zeolite powder
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
JPH0635650B2 (ja) 1986-07-05 1994-05-11 忠弘 大見 超高純度ガス供給装置
DE3702923A1 (de) 1987-01-31 1988-08-11 Philips Patentverwaltung Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US5092219A (en) 1987-07-08 1992-03-03 Thermedics Inc. Selective decomposition of nitrite esters and nitramines
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
EP0382987A1 (en) 1989-02-13 1990-08-22 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Gas supplying apparatus
DE69006809T2 (de) 1989-09-12 1994-09-15 Shinetsu Chemical Co Vorrichtung für die Verdampfung und Bereitstellung von Organometallverbindungen.
US5078922A (en) 1990-10-22 1992-01-07 Watkins-Johnson Company Liquid source bubbler
US5252134A (en) 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
JP2000252269A (ja) 1992-09-21 2000-09-14 Mitsubishi Electric Corp 液体気化装置及び液体気化方法
US5451258A (en) 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
US5561735A (en) 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
US6132492A (en) 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FR2727691A1 (fr) 1994-12-01 1996-06-07 Framatome Sa Procede de revetement d'un substrat en metal ou alliage passivable, par une couche d'oxyde, et tube de gainage et grille-entretoise pour assemblage combustible revetus d'une couche d'oxyde
US5553395A (en) 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
JPH1025576A (ja) 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5674574A (en) 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JPH10251853A (ja) 1997-03-17 1998-09-22 Mitsubishi Electric Corp 化学気相成長装置
US20010000160A1 (en) 1997-08-14 2001-04-05 Infineon Technologies Ag Method for treatment of semiconductor substrates
JP3684797B2 (ja) 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6410432B1 (en) 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
JP2001073144A (ja) 1999-09-03 2001-03-21 Pioneer Electronic Corp 化学気相成長法における原料供給装置
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6571821B2 (en) 2001-07-13 2003-06-03 Varian, Inc. Energy conserving gate valve
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8501277B2 (en) 2004-06-04 2013-08-06 Applied Microstructures, Inc. Durable, heat-resistant multi-layer coatings and coated articles
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7537804B2 (en) * 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US20080305646A1 (en) 2007-06-08 2008-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1934287A (zh) * 2004-05-12 2007-03-21 应用材料股份有限公司 用于高介电常数含铪介电材料的原子层沉积的装置和方法

Also Published As

Publication number Publication date
US9117773B2 (en) 2015-08-25
TWI499686B (zh) 2015-09-11
KR101585578B1 (ko) 2016-01-14
CN102473603A (zh) 2012-05-23
WO2011028377A3 (en) 2011-05-26
KR20120059574A (ko) 2012-06-08
US20110053383A1 (en) 2011-03-03
WO2011028377A2 (en) 2011-03-10
TW201113390A (en) 2011-04-16

Similar Documents

Publication Publication Date Title
CN102473603B (zh) 原子层沉积用高浓度水脉冲
US11155919B2 (en) ALD of metal-containing films using cyclopentadienyl compounds
US10943780B2 (en) Methods for ALD of metal oxides on metal surfaces
US10651031B2 (en) Tantalum compound
US11891690B2 (en) Molybdenum thin films by oxidation-reduction
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
EP1543177A1 (en) Vapor deposition of tungsten nitride
TW201220367A (en) Ternary metal alloys with tunable stoichiometries
US20210066592A1 (en) Deposition Of Metal-Organic Oxide Films
WO2019195670A1 (en) Methods for low temperature ald of metal oxides
KR20070072927A (ko) 납 함유 산화물 박막을 증착하는 방법
KR20230050413A (ko) 불순물 없는 금속 합금 막들을 형성하기 위한 방법들
KR20220115782A (ko) 전이금속 함유 재료의 증착

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20210409

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.

TR01 Transfer of patent right