KR20230050413A - 불순물 없는 금속 합금 막들을 형성하기 위한 방법들 - Google Patents

불순물 없는 금속 합금 막들을 형성하기 위한 방법들 Download PDF

Info

Publication number
KR20230050413A
KR20230050413A KR1020237008492A KR20237008492A KR20230050413A KR 20230050413 A KR20230050413 A KR 20230050413A KR 1020237008492 A KR1020237008492 A KR 1020237008492A KR 20237008492 A KR20237008492 A KR 20237008492A KR 20230050413 A KR20230050413 A KR 20230050413A
Authority
KR
South Korea
Prior art keywords
substrate
processing chamber
metal
titanium
precursor
Prior art date
Application number
KR1020237008492A
Other languages
English (en)
Inventor
기티카 바자즈
다르샨 타카레
프레르나 고라디아
로버트 얀 비저
이시옹 양
재클린 에스. 렌치
스리니바스 간디코타
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230050413A publication Critical patent/KR20230050413A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/26Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen nitrogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/50Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms by carbon linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Composite Materials (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

기판 표면을 할로겐화물 전구체 및 유기실란 반응물에 노출시킴으로써 금속 막을 증착하는 방법들이 설명된다. 할로겐화물 전구체는, 일반식 (I): MQzRm (상기 식에서, M은 금속이며, Q는 Cl, Br, F 또는 I로부터 선택된 할로겐이며, z는 1 내지 6이며, R은 알킬, CO, 및 사이클로펜타디에닐로부터 선택되며, m은 0 내지 6임)의 화합물을 포함한다. 알루미늄 반응물은 일반식 (II) 또는 일반식 (III)의 화합물을 포함하며, 상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, 및 Rf는 수소(H), 치환된 알킬 또는 비치환된 알킬로부터 독립적으로 선택되며; X, Y, X', 및 Y'는 질소(N) 및 탄소(C)로부터 독립적으로 선택된다.

Description

불순물 없는 금속 합금 막들을 형성하기 위한 방법들
[0001] 본 발명은 일반적으로, 금속 막들의 원자 층 증착(ALD)을 위한 방법들에 관한 것이다. 특히, 본 발명의 실시예들은 열-전용 기반 ALD 증착(thermal-only based ALD deposition)에 관한 것이다.
[0002] 트랜지스터는 대부분의 집적 회로들의 핵심 컴포넌트이다. 트랜지스터의 구동 전류, 그리고 그에 따른 속도가 트랜지스터의 게이트 폭에 비례하기 때문에, 더 빠른 트랜지스터들은 일반적으로 더 큰 게이트 폭을 요구한다. 따라서, 트랜지스터 사이즈와 속도 사이에는 트레이드-오프(trade-off)가 존재하며, 최대 구동 전류 및 최소 사이즈를 갖는 트랜지스터의 상반되는 목표들을 해결하기 위해 "핀(fin)" 전계 효과 트랜지스터(finFET)들이 개발되었다. FinFET들은 트랜지스터의 풋프린트를 상당히 증가시키지 않으면서 트랜지스터의 사이즈를 크게 증가시키는 핀-형상 채널 구역을 특징으로 하고, 현재 많은 집적 회로들에 적용되고 있다. 그러나, finFET들은 그들 자신의 단점들을 갖는다.
[0003] 더 큰 회로 밀도 및 더 높은 성능을 달성하기 위해 트랜지스터 디바이스들의 피처 사이즈들이 계속 축소됨에 따라, 정전 커플링을 개선하고 기생 커패시턴스 및 오프-상태 누설과 같은 부정적인 영향들을 감소시키기 위해 트랜지스터 디바이스 구조를 개선할 필요가 있다. 트랜지스터 디바이스 구조들의 예들은 평면 구조, FinFET(fin field effect transistor) 구조, 및 hGAA(horizontal gate all around) 구조를 포함한다. hGAA 디바이스 구조는, 적층 구성으로 서스펜딩되고 소스/드레인 구역들에 의해 연결된 몇몇 격자 정합 채널들을 포함한다. hGAA 구조는 양호한 정전 제어를 제공하고, CMOS(complementary metal oxide semiconductor) 웨이퍼 제조에서 광범위한 채택을 발견할 수 있는 것으로 여겨진다.
[0004] 일 함수 금속은 금속 산화물 반도체(MOS) 트랜지스터 애플리케이션들에서 큰 관심을 받고 있다. 탄탈 탄화물(TaC), 티탄 탄화물(TiC), 티탄 알루미늄 탄화물(TiAlC), 및 티탄 알루미늄(TiAl)과 같은 금속 막들이 MOS 트랜지스터들의 n-금속들(일 함수 금속들)에 대한 후보들로서 평가되었다.
[0005] 통상적으로, 금속들 및 이들의 합금들의 유효 일 함수(WF)는 유효 전기 음성도에 의해 좌우된다. 더 많은 양의 전기양성 금속들이 N-MOS(n-type metal oxide semiconductor) 일 함수를 나타낸다. 가장 널리 사용되는 N-금속 막들은 티탄(Ti), 알루미늄(Al), 하프늄(Hf), 및 란타늄(La)을 포함한다. 플라즈마 없이 이러한 순수 금속 막들을 증착하기 위한 실행 가능한 옵션들은 없다. 플라즈마가 이전에 증착된 막들 및 결과적인 디바이스에 유해한 영향들을 야기할 수 있기 때문에, 플라즈마 프로세스들은 통상적으로 트랜지스터 제조에 바람직하지 않다. 따라서, 최소의 전기음성 잔류물들을 갖는 이들 막들을 증착하기 위한 방법들이 본 기술분야에 필요하다.
[0006] 본 개시내용의 하나 이상의 실시예들은 막을 증착하는 방법에 관한 것이다. 하나 이상의 실시예들에서, 방법은 기판 표면의 적어도 일부를, 하기 일반식 (I)을 갖는 화합물을 포함하는 할로겐화물 전구체에 노출시키는 단계; 및 기판 표면 상에 금속 막을 증착시키기 위해, 기판 표면의 적어도 일부를, 하기 일반식 (II) 또는 일반식 (III)의 화합물을 포함하는 유기실란 반응물에 노출시키는 단계 ― 금속 막에는 탄소가 실질적으로 존재하지 않음 ―를 포함한다:
Figure pct00001
[상기 식에서, M은 금속이며, Q는 Cl, Br, F 또는 I로부터 선택되는 할로겐이며, z는 1 내지 6이며, R은 알킬, CO, 사이클로펜타디에닐, 아미디네이트, 디아자디엔, 또는 아미데이트로부터 선택되며, 그리고 m 는 0 내지 6임]
Figure pct00002
[상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, 및 Rf는 수소(H), 치환된 알킬 또는 비치환된 알킬로부터 독립적으로 선택되며; X, Y, X', 및 Y'는 질소(N) 및 탄소(C)로부터 독립적으로 선택됨].
[0008] 본 개시내용의 추가적인 실시예들은 전자 디바이스에 관한 것이다. 하나 이상의 실시예에서, 게이트 스택은 기판 상의 하이-κ 유전체 층; 하이-κ 유전체 층 상의 제1 티탄 질화물 층; 제1 티탄 질화물 층 상의 일-함수 층; 및 일-함수 층 상의 제2 티탄 질화물 층을 포함하며, 일-함수 층은 실질적으로 탄소가 없는 금속 막을 포함한다.
[0009] 본 개시내용의 추가적인 실시예들은, 프로세싱 챔버의 제어기에 의해 실행될 때, 프로세싱 챔버로 하여금, 다음의 동작들을 수행하게 하는 명령들을 포함하는 비-일시적 컴퓨터 판독 가능 매체에 관한 것이다: 기판을 갖는 프로세싱 챔버의 프로세싱 볼륨 내로 할로겐화물 전구체를 유동시키는 동작 ― 할로겐화물 전구체는 하기 일반식 (I)을 가짐 ―; 프로세싱 챔버로부터 할로겐화물 전구체를 퍼징하는 동작; 기판을 하기 일반식 (II) 또는 일반식 (III)의 유기실란 전구체에 노출시키는 동작; 및 프로세싱 챔버로부터 유기실란 전구체를 퍼징하는 동작을 포함한다:
Figure pct00003
[상기 식에서, M은 금속이며, Q는 Cl, Br, F 또는 I로부터 선택되는 할로겐이며, z는 1 내지 6이며, R은 알킬, CO, 사이클로펜타디에닐, 아미디네이트, 디아자디엔, 또는 아미데이트로부터 선택되며, 그리고 m 는 0 내지 6임]
Figure pct00004
[상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, 및 Rf는 수소(H), 치환된 알킬 또는 비치환된 알킬로부터 독립적으로 선택되며, X, Y, X', 및 Y'는 질소(N) 및 탄소(C)로부터 독립적으로 선택됨].
[0011] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 실시예들을 예시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0012] 도 1은 본원에서 설명되는 실시예들에 따른 방법의 프로세스 흐름도를 예시하며, 그리고
[0013] 도 2는 본원에서 설명되는 실시예들에 따른 금속 산화물 스택의 단면도를 예시한다.
[0014] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용은 다음 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들에 제한되지 않는다고 이해되어야 한다. 본 개시내용은 다른 구현예들이 가능하고, 다양한 방식들로 실시되거나 실행될 수 있다.
[0015] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판"이라는 용어는 프로세스가 작용하는 표면 또는 표면의 일부를 의미한다. 또한, 기판에 대한 언급은 맥락이 명백하게 달리 지시하지 않는 한, 기판의 일부만을 또한 의미할 수 있다고 당업자들에 의해 이해될 것이다. 추가로, 기판 상의 증착에 대한 언급은 베어(bare) 기판, 및 하나 이상의 막들 또는 피처들이 상부에 증착 또는 형성된 기판 둘 모두를 의미할 수 있다.
[0016] 본 명세서에서 사용되는 "기판"은, 제작 프로세스 중에 막 프로세싱이 수행되는, 임의의 기판, 또는 기판 상에 형성된 재료 표면을 의미한다. 예를 들어, 프로세싱이 수행될 수 있는 기판 표면은 애플리케이션에 따라, 실리콘, 실리콘 산화물, 변형된 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 및 금속들, 금속 질화물들, 금속 합금들 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함한다. 기판들은 제한 없이, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 연마, 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화 및/또는 베이크(bake)하기 위한 전처리 프로세스에 노출될 수 있다. 본 개시내용에서는, 기판의 표면 자체에 대해 직접 막을 프로세싱하는 것 외에도, 아래에서 보다 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해서도, 개시된 막 프로세싱 단계들 중 임의의 단계가 또한 수행될 수 있으며, "기판 표면"이라는 용어는 맥락이 나타내는 것과 같은 그러한 하층을 포함하는 것으로 의도된다. 따라서 예를 들어, 막/층 또는 부분 막/층이 기판 표면 상에 증착된 경우, 새로 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0017] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물" 등의 용어들은, 원자 층 증착 프로세스에서 반응성인 종을 포함하는 가스를 의미하도록 상호 교환 가능하게 사용된다. 예를 들어, 제1 "반응성 가스"는 단순히 기판의 표면 상에 흡착될 수 있고, 제2 반응성 가스와의 추가의 화학 반응에 이용 가능할 수 있다.
[0018] 하나 이상의 실시예들에서, 금속 막들, 특히 티탄 및/또는 알루미늄 중 하나 이상의 열-전용 기반 원자 층 증착(ALD) 증착을 위한 방법들이 설명된다. 일부 실시예들은 유리하게, 95% 초과의 스텝 커버리지(step coverage)를 갖는 안정한 조성들을 형성하기 위한 방법들을 제공한다. 방법의 일부 실시예들은 유리하게, GAA(gate-all-around) 아키텍처들에 대한 일 함수 금속들을 제공한다.
[0019] 통상적으로, 제1 원소에 대해 할로겐화물 금속을 사용하고, 제2 원소에 대해 금속-유기 기반 전구체를 사용하여, 2개의 전기양성(electropositive) 원소들의 합금들이 증착된다. 전구체들 사이의 산화-환원 반응은 합금 막을 증착한다. 그러나, 산화-환원 반응은 탄소 잔류물들을 형성하며, 이는 막이 최상의 유효 일 함수를 나타내는 능력을 감소시킬 수 있다. 하나 이상의 실시예들은 유리하게, 제어된 조성을 갖는, 불순물들, 예를 들어 탄소가 실질적으로 없는 합금 막을 제공한다.
[0020] 티탄(Ti) 및 알루미늄(Al)은 둘 다 양전하 금속이고, 환원시키기가 매우 어렵다. 본 개시내용의 일부 실시예들은 순수 금속 막들의 열 기상 증착을 제공한다. 일부 실시예들은 유리하게, 반응물들을 제어함으로써 합금 막들의 조성 제어를 허용한다.
[0021] 하나 이상의 실시예들에서, 유기실란 환원제를 이용한 금속 할로겐화물 전구체의 환원을 수반하는 열 원자 층 증착(ALD) 방법들이 제공된다.
[0022] 본원에서 사용되는 "원자층 증착(atomic layer deposition)" 또는 "순환 증착(cyclical deposition)"은 기판 표면 상에 재료 층을 증착시키기 위해 2개 이상의 반응성 화합물들의 순차적인 노출을 지칭한다. 본 명세서 및 첨부된 청구항들에서 사용되는 용어들 "반응성 화합물", "반응성 가스", "반응성 종", "전구체", "프로세스 가스" 등은 표면 반응(예를 들어, 화학흡착, 산화, 환원)에서 기판 표면 또는 기판 표면 상의 재료와 반응할 수 있는 종을 갖는 물질을 의미하도록 상호 교환 가능하게 사용된다. 기판, 또는 기판의 일부는 프로세싱 챔버의 반응 구역 내로 도입되는 2개 이상의 반응성 화합물들에 별도로 노출된다.
[0023] 시간-도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은 시간 지연에 의해 분리되어 각 화합물이 기판 표면에 부착 및/또는 반응되게 하고 이후에 프로세싱 챔버로부터 퍼징될 수 있게 한다. 공간적 ALD 프로세스에서, 기판 표면의 상이한 부분들, 또는 기판 표면 상의 재료는 2개 이상의 반응성 화합물들에 동시에 노출되며, 이에 따라, 기판 상의 임의의 주어진 지점이 실질적으로 1개 초과의 반응성 화합물에 동시에 노출되지 않는다. 본 명세서 및 첨부된 청구항들에서 사용되는, 이와 관련하여 사용되는 용어 "실질적으로"는 당업자에 의해 이해되는 바와 같이, 기판의 작은 부분이 확산으로 인해 다수의 반응성 가스들에 동시에 노출될 수 있는 가능성이 있고 동시 노출이 의도되지 않음을 의미한다.
[0024] 시간-도메인 ALD 실시예들에서, 프로세스 가스들의 성분들이 기판 표면 상에 부착 및/또는 반응할 수 있게 하기 위해, 프로세스 가스들 각각에 대한 노출이 시간 지연/정지에 의해 분리된다. 대안적으로 또는 조합하여, 일부 실시예들에서, 퍼지는, 프로세스 가스들에 대한 기판의 노출 전에 및/또는 그 후에 수행될 수 있으며, 여기서, 퍼지를 수행하기 위해 불활성 가스가 사용된다. 예를 들어, 제1 프로세스 가스가 프로세스 챔버에 제공되고, 이어서 불활성 가스를 이용한 퍼지가 후속될 수 있다. 다음으로, 제2 프로세스 가스가 프로세스 챔버에 제공되고, 이어서 불활성 가스를 이용한 퍼지가 후속될 수 있다. 일부 실시예들에서, 불활성 가스는 프로세스 챔버에 연속적으로 제공될 수 있고, 제1 프로세스 가스가 프로세스 챔버 내로 도징 또는 펄싱된 후에 프로세스 챔버 내로 제2 프로세스 가스의 도우즈 또는 펄스가 후속될 수 있다. 그러한 실시예들에서, 제1 프로세스 가스와 제2 프로세스 가스의 도즈 사이에 지연 또는 일시정지가 발생하여, 프로세스 가스들의 도즈들 사이에서 프로세스 챔버를 퍼징하기 위한 불활성 가스의 연속적인 유동을 가능하게 할 수 있다.
[0025] 시간-도메인 ALD 프로세스의 일 양태에서, 제1 반응성 가스(즉, 제1 전구체 또는 화합물 A)는 반응 구역 내로 펄싱되고, 제1 시간 지연이 뒤따른다. 다음으로, 제2 전구체 또는 화합물 B는 반응 구역 내로 펄싱되고, 제2 지연이 뒤따른다. 각각의 시간 지연 동안, 아르곤과 같은 퍼지 가스는 프로세싱 챔버 내로 도입되어 반응 구역을 퍼징하거나, 그렇지 않으면 반응 구역으로부터 임의의 잔류 반응성 화합물 또는 반응 부산물들을 제거한다. 대안적으로, 퍼지 가스는 반응성 화합물들의 펄스들 사이의 시간 지연 동안 퍼지 가스만이 유동하도록 증착 프로세스 전반에 걸쳐 연속적으로 유동할 수 있다. 반응성 화합물들은 요망되는 막 또는 막 두께가 기판 표면 상에 형성될 때까지 교대로 펄싱된다. 어느 한 시나리오에서, 화합물 A, 퍼지 가스, 화합물 B 및 퍼지 가스를 펄싱하는 ALD 프로세스가 1 사이클이다. 사이클은 화합물 A 또는 화합물 B로 시작하고, 사전결정된 두께를 갖는 막을 달성할 때까지 사이클의 각각의 순서를 계속할 수 있다.
[0026] 하나 이상의 실시예들에서, 퍼지 가스는 아르곤(Ar), 질소(N2), 또는 헬륨(He) 중 하나 이상으로부터 선택된다. 하나 이상의 실시예들에서, 동일한 퍼지 가스가 전구체 및 환원제를 퍼징하는 데 사용된다. 다른 실시예들에서, 프로세싱 챔버로부터 전구체를 퍼징하기 위해, 프로세싱 챔버로부터 산화제를 퍼징하는 데 사용되는 퍼지 가스와는 상이한 퍼지 가스가 사용된다.
[0027] 공간적 ALD 프로세스의 실시예에서, 제1 반응성 가스 및 제2 반응성 가스는 반응 구역에 동시에 전달되지만, 불활성 가스 커튼 및/또는 진공 커튼에 의해 분리된다. 기판은 기판 상의 임의의 주어진 지점이 제1 반응성 가스 및 제2 반응성 가스에 노출되도록 가스 전달 장치에 대해 이동된다.
[0028] 공간적 ALD 실시예들에서, 프로세스 가스들 각각에 대한 노출은 (2개의 반응성 가스들만이 사용되는 경우) 기판의 하나의 부분이 제1 반응성 가스에 노출되는 한편, 기판의 상이한 부분이 제2 반응성 가스에 노출되도록 기판의 상이한 부분들에 동시에 일어난다. 기판 상의 각각의 포인트가 제1 및 제2 반응성 가스들 둘 모두에 순차적으로 노출되도록, 기판은 가스 전달 시스템에 대해 이동된다. 시간-도메인 ALD 또는 공간 ALD 프로세스의 임의의 실시예에서, 시퀀스는 기판 표면 상에 사전 결정된 층 두께가 형성될 때까지 반복될 수 있다.
[0029] 본원에서 사용되는 바와 같은 "펄스" 또는 "도즈(dose)"는 프로세스 챔버 내로 간헐적으로 또는 비-연속적으로 도입되는 소스 가스의 양을 지칭하는 것으로 의도된다. 각각의 펄스 내의 특정 화합물의 양은 펄스의 지속기간에 따라, 시간이 지남에 따라 변할 수 있다. 특정 프로세스 가스는 단일 화합물, 또는 2개 이상의 화합물들의 혼합물/조합, 예를 들어, 하기에서 설명되는 프로세스 가스들을 포함할 수 있다.
[0030] 각각의 펄스/도즈에 대한 지속기간들은 가변적이고, 예를 들어, 프로세싱 챔버의 볼륨 용량뿐만 아니라 프로세싱 챔버에 커플링된 진공 시스템의 능력들을 수용하도록 조정될 수 있다. 추가적으로, 프로세스 가스의 도즈 시간은 프로세스 가스의 유량, 프로세스 가스의 온도, 제어 밸브의 타입, 이용되는 프로세스 챔버의 타입뿐만 아니라 기판 표면 상에 흡착시키는 프로세스 가스의 컴포넌트들의 능력에 따라 변할 수 있다. 도즈 시간들은 또한, 형성되는 층의 타입 및 형성되는 디바이스의 기하학적 구조에 기반하여 변할 수 있다. 도즈 시간은, 실질적으로 기판의 전체 표면 상에 흡착/화학흡착하고 그 위에 프로세스 가스 성분의 층을 형성하기에 충분한 화합물의 볼륨을 제공하기에 충분히 길어야 한다.
[0031] 하나 이상의 실시예들에서, 본원에서 설명되는 막들은, 금속 할로겐화물 전구체 및 유기실란 환원제를 사용하는 원자 층 증착(ALD) 프로세스들에 의해 형성될 수 있다. 하나 이상의 실시예들의 원자 층 증착 프로세스는 열 프로세스이고, 플라즈마의 사용을 수반하지 않는다.
[0032] 본원에서 사용되는 "금속 막"은 금속을 포함하는 막을 지칭한다. 하나 이상의 실시예들에서, 금속 막에는 불순물들이 실질적으로 존재하지 않는다. 하나 이상의 실시예들에서, 기판이 탄소-함유 유기실란 전구체/환원제에 노출됨에도 불구하고, 금속 막에는 탄소(C)가 실질적으로 존재하지 않는다. 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 금속 막에, 원자 기준으로 약 4% 미만, 약 3% 미만, 약 2% 미만, 약 1% 미만, 및 약 0.5% 미만을 포함하는, 약 5% 미만의 탄소가 존재함을 의미한다.
[0033] 하나 이상의 실시예들에서, 금속 막은, 원자 기준으로, 약 95% 초과의 총 금속, 약 96% 초과의 총 금속, 약 97% 초과의 총 금속, 약 98% 초과의 총 금속, 또는 약 99% 초과의 총 금속을 포함하는, 약 90% 초과의 총 금속 함량을 함유한다. 본원에서 사용되는 용어 "총 금속 함량"은 금속 막에 존재하는, 원자 기준의 금속의 퍼센티지를 지칭한다. 하나 이상의 실시예들에서, 금속은 할로겐화물 전구체로부터 유래할 수 있다.
[0034] 하나 이상의 실시예들에서, 금속 할로겐화물 전구체는 일반식(I): MQzRm (I)(상기 식에서, M은 금속이며, Q는 Cl, Br, F 또는 I로부터 선택된 할로겐이며, z는 1 내지 6이며, R은 알킬, CO, 사이클로펜타디에닐, 아미디네이트, 디아자디엔, 또는 아미데이트로부터 선택되며, m은 0 내지 6임)을 갖는 화합물을 포함한다.
[0035] 하나 이상의 실시예들에서, 금속 M은 주기율표의 III 족, IV 족, V 족, VI 족 또는 VII 족으로부터의 하나 이상의 금속, 또는 Sn 또는 Si로부터 선택된다. 다른 실시예들에서, 금속(M)은 스칸듐(Sc), 이트륨(Y), 란타늄(La), 악티늄(Ac), 티탄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 니오븀(Nb), 탄탈(Ta), 크롬(Cr), 몰리브덴(Mo), 텅스텐(W), 망간(Mn), 레늄(Re), 테크네튬(Tc), 철(Fe), 루테늄(Ru), 오스뮴(Os), 코발트(Co), 로듐(Rh), 이리듐(Ir), 니켈(Ni), 팔라듐(Pd), 백금(Pt), 구리(Cu), 은(Ag), 금(Au), 아연(Zn), 카드뮴(Cd), 수은(Hg), 주석(Sn), 또는 실리콘(Si) 중 하나 이상으로부터 선택된다. 하나 이상의 실시예들에서, 금속 M은 Ti, Ta, Zr, La, Hf, Ce, Zn, Cr, Sn, W, 또는 V 중 하나 이상으로부터 선택된다. 하나 이상의 특정 실시예들에서, 금속(M)은 티탄(Ti) 및 알루미늄(Al) 중 하나 이상으로부터 선택된다.
[0036] 하나 이상의 실시예들에서, Q는 Cl, Br, F, 또는 I로부터 선택되는 할로겐이다. 하나 이상의 실시예들에서, z는 1, 2, 3, 4, 5, 또는 6을 포함하는, 1 내지 6이다. 다른 실시예들에서, Q는 Cl 또는 Br로부터 선택된다. 특정 실시예에서, Q는 Cl이다. 다른 특정 실시예에서, Q는 Br이다.
[0037] 달리 명시하지 않는 한, 본원에서 사용되는 "알킬" 또는 "알크(alk)"는, 일반 사슬에 1 내지 20개의 탄소들을 함유하는 직쇄 및 분지쇄 탄화수소들, 예컨대, 메틸, 에틸, 프로필, 이소프로필, 부틸, t-부틸, 이소부틸, 펜틸, 헥실, 이소헥실, 헵틸, 4,4-디메틸펜틸, 옥틸, 2,2,4-트리메틸-펜틸, 노닐, 데실, 운데실, 도데실, 이들의 다양한 분지쇄 이성질체들 등을 포함한다. 이러한 기들은 최대 1 내지 4개의 치환체들을 선택적으로 포함할 수 있다. 하나 이상의 실시예들에서, R은 알킬, CO, 사이클로펜타디에닐, 아미디네이트, 디아자디엔, 또는 아미데이트로부터 선택된다. 하나 이상의 실시예들에서, R은 C1-6 알킬이다. 하나 이상의 실시예들에서, m은 0, 1, 2, 3, 4, 5, 또는 6을 포함하는, 0 내지 6이다.
[0038] 하나 이상의 실시예들에서, 유기실란 환원제는 화학식 II 또는 화학식 III의 구조를 갖는다:
[0039]
Figure pct00005
[0040] 상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, 및 Rf는 수소(H), 치환된 알킬 또는 비치환된 알킬로부터 독립적으로 선택되며; X, Y, X', 및 Y'는 질소(N) 및 탄소(C)로부터 독립적으로 선택된다.
[0041] 단독으로 또는 다른 기의 일부로서 본원에서 사용되는 용어 "저급 알킬", "알킬", 또는 "알크"는, 일반 사슬에 1 내지 20개의 탄소들을 함유하는, 직쇄 및 분지쇄 탄화수소들 둘 모두, 예컨대, 메틸, 에틸, 프로필, 이소프로필, 부틸, t-부틸, 이소부틸, 펜틸, 헥실, 이소헥실, 헵틸, 4,4-디메틸펜틸, 옥틸, 2,2,4-트리메틸-펜틸, 노닐, 데실, 운데실, 도데실, 이들의 다양한 분지쇄 이성질체들 등을 포함한다. 이러한 기들은 최대 1 내지 4개의 치환체들을 선택적으로 포함할 수 있다. 알킬은 치환 또는 비치환될 수 있다. 특정 실시예들에서, Ra, Rb, Rc, Rd, Re, 및 Rf 중 적어도 하나는 메틸을 포함한다. 일부 실시예들에서, Ra, Rb, Rc, Rd, Re, 및 Rf 각각은 메틸을 포함한다.
[0042] 하나 이상의 실시예들에서, 유기실란 환원제들은, 비스(트리메틸실릴)사이클로헥사디엔, 비스(트리메틸실릴)디아자-사이클로헥사디엔, 비스(트리메틸실릴)-아자-사이클로헥사디엔, 비스(트리메틸실릴)-디하이드로-바이피리딘, 3,6-비스(트리메틸실릴)-1,4-사이클로헥사디엔, 1-메틸-3,6-비스(트리메틸실릴)-1,4-사이클로헥사디엔, 및 1,4-비스-(트리메틸실릴)-1,4-디아자-2,5-사이클로헥사디엔으로부터 선택된다.
[0043] 도 1을 참조하면, 본 개시내용의 하나 이상의 실시예들은 박막을 증착하는 방법(10)에 관한 것이다. 도 1에 예시된 방법은, 반응성 가스들의 가스 상 반응들을 방지하거나 또는 최소화하는 방식으로, 기판 또는 기판 표면이 반응성 가스들에 순차적으로 노출되는, 열 원자 층 증착(ALD) 프로세스를 나타낸다.
[0044] 일부 실시예들에서, 방법(10)은 선택적인 전처리 동작(20)을 포함한다. 전처리는 당업자에게 공지된 임의의 적합한 전처리일 수 있다. 적합한 전처리들은 예열, 세정, 액침(soaking), 천연 산화물 제거, 또는 접착 층(예를 들어, 티탄 질화물(TiN))의 증착을 포함한다(그러나 이에 제한되지 않음). 하나 이상의 실시예들에서, 전처리 동작(20)에서, 티탄 질화물과 같은 접착 층이 증착된다.
[0045] 도 1을 참조하면, 방법(10)은 증착 사이클(70)을 포함한다. 증착 동작(30)에서, 기판(또는 기판 표면) 상에 금속-함유 막을 증착하기 위한 프로세스가 수행된다. 동작(30)에서, 기판(또는 기판 표면)은, 일반식 (I): MQzRm (I)(상기 식에서, M은 금속이며, Q는 Cl, Br, F 또는 I로부터 선택된 할로겐이며, z는 1 내지 6이며, R은 알킬, CO, 사이클로펜타디에닐, 아미디네이트, 디아자디엔, 또는 아미데이트로부터 선택되며, m은 0 내지 6임)을 갖는 화합물을 포함하는 할로겐화물 전구체에 노출된다. 하나 이상의 특정 실시예들에서, 할로겐화물 전구체는 기판 표면 상에 티탄 종을 형성하기 위해 티탄 사염화물(TiCl4)를 포함한다. 다른 실시예들에서, 할로겐화물 전구체는 기판 표면 상에 알루미늄 종을 형성하기 위해 알루미늄 염화물(AlCl3)을 포함한다.
[0046] 하나 이상의 실시예들에서, 할로겐화물 전구체-함유 프로세스 가스는 하나 이상의 펄스들로 또는 연속적으로 제공될 수 있다. 할로겐화물 전구체-함유 프로세스 가스의 유량은, 약 1 내지 약 5000 sccm의 범위, 또는 약 2 내지 약 4000 sccm의 범위 또는 약 3 내지 약 3000 sccm의 범위 또는 약 5 내지 약 2000 sccm의 범위의 유량들을 포함하는(그러나 이에 제한되지 않음) 임의의 적합한 유량일 수 있다. 화학식 I의 할로겐화물 전구체는 약 5 mTorr 내지 약 40 Torr의 범위, 또는 약 100 mTorr 내지 약 40 Torr의 범위, 또는 약 5 Torr 내지 약 40 Torr의 범위, 또는 약 50 mTorr 내지 약 2000 mTorr의 범위, 또는 약 100 mTorr 내지 약 1000 mTorr의 범위, 또는 약 200 mTorr 내지 약 500 mTorr의 범위의 압력을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 압력으로 제공될 수 있다.
[0047] 하나 이상의 실시예들에서, 기판이 할로겐화물 전구체-함유 프로세스 가스에 노출되는 시간 기간은, 전구체가 전도성 기판 표면들 위에 적절한 핵형성 층을 형성할 수 있게 하는 데 필요한 임의의 적합한 양의 시간일 수 있다. 예를 들어, 프로세스 가스는 약 0.1초 내지 약 90초의 기간 동안 프로세스 챔버 내로 유동될 수 있다. 일부 시간-도메인 ALD 프로세스들에서, 기판 표면은, 약 0.1초 내지 약 90초의 범위, 또는 약 0.5초 내지 약 60초의 범위, 또는 약 1초 내지 약 30초의 범위, 또는 약 2초 내지 약 25초의 범위, 또는 약 3초 내지 약 20초의 범위, 약 4초 내지 약 15초의 범위, 또는 약 5초 내지 약 10초의 범위의 시간 동안, 할로겐화물 전구체-함유 프로세스 가스에 노출된다.
[0048] 일부 실시예들에서, 불활성 캐리어 가스가 추가적으로, 할로겐화물 전구체-함유 프로세스 가스와 동시에 프로세스 챔버에 제공될 수 있다. 캐리어 가스는 (예를 들어, 희석 가스로서) 할로겐화물 전구체-함유 프로세스 가스와 혼합될 수 있거나 또는 별개일 수 있고, 펄싱될 수 있거나 또는 일정한 유동일 수 있다. 일부 실시예들에서, 캐리어 가스는 약 1 내지 약 10000 sccm의 범위의 일정한 유동으로 프로세싱 챔버 내로 유동된다. 캐리어 가스는, 예를 들어, 아르곤(Ar), 질소(N), 헬륨(He), 네온(Ne), 이들의 조합들 등과 같은 임의의 불활성 가스일 수 있다. 하나 이상의 특정 실시예들에서, 할로겐화물 전구체-함유 프로세스 가스는 프로세스 챔버 내로 유동하기 전에 아르곤과 혼합된다.
[0049] 하나 이상의 실시예들에서, 증착 동안의 기판의 온도는, 예를 들어, 기판 지지부의 온도를 설정함으로써 제어될 수 있다. 일부 실시예들에서, 기판은 약 100℃, 약 150℃, 약 200℃, 약 250℃, 약 300℃, 약 350℃, 약 400℃, 약 450℃, 및 약 500℃의 온도를 포함하는, 약 100℃ 내지 약 500℃의 범위의 온도로 유지된다.
[0050] 하나 이상의 실시예들에서, 동작(40)에서, 프로세싱 챔버는 이후에, 할로겐화물 전구체를 퍼징한다. 퍼징은, 기판, 기판 상의 막, 및/또는 프로세싱 챔버 벽들과 반응하지 않는 임의의 적합한 가스를 이용하여 달성될 수 있다. 적합한 퍼지 가스들은 질소(N2), 헬륨(He), 및 아르곤(Ar)을 포함한다(그러나 이에 제한되지 않음). 퍼지 가스는 프로세싱 챔버로부터 할로겐화물 전구체 및/또는 유기실란 반응물을 퍼징하는 데 사용될 수 있다. 일부 실시예들에서, 각각의 퍼징 동작에 대해 동일한 퍼지 가스가 사용된다. 다른 실시예들에서, 상이한 퍼지 가스가 다양한 퍼징 동작들에 사용된다.
[0051] 하나 이상의 실시예들에서, 동작(50)에서, 금속 막을 증착하기 위해, 기판 표면의 적어도 일부가 유기실란 반응물에 노출된다. 유기실란 반응물은 하기 일반식 (II) 또는 일반식 (III)의 화합물을 포함한다:
[0052]
Figure pct00006
[0053] 상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, 및 Rf는 수소(H), 치환된 알킬 또는 비치환된 알킬로부터 독립적으로 선택되며; X, Y, X', 및 Y'는 질소(N) 및 탄소(C)로부터 독립적으로 선택된다.
[0054] 하나 이상의 특정 실시예들에서, 유기실란 전구체는 기판 표면 상의 금속 종과 반응하여 금속 막을 형성한다. 예를 들어, 할로겐화물 전구체가 티탄 사염화물(TiCl4)을 포함하는 실시예들에서, 유기실란 전구체는 티탄 종을 환원시키고 티탄 막을 형성한다.
[0055] 하나 이상의 실시예들에서, 동작(60)에서, 프로세싱 챔버는 이후에 유기실란 반응물을 퍼징한다. 하나 이상의 실시예들에서, 금속 막은 기판 표면 상에 증착된다. 하나 이상의 실시예들에서, 금속 막에는 탄소가 실질적으로 존재하지 않는다.
[0056] 결정(80)에서, 증착된 막의 두께, 또는 할로겐화물 전구체 및 유기실란 전구체의 사이클들의 수가 고려된다. 증착된 막이 사전 결정된 두께에 도달하였거나 또는 사전 결정된 수의 프로세스 사이클들이 수행된 경우에, 방법(10)은 포스트-프로세싱 동작(90)으로 이동한다. 증착된 막의 두께 또는 프로세스 사이클들의 횟수가 사전 결정된 임계치에 도달하지 않은 경우, 방법(10)은 증착 동작(70)으로 돌아가서 동작(30)에서 기판 표면을 다시 할로겐화물 전구체에 노출시키고, 계속된다.
[0057] 하나 이상의 실시예들에서, 증착 사이클(70)이 반복된다. 동작(30)에서, 화학식 I의 할로겐화물 전구체는 제1 증착 사이클에서의 전구체와 상이한 전구체를 포함한다. 예를 들어, 하나 이상의 실시예들에서, 할로겐화물 전구체는 알루미늄 염화물(AlCl3)을 포함하여 알루미늄 종을 형성하며, 알루미늄 종은 이후에 유기실란 전구체에 의해 환원된다. 일부 실시예들에서, 전구체들은 매 다른 사이클마다 변경되지 않을 수 있다. 일부 실시예들에서, 예를 들어, 하나의 전구체에 대한 5회의 사이클들이 있을 수 있으며, 이후에 다른 전구체에 대한 3회의 사이클들이 있을 수 있다.
[0058] 선택적인 포스트-프로세싱 동작(90)은, 예를 들어, 막 특성들을 변경하기 위한 프로세스(예를 들어, 어닐링 또는 고밀화) 또는 추가의 막들을 성장시키기 위한 추가의 막 증착 프로세스(예를 들어, 추가의 ALD 또는 CVD 프로세스들)일 수 있다. 일부 실시예들에서, 포스트-프로세싱 동작(90)은 증착된 막의 특성을 변경하는 프로세스일 수 있다. 일부 실시예들에서, 포스트-프로세싱 동작(90)은 증착 직후의 막을 어닐링하는 것을 포함한다. 일부 실시예들에서, 어닐링은 약 300℃, 400℃, 500℃, 600℃, 700℃, 800℃, 900℃, 또는 1000℃의 범위의 온도들에서 수행된다. 일부 실시예들의 어닐링 환경은, 불활성 가스(예를 들어, 분자 질소(N2), 아르곤(Ar)) 또는 환원 가스(예를 들어, 분자 수소(H2) 또는 암모니아(NH3)) 또는 산화제, 예컨대, 산소(O2), 오존(O3), 또는 과산화물들(그러나 이에 제한되지 않음) 중 하나 이상을 포함한다. 어닐링은 임의의 적합한 시간 길이 동안 수행될 수 있다. 일부 실시예들에서, 막은 약 15초 내지 약 90분의 범위, 또는 약 1분 내지 약 60분의 범위의 사전 결정된 시간 동안 어닐링된다. 일부 실시예들에서, 증착-직후 막을 어닐링하는 것은 밀도를 증가시키고/시키거나, 저항률을 감소시키고/시키거나, 막의 순도를 증가시킨다.
[0059] 하나 이상의 실시예들에서, 방법(10)은, 예를 들어, 할로겐화물 전구체, 유기실란 환원제, 또는 디바이스의 열 이력(thermal budget)에 따라, 임의의 적합한 온도에서 수행될 수 있다. 일부 실시예들에서, 할로겐화물 전구체(동작(30)) 및 유기실란 환원제(동작(50))에 대한 노출들은 동일한 온도에서 일어난다. 일부 실시예들에서, 기판은 약 200℃ 내지 약 500℃의 범위, 또는 약 350℃ 내지 약 500℃의 범위의 온도에서 유지된다.
[0060] 하나 이상의 실시예들에서, 금속 막, 티탄 알루미늄(TiAl) 막은 원자 기준으로 약 5% 이하의 탄소 함량을 갖는다.
[0061] 하나 이상의 실시예들에서, 원자 기준으로 약 5% 이하의 탄소를 포함하는 금속 막은 금속 탄화물 막을 형성하기 위해 추가의 프로세싱으로 처리될 수 있다. 그러한 실시예들에서, 탄화물 막의 금속 부분, 예를 들어, 티탄 알루미늄(TiAl)은 약 5% 미만의 탄소 불순물을 함유한다.
[0062] 하나 이상의 실시예들에서, 방법은 순수 열적 티탄(Ti) 금속 및 (알루미늄) Al 금속을 증착하는 데 사용되며, 순수 열적 티탄(Ti) 금속 및 (알루미늄) Al 금속은 추가로 프로세싱되어 TiAl 막을 산출할 수 있다. 증착된 막은 특정 정도의 C 혼입을 가질 수 있고, TiAlC를 형성할 수 있다.
[0063] 본 개시내용의 하나 이상의 실시예들은 MOS(metal oxide semiconductor)의 게이트 스택의 일부인 금속 산화물 스택에 관한 것이다. 도 2를 참조하면, 금속 산화물 스택(100)은 기판(102) 상의 하이-κ 유전체 층(104), 및 하이-κ 유전체 층(104) 상의 티탄 질화물 층(106)을 포함한다. 도 2에 예시된 실시예는 기판(102) 상에 별개의 하이-κ 유전체 층(104)을 갖는다. 그러나, 당업자는 하이-κ 유전체 층(104)이 기판(102) 또는 기판(102)의 일부일 수 있다는 것을 인식할 것이다. 예를 들어, 하이-κ 유전체(104)는 금속 산화물 스택(100)을 형성하기 위해 기판(102) 상에 형성될 수 있다.
[0064] 하나 이상의 실시예들에서, 금속 산화물 스택(100)은 임의의 적합한 재료 또는 형상일 수 있는 기판(102) 상에 형성된다. 예시된 실시예에서, 기판(102)은 평평한 표면이며, 금속 산화물 스택(100)은 하나가 다른 하나의 위에 놓이는 식으로 배치된 직사각형 박스들에 의해 표현된다. 그러나, 당업자들은, 기판(102)이 하나 이상의 피처들(즉, 트렌치들 또는 비아들)을 가질 수 있고, 금속 산화물 스택(100)이 기판(102) 표면의 형상과 일치하도록 형성될 수 있다는 것을 이해할 것이다.
[0065] 하나 이상의 실시예들에서, 일 함수 층(108)이 티탄 질화물 층(106) 상에 형성된다. 하나 이상의 실시예들에서, 일 함수 층(108)은 실질적으로 탄소가 없고 원자 기준으로 약 5% 미만의 탄소를 갖는 금속 막을 포함한다. 금속 막은 하나 이상의 실시예들의 방법들에 의해 제조된다. 금속 막은, 일반식 (I): MQzRm (I)(상기 식에서, M은 금속이며, Q는 Cl, Br, F 또는 I로부터 선택된 할로겐이며, z는 1 내지 6이며, R은 알킬, CO, 사이클로펜타디에닐, 아미디네이트, 디아자디엔, 또는 아미데이트로부터 선택되며, m은 0 내지 6임)을 갖는 화합물을 포함하는 할로겐화물 전구체에 기판(102)의 적어도 일부를 노출시키고, 하기 일반식 (II) 또는 일반식 (III)의 화합물을 포함하는 유기실란 환원제에 기판(102)의 적어도 일부를 노출시킴으로써 형성될 수 있으며:
[0066]
Figure pct00007
[0067] [상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, 및 Rf는 수소(H), 치환된 알킬 또는 비치환된 알킬로부터 독립적으로 선택되며; X, Y, X', 및 Y'는 질소(N) 및 탄소(C)로부터 독립적으로 선택됨], 기판(102) 상에 일 함수 층(108)으로서 탄소가 실질적으로 존재하지 않는 금속 막을 증착한다.
[0068] 일부 실시예들에서, 기판 표면을 할로겐화물 전구체 및 유기실란 반응물에 노출시키는 것은 순차적으로 일어난다. 예를 들어, 기판 표면(또는 기판 표면의 부분)이 순차적으로 또는 실질적으로 순차적으로 할로겐화물 전구체 및 유기실란 반응물에 노출되도록, ALD 타입 프로세스가 수행된다. 일부 실시예들에서, 기판 표면을 할로겐화물 전구체 및 유기실란 반응물에 노출시키는 것은 동시에 일어난다. 예를 들어, 화학 기상 증착(CVD) 타입 프로세스에서, 할로겐화물 전구체 및 유기실란 반응물 둘 모두가 동시에 프로세싱 챔버 내로 유동되어, 전구체 및 반응물의 가스 상 반응들을 가능하게 한다.
[0069] 하나 이상의 실시예들에 따르면, 기판은 층을 형성하기 전에 및/또는 층을 형성한 후에 프로세싱으로 처리된다. 이러한 프로세싱은 동일한 챔버에서 또는 하나 이상의 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 기판은 추가의 프로세싱을 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 기판은 제1 챔버로부터 하나 이상의 이송 챔버들로 이동된 다음, 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
[0070] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 이상의 실시예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 프로세싱 챔버들과 로드 록 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 통상적으로, 진공 상태로 유지되며, 기판들을, 하나의 챔버로부터 다른 챔버로, 및/또는 클러스터 툴의 프론트 엔드에 포지셔닝된 로드 록 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 구성될 수 있는 2개의 널리 공지된 클러스터 툴들은 Centura® 및 Endura®이며, 이들 둘 모두는, 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 부분들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, CLD(cyclical layer deposition), ALD(atomic layer deposition), CVD(chemical vapor deposition), PVD(physical vapor deposition), 에칭, 사전-세정, 화학적 세정, 열 처리, 예컨대, RTP, 플라즈마 질화, 탈기, 배향, 수산화 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지 않음). 클러스터 툴 상의 챔버에서 프로세스들을 실행함으로써, 후속 막을 증착하기 전에 산화 없이, 대기 불순물들에 의한 기판의 표면 오염이 회피될 수 있다.
[0071] 하나 이상의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 록(load lock)" 상태들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동될 때, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 불활성 가스들은 프로세싱 챔버들 또는 이송 챔버들 내에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 기판의 표면 상에 층을 형성한 후에 반응물들 중 일부 또는 전부를 제거하기 위한 퍼지 가스로서 사용된다. 하나 이상의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버 및/또는 추가의 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에 커튼을 형성한다.
[0072] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부(예를 들어, 서셉터)의 온도를 변화시키는 것, 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예들에서, 사용되는 가스들(반응성 가스들 또는 불활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에 기판 표면 근처에 포지셔닝된다.
[0073] 기판은 또한, 프로세싱 동안, 정지되어 있거나 회전될 수 있다. 회전하는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안에 기판을 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0074] 본원에서 논의된 재료들 및 방법들을 설명하는 문맥에서(특히 다음의 청구항들의 문맥에서) 단수 표현들 및 유사한 지시대상들의 사용은, 본원에서 달리 표시되거나 또는 문맥에 의해 명백하게 부정되지 않는 한, 단수형 및 복수형 둘 모두를 커버하는 것으로 해석되어야 한다. 본원에서 값들의 범위들의 언급은, 본원에서 달리 지시되지 않는 한, 범위 내에 속하는 각각의 개별 값을 개별적으로 언급하는 약칭 방법(shorthand method)으로서의 역할을 하도록 의도될 뿐이며, 각각의 개별 값은, 각각의 개별 값이 마치 본원에서 개별적으로 언급된 것처럼 본 명세서에 포함된다. 본원에서 설명된 모든 방법들은 본원에서 달리 표시되지 않거나 문맥에 의해 명백하게 부정되지 않는 한, 임의의 적절한 순서로 수행될 수 있다. 본원에서 제공된 임의의 그리고 모든 예들, 또는 예시적인 언어(예컨대, "이를테면")의 사용은 재료들 및 방법들을 더욱 명확하게 하기 위한 것일 뿐이며, 달리 청구되지 않는 한, 범위에 대한 제한을 제기하지 않는다. 본 명세서의 어떤 언어도, 임의의 청구되지 않은 엘리먼트를 개시된 재료들 및 방법들의 실시에 필수적인 것으로서 표시하는 것으로 해석되어서는 안 된다.
[0075] 본 명세서 전반에 걸쳐 "일 실시예", "일부 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정 특징, 구조, 재료 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서 "하나 이상의 실시예들에서", "일부 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특정 특징들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0076] 본원의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이들 실시예들은 단지 본 개시내용의 원리들 및 애플리케이션들을 예시한다는 것이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 행해질 수 있다는 것이 당업자들에게 자명할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (20)

  1. 막을 증착하는 방법으로서, 상기 방법은
    기판 표면의 적어도 일부를 하기 일반식 (I)을 갖는 화합물을 포함하는 할로겐화물 전구체에 노출시키는 단계:
    Figure pct00008

    [상기 식에서, M은 금속이며, Q는 Cl, Br, F 또는 I로부터 선택되는 할로겐이며, z는 1 내지 6이며, R은 알킬, CO, 사이클로펜타디에닐, 아미디네이트, 디아자디엔, 또는 아미데이트로부터 선택되며, m은 0 내지 6임]; 및
    상기 기판 표면 상에 금속 막을 증착시키기 위해, 상기 기판 표면의 적어도 일부를 하기 일반식 (II) 또는 일반식 (III)의 화합물을 포함하는 유기실란 반응물에 노출시키는 단계 ― 상기 금속 막에는 탄소가 실질적으로 존재하지 않음 ―를 포함하는, 방법:
    Figure pct00009

    [상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, 및 Rf는 수소(H), 치환된 알킬 또는 비치환된 알킬로부터 독립적으로 선택되며; X, Y, X', 및 Y'는 질소(N) 및 탄소(C)로부터 독립적으로 선택됨].
  2. 제1항에 있어서, M이 스칸듐(Sc), 이트륨(Y), 란타늄(La), 악티늄(Ac), 티탄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 니오븀(Nb), 탄탈(Ta), 크롬(Cr), 몰리브덴(Mo), 텅스텐(W), 망간(Mn), 레늄(Re), 테크네튬(Tc), 철(Fe), 루테늄(Ru), 오스뮴(Os), 코발트(Co), 로듐(Rh), 이리듐(Ir), 니켈(Ni), 팔라듐(Pd), 백금(Pt), 구리(Cu), 은(Ag), 금(Au), 아연(Zn), 카드뮴(Cd), 수은(Hg), 주석(Sn), 및 실리콘(Si) 중 하나 이상으로부터 선택되는, 방법.
  3. 제2항에 있어서, M이 티탄(Ti) 및 알루미늄(Al) 중 하나 이상으로부터 선택되는, 방법.
  4. 제1항에 있어서, Q가 Cl 또는 Br인, 방법.
  5. 제1항에 있어서, Q가 Cl인, 방법.
  6. 제1항에 있어서, Ra, Rb, Rc, Rd, Re, 및 Rf 중 적어도 하나가 메틸을 포함하는, 방법.
  7. 제1항에 있어서, 상기 기판 표면을 상기 할로겐화물 전구체 및 상기 유기실란 반응물에 노출시키는 단계가 순차적으로 일어나는, 방법.
  8. 제1항에 있어서, 상기 기판 표면을 상기 제1 할로겐화물 전구체 및 상기 유기실란 반응물에 노출시키는 단계가 동시에 일어나는, 방법.
  9. 제1항에 있어서, 상기 유기실란 반응물이 하나 이상의 비스(트리메틸실릴)사이클로헥사디엔, 비스(트리메틸실릴)디아자-사이클로헥사디엔, 비스(트리메틸실릴)-아자-사이클로헥사디엔, 비스(트리메틸실릴)-디하이드로-바이피리딘, 3,6-비스(트리메틸실릴)-1,4-사이클로헥사디엔, 1-메틸-3,6-비스(트리메틸실릴)-1,4-사이클로헥사디엔, 및 1,4-비스-(트리메틸실릴)-1,4-디아자-2,5-사이클로헥사디엔으로부터 선택되는, 방법.
  10. 제1항에 있어서, 하나 초과의 금속 M을 포함하는 금속 막을 제공하기 위해 상기 방법을 반복하는 단계를 더 포함하는, 방법.
  11. 제1항에 있어서, 상기 금속 막이 티탄 알루미늄(TiAl) 막을 포함하는, 방법.
  12. 제1항에 있어서, 상기 기판이 프로세싱 챔버에 있는, 방법.
  13. 제12항에 있어서, 상기 기판을 상기 유기실란 반응물에 노출시키기 전에, 상기 프로세싱 챔버로부터 상기 할로겐화물 전구체를 퍼징하는 단계를 더 포함하는, 방법.
  14. 제13항에 있어서, 상기 프로세싱 챔버로부터 상기 유기실란 반응물을 퍼징하는 단계를 더 포함하는, 방법.
  15. 제14항에 있어서, 상기 프로세싱 챔버를 퍼징하는 단계가 상기 프로세싱 챔버 내로 퍼지 가스를 유동시키는 단계를 포함하며, 상기 퍼지 가스는 질소(N2), 헬륨(He), 및 아르곤(Ar) 중 하나 이상으로부터 선택되는, 방법.
  16. 게이트 스택(gate stack)으로서,
    기판 상의 하이(high)-κ 유전체 층;
    상기 하이-κ 유전체 층 상의 제1 티탄 질화물 층;
    상기 제1 티탄 질화물 층 상의 일-함수(work-function) 층; 및
    상기 일-함수 층 상의 제2 티탄 질화물 층을 포함하며,
    상기 일-함수 층은 탄소가 실질적으로 존재하지 않는 금속 막을 포함하는, 게이트 스택.
  17. 제16항에 있어서, 상기 금속 막이 스칸듐(Sc), 이트륨(Y), 란타늄(La), 악티늄(Ac), 티탄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 니오븀(Nb), 탄탈(Ta), 크롬(Cr), 몰리브덴(Mo), 텅스텐(W), 망간(Mn), 레늄(Re), 테크네튬(Tc), 철(Fe), 루테늄(Ru), 오스뮴(Os), 코발트(Co), 로듐(Rh), 이리듐(Ir), 니켈(Ni), 팔라듐(Pd), 백금(Pt), 구리(Cu), 은(Ag), 금(Au), 아연(Zn), 카드뮴(Cd), 수은(Hg), 주석(Sn), 및 실리콘(Si)으로부터 선택되는 하나 이상의 금속을 포함하는, 게이트 스택.
  18. 제17항에 있어서, 상기 금속 막이 티탄(Ti) 및 알루미늄(Al) 중 하나 이상을 포함하는, 게이트 스택.
  19. 제18항에 있어서, 상기 일 함수 층이 티탄 알루미늄 탄화물(TiAlC)을 포함하는, 게이트 스택.
  20. 프로세싱 챔버의 제어기에 의해 실행되는 경우, 상기 프로세싱 챔버로 하여금,
    기판을 갖는 상기 프로세싱 챔버의 프로세싱 볼륨 내로 할로겐화물 전구체를 유동시키는 동작 ― 상기 할로겐화물 전구체는 하기 일반식 (I)을 가짐 ―:
    Figure pct00010

    [상기 식에서, M은 금속이며, Q는 Cl, Br, F 또는 I로부터 선택되는 할로겐이며, z는 1 내지 6이며, R은 알킬, CO, 사이클로펜타디에닐, 아미디네이트, 디아자디엔, 또는 아미데이트로부터 선택되며, m은 0 내지 6임];
    상기 프로세싱 챔버로부터 상기 할로겐화물 전구체를 퍼징하는 동작;
    상기 기판을 하기 일반식 (II) 또는 일반식 (III)의 유기실란 전구체에 노출시키는 동작:
    Figure pct00011

    [상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, 및 Rf는 수소(H), 치환된 알킬 또는 비치환된 알킬로부터 독립적으로 선택되며; X, Y, X', 및 Y'는 질소(N) 및 탄소(C)로부터 독립적으로 선택됨]; 및
    상기 프로세싱 챔버로부터 상기 유기실란 전구체를 퍼징하는 동작의,
    동작들을 수행하게 하는 명령들을 포함하는, 비-일시적 컴퓨터 판독 가능 매체.
KR1020237008492A 2020-08-12 2021-08-11 불순물 없는 금속 합금 막들을 형성하기 위한 방법들 KR20230050413A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/991,430 US11359282B2 (en) 2020-08-12 2020-08-12 Methods for forming impurity free metal alloy films
US16/991,430 2020-08-12
PCT/US2021/045481 WO2022035926A1 (en) 2020-08-12 2021-08-11 Methods for forming impurity free metal alloy films

Publications (1)

Publication Number Publication Date
KR20230050413A true KR20230050413A (ko) 2023-04-14

Family

ID=80222702

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237008492A KR20230050413A (ko) 2020-08-12 2021-08-11 불순물 없는 금속 합금 막들을 형성하기 위한 방법들

Country Status (7)

Country Link
US (2) US11359282B2 (ko)
EP (1) EP4196624A1 (ko)
JP (1) JP2023537931A (ko)
KR (1) KR20230050413A (ko)
CN (1) CN116324020A (ko)
TW (1) TW202219309A (ko)
WO (1) WO2022035926A1 (ko)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
CN105164791A (zh) * 2013-06-26 2015-12-16 应用材料公司 沉积金属合金膜的方法
KR102394498B1 (ko) 2013-06-28 2022-05-04 웨인 스테이트 유니버시티 기판 상에 층을 형성하기 위한 환원제로서 비스(트리메틸실릴) 6-원 고리계 및 관련된 화합물
US9157149B2 (en) 2013-06-28 2015-10-13 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
US10214817B2 (en) * 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
TW201715070A (zh) 2015-08-03 2017-05-01 韋恩州立大學 作為用於藉由氣相沉積進行的元素膜成長之強還原前驅物的六員環二烯
US9972694B2 (en) 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
JP7256135B2 (ja) * 2017-06-23 2023-04-11 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 選択的な膜成長のための原子層堆積の方法

Also Published As

Publication number Publication date
EP4196624A1 (en) 2023-06-21
TW202219309A (zh) 2022-05-16
CN116324020A (zh) 2023-06-23
US20220267904A1 (en) 2022-08-25
JP2023537931A (ja) 2023-09-06
US20220049353A1 (en) 2022-02-17
WO2022035926A1 (en) 2022-02-17
US11359282B2 (en) 2022-06-14

Similar Documents

Publication Publication Date Title
KR102298038B1 (ko) 금속 합금 막을 증착하는 방법들
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
US11894233B2 (en) Electronic device having an oxygen free platinum group metal film
US11186909B2 (en) Methods of depositing low-K films
EP4277913A1 (en) Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films
KR20220114060A (ko) 금속 막들의 증착
CN117043169A (zh) 用于沉积含钼膜的双核钼前驱物
US20200071825A1 (en) Methods Of Depositing Metal Carbide Films
WO2021167776A1 (en) Deposition of tellurium-containing thin films
US11359282B2 (en) Methods for forming impurity free metal alloy films
US20220259734A1 (en) Reducing Agents for Atomic Layer Deposition
US20230295804A1 (en) Method of forming interconnect structure
TWI515803B (zh) 矽化鉭內的摻雜鋁
US11713507B2 (en) Low-k films
US20230295803A1 (en) Methods of growing metal-containing films
CN116724144A (zh) 用于沉积钼膜的钼(iv)和钼(ⅲ)前驱物