TWI498998B - 具有溝槽隔離之鰭式半導體裝置的形成方法 - Google Patents

具有溝槽隔離之鰭式半導體裝置的形成方法 Download PDF

Info

Publication number
TWI498998B
TWI498998B TW098124368A TW98124368A TWI498998B TW I498998 B TWI498998 B TW I498998B TW 098124368 A TW098124368 A TW 098124368A TW 98124368 A TW98124368 A TW 98124368A TW I498998 B TWI498998 B TW I498998B
Authority
TW
Taiwan
Prior art keywords
conductive fin
conductive
fin structure
bulk semiconductor
spacers
Prior art date
Application number
TW098124368A
Other languages
English (en)
Other versions
TW201013838A (en
Inventor
Ming-Ren Lin
Zoran Krivokapic
Witek Maszara
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW201013838A publication Critical patent/TW201013838A/zh
Application granted granted Critical
Publication of TWI498998B publication Critical patent/TWI498998B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

具有溝槽隔離之鰭式半導體裝置的形成方法
本文中所說明之標的內容之實施例大體上係關於半導體裝置和相關之製程。詳言之,標的內容之實施例係相關於形成具有溝槽隔離之半導體裝置(如FinFET裝置)之方法。
譬如金屬氧化物半導體場效電晶體(MOSFET)之電晶體為許多主要的半導體裝置之核心建構體。譬如高效能處理器裝置之一些半導體裝置能夠包含數百萬個電晶體。於半導體製造工業中,對於此等裝置,減少電晶體大小,並因此增加電晶體密度,從以往以來一直都是高度優先者。
FinFET為一種能夠使用非常小的微縮製程製造之電晶體。第1圖為FinFET 100之簡化透視圖,其形成在半導體晶圓基板102上。之所以被稱為FinFET係因為其使用了一個或多個導電鰭(FinFET 100僅包含一個鰭104)。如第1圖所示,鰭104延伸於FinFET 100之源極區域106與汲極區域108之間。FinFET 100包含圍繞鰭104之閘極結構110。由閘極結構110所圍繞之鰭104之尺寸決定FinFET 100之有效的通道。第2圖為另一個FinFET 200之簡化透視圖;尤其能夠從此FinFET 200中看到包含了三個鰭202延伸於源極區域204與汲極區域206之間。如同FinFET 100,閘極結構208形成為跨越三個鰭202。當以此方式使用多個鰭時,維持均勻的鰭厚度和均勻的鰭間距(fin pitch)(二個鄰接鰭間之距離加上鰭厚度)是很重要的。
使用絕緣體上載矽(silicon-on-insulator,SOI)基板形成FinFET裝置已經有一段歷史。使用SOI基板時,導電鰭由矽材料形成,同時絕緣層提供鄰接FinFET裝置之間之隔離。塊體矽(bulk silicon)基板較SOI基板價廉,並且若使用適當的隔離方法的話,FinFET裝置亦能夠使用塊體矽製成。用於由塊體矽基板形成FinFET之一個已知的隔離方法需要多重光學微影術製程和蝕刻步驟,以在n通道和p通道電晶體裝置之間創造溝槽。此種多重光學微影術製程和蝕刻步驟之成本和複雜度可能掩蓋掉使用塊體矽基板而不使用SOI之好處。
如本文中說明之FinFET製造技術能夠使用塊體半導體基板,並且能夠結合使用不同的製程技術。該製造技術在鄰接FinFET裝置之間創造隔離溝槽而不需要額外的光學微影術和蝕刻步驟。所得到的隔離溝槽相對於鄰接FinFET裝置(例如,鄰接之PMOS和NMOS電晶體裝置)之邊緣為自對準。
可以藉由用於鰭式半導體裝置之溝槽隔離方法之實施例而完成上述和其他的態樣。該方法包含從塊體半導體基板形成第一導電鰭組和第二導電鰭組,該第一導電鰭組和第二導電鰭組由間隙所分離。該方法接著沉積氧化物材料於第一導電鰭組、第二導電鰭組、和塊體半導體基板之上。該氧化物材料形成對應於該間隙之凹部,該凹部由氧化物材料之相對側壁所界定。該凹部深入於該塊體半導體基板中以形成與相對側壁自對準之溝槽,且該溝槽用介電材料填滿。
本發明亦提供一種製造半導體裝置結構之方法。該方法包含提供基板,該基板包括塊體半導體材料、由該塊體半導體材料形成的第一導電鰭結構、和由該塊體半導體材料形成的第二導電鰭結構,其中,該第一導電鰭結構和該第二導電鰭結構由間隙所分離。該方法於該間隙中形成間隔件且鄰接該第一導電鰭結構和該第二導電鰭結構,然後使用該間隔件作為蝕刻遮罩而蝕刻該塊體半導體材料,以在該塊體半導體材料中形成隔離溝槽。介電材料設置於該隔離溝槽中、該間隔件之上、該第一導電鰭結構之上、和該第二導電鰭結構之上,以及接著伴隋著間隔件蝕刻該介電材料,以暴露該第一導電鰭結構之上部和該第二導電鰭結構之上部,同時保留該隔離溝槽中之該介電材料。本方法之一個替代實施例在形成介電材料於該隔離溝槽中、該第一導電鰭結構之上和該第二導電鰭結構之上之前,先去除該間隔件。
提供此概述以引介於簡化形式之選擇之概念,該概念進一步說明於下例詳細說明中。此概述並不欲確認申請專利標的內容之關鍵特徵或者本質特徵,亦不欲用來作為支援判定申請專利標的內容之範圍。
下列之詳細說明僅僅例示性質而並不欲限制標的內容或應用之實施例以及此等實施例之使用。如本文中所使用者,字彙“範例(exemplary)”意指“用作為例子、實例、例示”。說明於此文中作為範例之任何實施情形並不須解釋為較其他的實施情況為佳或較有利。再者,並不欲由表示於前面之技術領域、先前技術、發明內容、或下列之詳細說明中之任何表明或暗示之理論所限制。
為了簡潔之目的,相關於半導體裝置製造之習知技術於本文中也許不作詳細之說明。而且,本文中說明之各種工作和製程步驟可以結合入於本文中未詳細說明之具有額外步驟或功能之更全面之過程或製程。尤其是,於製造半導體電晶體裝置中之各種步驟為已熟知,而因此為了簡潔之目的,許多習知步驟於本文中僅將簡短提及或者將其全部省略而不提供已熟知製程之細節。
本文中所述之技術和技藝可以用來製造MOS電晶體裝置,包含NMOS電晶體裝置、PMOS電晶體裝置、和CMOS電晶體裝置。雖然術語“MOS裝置”適合有關於具有金屬閘電極和氧化物閘極絕緣體之裝置,但是該術語於整篇文章中將被用來指下述之任何半導體裝置:其包含導電閘電極(無論為金屬或其他的導電材料),該導電閘電極位於閘極絕緣體(無論為氧化物或其他的絕緣體)之上,而該閘極絕緣體依次位於半導體基板之上。
多種的FinFFT裝置和相關的製程為已知。例如,美國專利6,872,647和6,921,963號(此二專利讓渡給高級微裝置公司(Advanced Micro Devices,Ihc.))係相關於FinFET和用來製造FinFET之製程(此二專利之有關內容併合於本文中作為參考)。依照說明於此二個專利之傳統的製造技術,FinFET裝置中之導電鰭係使用光學微影術、蝕刻、和其他的習知製程步驟而形成。FinFET性能係依據厚度和鰭之間距而定,於製造期間該厚度和間距應均勻和緊密地控制。關於此點,由於控制鰭之尺寸之重要性,使用現代半導體製程(例如,32nm和較小之技術)製造FinFET係具挑戰性。
本文中所述之技術和技藝可以用來於形成在塊體半導體基板上之鄰接FinFET裝置之間形成隔離區。第3至10圖為顯示半導體裝置結構300和製造該半導體裝置結構300之方法之範例實施例之剖面圖。此製程表示溝槽隔離方法之一個實施方式,該方法適合使用於具有鰭之半導體裝置,譬如FinFET。第3圖描繪於製程之中間階段之半導體裝置結構300,換句話說,於設置適當的基板之後,於該基板上形成導電鰭,並且於該導電鰭上形成氮化物蓋。對於此特定實施例而言,半導體裝置結構300係使用塊體半導體基板,譬如塊體矽基板302。本文中使用術語“矽基板”包含一般的單晶矽和典型使用於半導體工業之相當純的矽材料。塊體矽基板302最初能夠是N型或P型矽其中任一種,但是通常是P型,而接著以適當的方式摻雜塊體矽基板302以形成主動區域。於此主動區域,用習知方式由塊體矽基板302形成導電鰭。
再者,第3圖顯示於從塊體矽基板302形成複數個導電鰭304、306、308、和310後,和於該等鰭之頂部形成氮化矽蓋312後狀態之半導體裝置結構300。此處導電鰭和其覆蓋氮化物蓋之結合可以稱之為“導電鰭結構”。鰭或蓋係使用已熟知的技術和製程步驟(例如,相關於光學微影術和圖案化、側壁影像轉移、蝕刻、材料生長、材料沉積、表面平坦化等之技術與步驟)而形成。導電鰭304和306共同形成第一導電鰭組314,而導電鰭308和310共同形成第二導電鰭組316。雖然半導體裝置結構300每組包含二個鰭,但是替代實施例不須是如此組構。甚且,導電鰭組可以包含任何數目之鰭,包含一個。而且,於第一導電鰭組314中鰭之數目不須等於第二導電鰭組316中鰭之數目(由於遷移率不同,通常p型裝置鰭較n型裝置鰭更多)。於製造半導體裝置結構300完成後,第一導電鰭組314將使用於第一裝置(例如,NMOS電晶體裝置),而第二導電鰭組316將使用於第二裝置(例如,PMOS電晶體裝置),而該二個裝置彼此隔離。
以使各組中之導電鰭具有均勻的間距和均勻的鰭厚度之方式形成各組中之導電鰭。於實務上,導電鰭組314和316之鰭間距和厚度典型上係相同。於第3圖中,箭號318表示導電鰭304之鰭厚度,而箭號320表示二個鄰接及鄰近之鰭308和310之間之間距。於一些實施例中,鰭厚度能夠是在大約10至60nm(較佳大約10至20nm)之範圍內,鰭間距能夠是在大約30至300nm(較佳大約40至50nm)之範圍內。對於某些實施例,導電鰭為大約40至70nm高,而氮化矽蓋312為大約20至40nm高。應該了解到,提供這些範例尺寸是為了建立方便和實際的參考框架,半導體裝置結構300之實作實施例之實際的尺寸可以改變。
第一導電鰭組314和第二導電鰭組316由間隙322分離,該間隙322通常界定於導電鰭306和導電鰭308之間。特別是,間隙322分離第一導電鰭組314與第二導電鰭組316之距離大於用於半導體裝置結構300之指定之鰭間距320。間隙322可以表示反之即會由至少一個依照指定之鰭間距320所形成之導電鰭所佔據之空間。舉例而言,半導體裝置結構300表示一實施例,其中,間隙322反之將會僅容納一個導電鰭。其他的實施例可以使用可對應於多於一個“缺少之”導電鰭之較寬的間隙。
雖然於第3圖中所描繪製程之步驟後可以實施其他的製造步驟或者子製程,但是此實例接著施行沉積絕緣體材料(譬如氧化物,較佳者為氧化矽)於該第一導電鰭組314之上、該第二導電鰭組316之上、和該塊體矽基板302之上(第4圖)。換言之,氧化物材料324以全面覆蓋的方式沉積以覆蓋半導體裝置結構300之暴露表面。如第4圖中所描述,於沉積後,氧化物材料324填滿於各導電鰭組中鄰接鰭之間之空間,且於該間隙322所定義之空間形成襯裡。若適當地控制此沉積步驟,則氧化物材料324將不會完全填滿該間隙322,且氧化物材料324通常將跟隨著間隙322之整體輪廓。對於所例示之實施例而言,氧化物材料324被沉積至大約25至30nm之厚度。
氧化物材料324之沉積導致形成凹部326,該凹部326一般對應於間隙322之位置和輪廓。此凹部326由氧化物材料324之某些特徵所定義。尤其是,凹部326藉由氧化物材料324之相對側壁328和藉由氧化物材料324之最下方暴露表面界定邊界。
雖然於形成氧化物材料324後可以實施其他的製造步驟或子製程,但是此實例接著進行蝕刻步驟,其較佳使用非等向性蝕刻技術(亦即,指向性蝕刻)。第5圖描繪非等向性蝕刻氧化物材料324以延伸凹部326至塊體矽基板302之結果。換言之,凹部326現在終止於塊體矽基板302。使用於此步驟之蝕刻劑化學物係選擇性地蝕刻氧化物材料324,同時保留氮化矽蓋312和塊體矽基板302實質上未受到損壞。此蝕刻步驟之非等向性之性質會於間隙322中形成間隔件332和334。間隔件332鄰接於導電鰭306,而間隔件334鄰接於導電鰭308。此等間隔件332和334時常稱之為側壁間隔件。甚且,間隔件332和334一般對齊並且對應於原始沉積氧化物材料324之相對側壁328。
雖然於形成間隔件332和334後可以實施其他的製造步驟或子製程,但是此實例接著施行另一個蝕刻步驟(第6圖)。使用於此步驟之蝕刻劑化學物係選擇性地蝕刻矽化物,同時保留氧化物材料324和氮化矽蓋312實質上未受到損壞。此蝕刻步驟較佳使用非等向性蝕刻技術以蝕刻塊體矽基板302,其使用氧化物材料324作為蝕刻遮罩。詳言之,間隔件332和334用作為硬蝕刻遮罩以在塊體矽基板302中形成隔離溝槽336。值得注意的是,此蝕刻步驟深化凹部326進入塊體矽基板302中以形成隔離溝槽336,該隔離溝槽336自對準於相對側壁328(而因此,自對準於間隔件332和334)。於某些實施例中,隔離溝槽336被蝕刻至大約0.15至0.5μm範圍內之深度(較佳大約0.15μm之深度)。
第7圖描繪於完成視需要選用之再氧化作用步驟後之半導體裝置結構300之狀態。可以實施此選用之步驟以修復矽材料介面,其中,該介面於蝕刻期間通常會受到一些損壞。依照習知的再氧化作用技術,半導體裝置結構300將暴露於氧中同時保持於高溫,其導致於隔離溝槽336中暴露之矽材料之氧化作用。第7圖為了容易說明使用誇大的尺度描繪此所得到的氧化層338。值得注意的是,此再氧化作用步驟亦氧化該等導電鰭,因為於此實施例中氧化物材料324非作為適當的氧障壁。於是,若此視需要選用之再氧化作用步驟包含於製程中,則也許需要控制原來的鰭寬度和鰭間距以考慮到由於形成氧化層338所導致的鰭之窄化。
為了簡潔之目的,下列之說明假設不實施視需要選用之再氧化作用步驟。如此一來,雖然於形成隔離溝槽336後可以實施其他的製造步驟或子製程,但是此實例接著施行從半導體裝置結構300去除氧化物材料324,包含間隔件332和334。第8圖描繪於去除氧化物材料324後之半導體裝置結構300之狀態。於實作中,係於適當的蝕刻步驟期間去除氧化物材料324,該蝕刻步驟較佳為利用例如使用稀薄的HF化學作用等向性濕蝕刻技術。此濕氧化物蝕刻係選擇性地蝕刻氧化物材料324同時保留氮化矽蓋312和塊體矽基板302實質上未受到損壞。如第8圖中所示,蝕刻步驟暴露導電鰭304、306、308、和310,連同氮化矽蓋312。氧化物材料324宜被去除以改善於下文中所述之後續製程步驟之均勻性(裝置對裝置和晶圓對晶圓)和可控制性。
雖然於去除間隔件332和334後可以實施其他的製造步驟或者子製程,但是此實例接著用適當的介電材料填滿隔離溝槽336(第9圖)。於實作上,能夠使用例如適當的沉積技術(譬如化學氣相沉積)將介電材料340形成於隔離溝槽336中、在導電鰭304、306、308、和310之上、在氮化矽蓋312之上、和在塊體矽基板302之上。於某些實施例中,介電材料340為使用正矽酸四乙酯(TEOS)作為矽源(一般稱之為TEOS氧化物)沉積之二氧化矽。
第9圖顯示於沉積介電材料340後,且該介電材料340已經研磨和平坦化之後,半導體裝置結構300之狀態。舉例而言,可以實施化學機械研磨以研磨TEOS氧化物至導電鰭結構之高度。關於此方面,第9圖顯示如何能夠使用氮化矽蓋312以控制該研磨而使得所得到的TEOS氧化物之高度對應於氮化矽蓋312之高度。
雖然如第9圖中所示形成介電材料340後可以實施其他的製造步驟或者子製程,但是此實例接著減少介電材料340之高度。第10圖描繪於已經去除介電材料340至少一部分後半導體裝置結構300之狀態。於較佳實施例中,於定時端點蝕刻(timed endpoint etch)期間去除介電材料340,該定時端點蝕刻選擇性地蝕刻TEOS氧化物材料同時保留該等導電鰭、蓋312、和塊體矽基板302實質上未受到損壞。關於此方面,控制蝕刻步驟之持續時間以達成TEOS氧化物材料所希望的保持高度,並且使得介電材料340之層為均勻地凹入。
參照第10圖,介電材料340之蝕刻暴露各導電鰭結構之上部。換言之,由於介電材料340被蝕刻至相關於導電鰭之保留高度,因此氮化矽蓋312和導電鰭之上部長度變成暴露。值得注意的是,保存了存在於隔離溝槽336中之介電材料340。此用來彼此電性隔離二個鄰接之裝置結構。而且,介電材料340之層344保持於導電鰭之基底。此層344係利用於後續的製程步驟中。舉例而言,介電材料340之層344能夠使用來使離子能夠植入於下方塊體矽基板302中。
其後,可以施行任何數目之已知製程步驟以完成第一裝置結構(其於此實例中包含導電鰭304、306)之製造,和完成第二裝置結構(其於此實例中包含導電鰭308、310)之製造。於實作中,第一裝置結構可以是NMOS電晶體裝置結構,而第二裝置結構可以是PMOS電晶體裝置結構,且於隔離溝槽336中之該介電材料340係用來隔離NMOS和PMOS電晶體裝置結構。
回頭參照描繪於第6圖中半導體裝置結構300之狀態,可以與上述不同的方式進行替代的製程。關於此方面,第11和12圖為顯示半導體裝置結構400和相關製造方法之步驟之替代實施例之剖面圖。參照第11圖,此替代實施例不去除氧化物材料324或者間隔件332和334。取而代之,介電材料402形成在隔離溝槽336中、氧化物材料324(其包含間隔件332和334)之上、和導電鰭結構(其包括導電鰭304、306、308、和310,和對應之氮化矽蓋312)之上。於實作中,介電材料402例如可以是藉由在氧化作用環境中加熱矽所生長之氧化物(亦即,係熱生長氧化物而非沉積氧化物)。
第11圖顯示於沉積介電材料402後、和已經研磨或平坦化介電材料402後之半導體裝置結構400之狀況。舉例而言,可以實施化學機械研磨以研磨介電材料402至導電鰭結構之高度。關於此方面,第11圖描繪一些介電材料402於氧化物材料324之上表面凹陷中。值得注意的是,氮化矽蓋312能夠使用來控制研磨而使得所得到的介電材料402之高度對應於氮化矽蓋312之高度。
雖然如第11圖中所示形成介電材料402後可以實施其他的製造步驟或者子製程,但是此實例接著蝕刻介電材料402之至少一部分和氧化物材料324(包含間隔件332和334)之至少一部分。第12圖描繪於完成此蝕刻步驟後之半導體裝置結構400之狀態。於實作中,製程步驟使用定時端點蝕刻技術和適當的蝕刻劑化學物,該蝕刻劑化學物選擇性地蝕刻介電材料402和氧化物材料324,同時保留該導電鰭、氮化矽蓋312、和塊體矽基板302實質上未受到損壞。
參照第12圖,介電材料402和氧化物材料324之蝕刻暴露各導電鰭結構之上部404,如上文參照第10圖之說明。值得注意的是,存在於隔離溝槽336中之介電材料402被保留,而且,氧化物材料324之層406保持於導電鰭之基底,如上述對於其他實施例之說明。應該了解到,描繪於第12圖中半導體裝置結構400之狀態相似於和功能上等效於第10圖中所描繪之半導體裝置結構300之狀態。
其後,可以施行任何數目之已知製程步驟以完成半導體裝置結構400中裝置結構之製造。再者,導電鰭304和306可以形成部分之NMOS電晶體裝置結構,導電鰭308和310可以形成部分之PMOS電晶體裝置結構,且位於隔離溝槽336中之介電材料402用來將NMOS和PMOS電晶體裝置結構彼此隔離。
雖然至少一個範例實施例已經表示於前面詳細說明中,但是應該了解到仍存在著大量的變化。亦應該了解到本文中說明之範例實施例或諸範例實施例並不欲以任何方式限制此範圍、可應用性、或者申請專利標的內容。而是,前面的詳細說明將提供熟悉此項技術者用來執行所述實施例或者諸實施例之方便的指引。應該了解到於元件之功能和配置上可以作各種的改變而不會偏離由申請專利範圍所定義之範圍,該申請專利範圍包含於提出此專利申請時的已知的均等物和可預見之均等物。
100、200...FinFET
102...半導體晶圓基板
104、202...鰭
106、204...源極區域
108、206...汲極區域
110、208...閘極結構
300、400...半導體裝置結構
302...塊體矽基板
304、306、308、310...導電鰭
312...氮化矽蓋
314...第一導電鰭組
316...第二導電鰭組
318...箭號
320...鰭間距
322...間隙
324...氧化物材料
326...凹部
328...側壁
332、334...間隔件
336...隔離溝槽
338...氧化層
340、402...介電材料
344、406...層
404...導電鰭結構之上部
藉由參照詳細說明和申請專利範圍並考慮結合下列圖式可獲得標的內容之更完全的了解,其中遍及各圖中相似之元件符號參照相似之元件。
第1圖為習知的FinFET之簡化透視圖;
第2圖為具有複數個鰭之習知的FinFET之簡化透視圖;
第3至10圖為顯示半導體裝置結構和相關之製造方法之實施例之剖面圖;
第11和12圖為顯示半導體裝置結構和相關製造方法之步驟之替代實施例之剖面圖。
300...半導體裝置結構
302...塊體矽基板
304、306、308、310...導電鰭
312...氮化矽蓋
322...間隙
336...隔離溝槽
340...介電材料
344...層

Claims (20)

  1. 一種用於鰭式半導體裝置之溝槽隔離方法,該方法包括下列步驟:從塊體半導體基板形成第一導電鰭組和第二導電鰭組,該第一導電鰭組和第二導電鰭組由間隙所分離;沉積氧化物材料於該第一導電鰭組、該第二導電鰭組、和該塊體半導體基板之上,該氧化物材料形成對應於該間隙之凹部,該凹部由該氧化物材料之相對向之側壁所界定;令該凹部深入於該塊體半導體基板中以形成自對準於該相對側壁之溝槽;以介電材料填滿該溝槽,並且以該介電材料填滿於該第一導電鰭組的相鄰導電鰭之間以及該第二導電鰭組的相鄰導電鰭之間;以及蝕刻該介電材料之一部分、間隔件之一部分、和該第一和第二導電鰭組之每一者的相鄰導電鰭之間的空間中的該氧化物材料之一部分,以暴露該第一導電鰭組之上部和該第二導電鰭組之上部,同時保留該溝槽中之該介電材料以及保留該第一和第二導電鰭組之每一者的相鄰導電鰭之間位於底部的空間中的某些該氧化物材料。
  2. 如申請專利範圍第1項之方法,其中,該形成第一導電鰭組和第二導電鰭組之步驟係形成複數個導電鰭於該第一導電鰭組中,和形成複數個導電鰭於該第二導電鰭 組中。
  3. 如申請專利範圍第2項之方法,其中,該形成該複數個導電鰭之步驟係依照指定的鰭間距形成該複數個導電鰭於該第一導電鰭組中,和形成該複數個導電鰭於該第二導電鰭組中,而使得該間隙以一距離分離該第一導電鰭組與該第二導電鰭組且該距離大於該指定的鰭間距。
  4. 如申請專利範圍第1項之方法,其中,令該凹部深入於該塊體半導體基板之步驟包括:蝕刻該氧化物材料以延伸該凹部至該塊體半導體基板;以及其後使用該氧化物材料作為蝕刻遮罩以蝕刻該塊體半導體基板。
  5. 如申請專利範圍第1項之方法,其中,填滿該溝槽之步驟包括將氧化物沉積於該溝槽中、該塊體半導體基板之上、該第一導電鰭組之上、和該第二導電鰭組之上。
  6. 如申請專利範圍第5項之方法,復包括研磨該氧化物至該第一導電鰭組和該第二導電鰭組之高度。
  7. 如申請專利範圍第6項之方法,復包括蝕刻該氧化物以僅暴露該第一導電鰭組之一部分和僅暴露該第二導電鰭組之一部分。
  8. 一種製造半導體裝置結構之方法,該方法包含下列步驟:提供基板,該基板包括塊體半導體材料、由該塊體半導體材料形成的第一導電鰭結構、和由該塊體半導體 材料形成的第二導電鰭結構,該第一導電鰭結構和該第二導電鰭結構由間隙所分離;於該間隙中形成間隔件並且該等間隔件係鄰接該第一導電鰭結構和該第二導電鰭結構,其中該等間隔件係由氧化物材料形成;使用該等間隔件作為蝕刻遮罩而蝕刻該塊體半導體材料,以在該塊體半導體材料中形成隔離溝槽;形成介電材料於該隔離溝槽中、該間隔件之上、該第一導電鰭結構之上、和該第二導電鰭結構之上;蝕刻該介電材料之至少一部分和該等間隔件之至少一部分,以暴露該第一導電鰭結構之上部和該第二導電鰭結構之上部,同時保留該隔離溝槽中之該介電材料以及保留各個導電鰭結構的相鄰導電鰭之間位於底部的空間中的某些該氧化物材料。
  9. 如申請專利範圍第8項之方法,其中,形成該等間隔件之步驟包括:沉積氧化物材料於該第一導電鰭結構、該第二導電鰭結構、和該塊體半導體材料之上,該氧化物材料形成對應於該間隙之凹部;以及非等向性蝕刻該氧化物材料而使得該凹部延伸至該塊體半導體材料。
  10. 如申請專利範圍第8項之方法,其中,蝕刻該塊體半導體材料之步驟係以使得該隔離溝槽自對準於該等間隔件之方式形成該隔離溝槽。
  11. 如申請專利範圍第8項之方法,復包括於蝕刻該介電材料之至少一部分和該等間隔件之至少一部分之前,研磨該介電材料至該第一導電鰭結構和該第二導電鰭結構之高度。
  12. 如申請專利範圍第11項之方法,其中,該蝕刻該介電材料之至少一部分和該等間隔件之至少一部分之步驟係使用端點蝕刻技術。
  13. 如申請專利範圍第8項之方法,復包括:完成包含該第一導電鰭結構之第一裝置結構的製造;以及完成包含該第二導電鰭結構之第二裝置結構的製造;其中於該隔離溝槽中之該介電材料電性隔離該第一裝置結構與該第二裝置結構。
  14. 一種製造半導體裝置結構之方法,該方法包含下列步驟:提供基板,該基板包括塊體半導體材料、由該塊體半導體材料形成的第一導電鰭結構、和由該塊體半導體材料形成的第二導電鰭結構,該第一導電鰭結構和該第二導電鰭結構由間隙所分離;於該間隙中形成間隔件並且該等間隔件係鄰接該第一導電鰭結構和該第二導電鰭結構,其中該等間隔件係由氧化物材料形成;使用該等間隔件作為蝕刻遮罩而蝕刻該塊體半導 體材料,以在該塊體半導體材料中形成隔離溝槽;去除該等間隔件;形成介電材料於該隔離溝槽中、第一導電鰭結構之上、和該第二導電鰭結構之上;以及蝕刻該介電材料之至少一部分,以暴露該第一導電鰭結構之上部和該第二導電鰭結構之上部,同時保留該隔離溝槽中之該介電材料以及保留各個導電鰭結構的相鄰導電鰭之間位於底部的空間中的某些該氧化物材料。
  15. 如申請專利範圍第14項之方法,其中,形成該等間隔件之步驟包括:沉積氧化物材料於該第一導電鰭結構、該第二導電鰭結構、和該塊體半導體材料之上,該氧化物材料形成對應於該間隙之凹部;以及非等向性蝕刻該氧化物材料而使得該凹部延伸至該塊體半導體材料。
  16. 如申請專利範圍第14項之方法,其中,蝕刻該塊體半導體材料之步驟係以使得該隔離溝槽自對準於該等間隔件之方式形成該隔離溝槽。
  17. 如申請專利範圍第14項之方法,復包括於蝕刻該介電材料之至少一部分之前,研磨該介電材料至該第一導電鰭結構和該第二導電鰭結構之高度。
  18. 如申請專利範圍第17項之方法,其中,該蝕刻至少該介電材料之一部分之步驟係使用端點蝕刻技術。
  19. 如申請專利範圍第14項之方法,復包括:完成包含該第一導電鰭結構之NMOS電晶體裝置結構的製造;以及完成包含該第二導電鰭結構之PMOS電晶體裝置結構的製造;其中於該隔離溝槽中之該介電材料電性隔離該NMOS電晶體裝置結構與該PMOS電晶體裝置結構。
  20. 如申請專利範圍第14項之方法,其中,去除該等間隔件之步驟包括選擇性地蝕刻掉該等間隔件。
TW098124368A 2008-07-21 2009-07-20 具有溝槽隔離之鰭式半導體裝置的形成方法 TWI498998B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/176,866 US7994020B2 (en) 2008-07-21 2008-07-21 Method of forming finned semiconductor devices with trench isolation

Publications (2)

Publication Number Publication Date
TW201013838A TW201013838A (en) 2010-04-01
TWI498998B true TWI498998B (zh) 2015-09-01

Family

ID=41190725

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098124368A TWI498998B (zh) 2008-07-21 2009-07-20 具有溝槽隔離之鰭式半導體裝置的形成方法

Country Status (7)

Country Link
US (2) US7994020B2 (zh)
EP (1) EP2311077A1 (zh)
JP (1) JP5555698B2 (zh)
KR (1) KR101638532B1 (zh)
CN (1) CN102099902A (zh)
TW (1) TWI498998B (zh)
WO (1) WO2010011287A1 (zh)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US8039326B2 (en) * 2009-08-20 2011-10-18 Globalfoundries Inc. Methods for fabricating bulk FinFET devices having deep trench isolation
US8101486B2 (en) 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
US8426923B2 (en) * 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US8338259B2 (en) * 2010-03-30 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a buried stressor
US20120032267A1 (en) * 2010-08-06 2012-02-09 International Business Machines Corporation Device and method for uniform sti recess
JP2012235059A (ja) * 2011-05-09 2012-11-29 Toshiba Corp 半導体装置および半導体装置の製造方法
US8455307B2 (en) 2011-05-19 2013-06-04 GlobalFoundries, Inc. FINFET integrated circuits and methods for their fabrication
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8595661B2 (en) 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US8592320B2 (en) * 2011-08-15 2013-11-26 Nanya Technology Corporation Method for forming fin-shaped semiconductor structure
JP2013058688A (ja) * 2011-09-09 2013-03-28 Toshiba Corp 半導体装置の製造方法
CN103000517B (zh) * 2011-09-09 2016-02-10 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN103021857B (zh) * 2011-09-28 2015-12-16 中芯国际集成电路制造(上海)有限公司 多栅极场效应晶体管的制造方法
CN103843119A (zh) * 2011-09-30 2014-06-04 英特尔公司 非平面晶体管鳍状物制造
US8569125B2 (en) * 2011-11-30 2013-10-29 International Business Machines Corporation FinFET with improved gate planarity
US8541286B2 (en) * 2012-02-17 2013-09-24 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US9397098B2 (en) 2012-03-08 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8779517B2 (en) * 2012-03-08 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8853037B2 (en) 2012-03-14 2014-10-07 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US9633905B2 (en) 2012-04-20 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor fin structures and methods for forming the same
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8658486B2 (en) * 2012-05-23 2014-02-25 International Business Machines Corporation Forming facet-less epitaxy with a cut mask
US9583398B2 (en) * 2012-06-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having FinFETS with different fin profiles
US8796772B2 (en) * 2012-09-24 2014-08-05 Intel Corporation Precision resistor for non-planar semiconductor device architecture
CN103811342B (zh) * 2012-11-09 2017-08-25 中国科学院微电子研究所 鳍结构及其制造方法
US8987790B2 (en) 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8759874B1 (en) * 2012-11-30 2014-06-24 Stmicroelectronics, Inc. FinFET device with isolated channel
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US8956942B2 (en) 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
KR20140099743A (ko) 2013-02-04 2014-08-13 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102013842B1 (ko) 2013-02-08 2019-08-26 삼성전자주식회사 반도체 소자의 제조 방법
KR102003276B1 (ko) 2013-02-14 2019-07-24 삼성전자 주식회사 반도체 소자 제조 방법
US9123654B2 (en) * 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US9159576B2 (en) * 2013-03-05 2015-10-13 Qualcomm Incorporated Method of forming finFET having fins of different height
EP2775528B1 (en) * 2013-03-05 2019-07-17 IMEC vzw Passivated III-V or Ge fin-shaped field effect transistor
US9177955B2 (en) * 2013-03-08 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation region gap fill method
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US20140315371A1 (en) * 2013-04-17 2014-10-23 International Business Machines Corporation Methods of forming isolation regions for bulk finfet semiconductor devices
FR3005309B1 (fr) 2013-05-02 2016-03-11 Commissariat Energie Atomique Transistors a nanofils et planaires cointegres sur substrat soi utbox
US9035425B2 (en) * 2013-05-02 2015-05-19 United Microelectronics Corp. Semiconductor integrated circuit
KR20140142423A (ko) * 2013-06-03 2014-12-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8987094B2 (en) * 2013-07-09 2015-03-24 GlobalFoundries, Inc. FinFET integrated circuits and methods for their fabrication
CN104517888B (zh) * 2013-09-27 2017-10-20 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9121890B2 (en) * 2013-10-30 2015-09-01 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
US9324790B2 (en) 2013-11-19 2016-04-26 International Business Machines Corporation Self-aligned dual-height isolation for bulk FinFET
US9034723B1 (en) * 2013-11-25 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9209172B2 (en) 2014-05-08 2015-12-08 International Business Machines Corporation FinFET and fin-passive devices
US9385123B2 (en) 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9583598B2 (en) * 2014-10-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
KR102259917B1 (ko) 2015-02-23 2021-06-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102320820B1 (ko) * 2015-02-24 2021-11-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
JP6502705B2 (ja) * 2015-03-03 2019-04-17 キヤノン株式会社 形成方法
KR102307467B1 (ko) 2015-03-20 2021-09-29 삼성전자주식회사 액티브 핀을 포함하는 반도체 장치
KR102426666B1 (ko) * 2015-03-25 2022-07-28 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
KR102398862B1 (ko) 2015-05-13 2022-05-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102460718B1 (ko) * 2015-05-28 2022-10-31 삼성전자주식회사 집적회로 소자
KR102270920B1 (ko) 2015-06-10 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9716041B2 (en) * 2015-06-26 2017-07-25 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
CN106328705B (zh) 2015-07-01 2020-11-24 联华电子股份有限公司 具有栅极结构的鳍状半导体元件及其制作方法
CN106711213B (zh) 2015-07-20 2021-02-26 联华电子股份有限公司 半导体元件及其制作方法
US9905641B2 (en) * 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9508597B1 (en) * 2015-09-18 2016-11-29 Globalfoundries Inc. 3D fin tunneling field effect transistor
US10121879B2 (en) 2015-09-28 2018-11-06 International Business Machines Corporation Forming odd number of fins by sidewall imaging transfer
US9570555B1 (en) * 2015-10-29 2017-02-14 International Business Machines Corporation Source and drain epitaxial semiconductor material integration for high voltage semiconductor devices
US9577036B1 (en) 2015-11-12 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET isolation structure and method for fabricating the same
US9679763B1 (en) 2015-11-20 2017-06-13 International Business Machines Corporation Silicon-on-insulator fin field-effect transistor device formed on a bulk substrate
KR102413371B1 (ko) 2015-11-25 2022-06-28 삼성전자주식회사 반도체 소자
KR102523125B1 (ko) 2015-11-27 2023-04-20 삼성전자주식회사 반도체 소자
US9537011B1 (en) 2015-12-14 2017-01-03 International Business Machines Corporation Partially dielectric isolated fin-shaped field effect transistor (FinFET)
KR20170097270A (ko) 2016-02-17 2017-08-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9847425B2 (en) * 2016-03-24 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with a semiconductor strip as a base
KR102481479B1 (ko) 2016-04-29 2022-12-26 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9754837B1 (en) * 2016-05-20 2017-09-05 Globalfoundries Inc. Controlling within-die uniformity using doped polishing material
US9722024B1 (en) * 2016-06-09 2017-08-01 Globalfoundries Inc. Formation of semiconductor structures employing selective removal of fins
US10083871B2 (en) * 2016-06-09 2018-09-25 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain
US10032869B2 (en) * 2016-08-17 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device having position-dependent heat generation and method of making the same
US11017999B2 (en) 2016-10-05 2021-05-25 International Business Machines Corporation Method and structure for forming bulk FinFET with uniform channel height
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10950505B2 (en) * 2017-01-23 2021-03-16 International Business Machines Corporation Multiple finFET formation with epitaxy separation
CN108962971B (zh) * 2017-05-19 2022-01-11 中芯国际集成电路制造(北京)有限公司 一种半导体结构及其形成方法
US10083878B1 (en) 2017-06-05 2018-09-25 Globalfoundries Inc. Fin fabrication process with dual shallow trench isolation and tunable inner and outer fin profile
US10141431B1 (en) 2017-07-31 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy source/drain regions of FinFETs and method forming same
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
KR102342551B1 (ko) 2017-09-25 2021-12-23 삼성전자주식회사 아이솔레이션 영역을 포함하는 반도체 소자
US10388570B2 (en) 2017-12-18 2019-08-20 International Business Machines Corporation Substrate with a fin region comprising a stepped height structure
US10636869B2 (en) * 2018-03-09 2020-04-28 Xilinx, Inc. Mitigation for FinFET technology using deep isolation
US11189614B2 (en) * 2018-03-16 2021-11-30 Intel Corporation Process etch with reduced loading effect
US10304744B1 (en) * 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
US10872825B2 (en) 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10998310B2 (en) * 2018-07-09 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Fins with wide base in a FINFET
US11114303B2 (en) * 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
US11120997B2 (en) * 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning
US11004751B2 (en) 2019-02-25 2021-05-11 International Business Machines Corporation Vertical transistor having reduced edge fin variation
CN111968947A (zh) * 2019-05-20 2020-11-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其形成方法
CN116053214B (zh) * 2023-03-29 2023-06-27 合肥新晶集成电路有限公司 半导体结构及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134868A1 (en) * 2003-09-16 2006-06-22 Samsung Electronics Co., Ltd. Double gate field effect transistor and method of manufacturing the same
US20060141706A1 (en) * 2004-12-28 2006-06-29 Seung-Wan Hong Methods of forming non-volatile semiconductor memory devices using prominences and trenches, and devices so formed
US20070026629A1 (en) * 2005-07-29 2007-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Novel structure for a multiple-gate FET device and a method for its fabrication

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4070919B2 (ja) * 1999-01-22 2008-04-02 富士通株式会社 半導体装置及びその製造方法
JP4541148B2 (ja) * 2002-10-25 2010-09-08 中外製薬株式会社 排便機能障害の治療及び/又は予防剤
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
JPWO2006006438A1 (ja) * 2004-07-12 2008-04-24 日本電気株式会社 半導体装置及びその製造方法
US7252169B2 (en) 2004-10-15 2007-08-07 Cnh America Llc Windrower rear axle suspension system
WO2006090445A1 (ja) * 2005-02-23 2006-08-31 Fujitsu Limited 半導体回路装置及びその半導体回路装置の製造方法
KR100621888B1 (ko) 2005-07-19 2006-09-11 삼성전자주식회사 소자 분리막 형성 방법 및 이를 이용 핀형 전계 효과트랜지스터의 제조방법
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134868A1 (en) * 2003-09-16 2006-06-22 Samsung Electronics Co., Ltd. Double gate field effect transistor and method of manufacturing the same
US20060141706A1 (en) * 2004-12-28 2006-06-29 Seung-Wan Hong Methods of forming non-volatile semiconductor memory devices using prominences and trenches, and devices so formed
US20070026629A1 (en) * 2005-07-29 2007-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Novel structure for a multiple-gate FET device and a method for its fabrication

Also Published As

Publication number Publication date
US7994020B2 (en) 2011-08-09
JP2011528863A (ja) 2011-11-24
US8431466B2 (en) 2013-04-30
WO2010011287A1 (en) 2010-01-28
CN102099902A (zh) 2011-06-15
KR101638532B1 (ko) 2016-07-20
US20100015778A1 (en) 2010-01-21
JP5555698B2 (ja) 2014-07-23
US20110263094A1 (en) 2011-10-27
EP2311077A1 (en) 2011-04-20
KR20110049806A (ko) 2011-05-12
TW201013838A (en) 2010-04-01

Similar Documents

Publication Publication Date Title
TWI498998B (zh) 具有溝槽隔離之鰭式半導體裝置的形成方法
KR101333897B1 (ko) 핀 디바이스를 제조하는 방법 및 구조
US9224840B2 (en) Replacement gate FinFET structures with high mobility channel
TWI509736B (zh) 半導體結構及其形成方法
US8039326B2 (en) Methods for fabricating bulk FinFET devices having deep trench isolation
US7972914B2 (en) Semiconductor device with FinFET and method of fabricating the same
JP5230737B2 (ja) 異なる高さの隣接シリコンフィンを製造する方法
US9954104B2 (en) Multiwidth finFET with channel cladding
US10192746B1 (en) STI inner spacer to mitigate SDB loading
US9530871B1 (en) Method for fabricating a semiconductor device
TWI509710B (zh) 具有改良之閘極高度均勻性的半導體裝置及其製造方法
TWI724207B (zh) 半導體裝置及其製程
US9105746B2 (en) Method for manufacturing a field effect transistor of a non-planar type
US9620505B2 (en) Semiconductor device with different fin sets
US20150333067A1 (en) Devices and methods of forming finfets with self aligned fin formation
US20180151689A1 (en) Spacers for tight gate pitches in field effect transistors
US9099570B2 (en) Method for the formation of dielectric isolated fin structures for use, for example, in FinFET devices
US20100059852A1 (en) Semiconductor transistor device with improved isolation arrangement, and related fabrication methods

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees