TWI495071B - 具有穿透基板互連之半導體 - Google Patents

具有穿透基板互連之半導體 Download PDF

Info

Publication number
TWI495071B
TWI495071B TW098117132A TW98117132A TWI495071B TW I495071 B TWI495071 B TW I495071B TW 098117132 A TW098117132 A TW 098117132A TW 98117132 A TW98117132 A TW 98117132A TW I495071 B TWI495071 B TW I495071B
Authority
TW
Taiwan
Prior art keywords
metal
metal wiring
forming
semiconductor device
conductive
Prior art date
Application number
TW098117132A
Other languages
English (en)
Other versions
TW201005907A (en
Inventor
Kyle Kirby
Kunal Parekh
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW201005907A publication Critical patent/TW201005907A/zh
Application granted granted Critical
Publication of TWI495071B publication Critical patent/TWI495071B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • H01L27/0694Integrated circuits having a three-dimensional layout comprising components formed on opposite sides of a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

具有穿透基板互連之半導體
本揭示案大體而言係關於半導體裝置。詳言之,本揭示案係關於半導體裝置中之導電互連。
在製造半導體裝置期間,使用一基底材料晶圓(諸如,晶態矽)來形成電組件。形成半導體裝置之製程步驟通常為添加或減去步驟。此等步驟可包括(但不限於)生長材料、沈積材料、植入離子、平坦化表面及蝕刻材料。在晶圓上執行此等製程,該晶圓接著經分割成獨立半導體晶粒。每一晶粒包括一作用表面或頂部表面(在該表面上執行該等製程步驟以形成電裝置)及一背部表面。
在分割之後,可封裝半導體晶粒以用於其他裝置(諸如,消費型電子產品)中。已使用若干方法來形成與半導體作用表面之電連接(諸如,線接合及球接合)。作為一實例,一種用於封裝半導體晶粒之過程包括:(a)在半導體晶圓上形成晶粒;(b)切割晶圓,以分離或分割晶粒;(c)將個別晶粒附著至插入式基板;(d)將晶粒之作用表面之導電接合襯墊線接合至插入式基板之端子;及(e)用合適模壓化合物囊封晶粒。
回應於針對給定佔據面積增加半導體裝置之密度的期望,半導體製造商已致力於開發將一或多個裝置堆疊於另一裝置之上之方式。已描述了用於將半導體晶粒電連接於一起之不同方法。此等方法可包括形成背側導電互連位置。在美國專利第6,582,992、6,903,443、6,962,867和7,091,124號中描述背側互連之一些實例。
舉例而言,第7,091,124號專利描述形成穿透一晶粒及該晶粒之作用表面上的一接合襯墊至該晶粒之一背側的導通孔或通路。第6,962,867號專利描述一種包括一或多個導通孔之半導體基板,該一或多個導通孔中形成有導電材料且自半導體基板之作用表面延伸至背部表面。一種描述於第6,903,443號專利中的用於製造半導體組件及互連之方法包括以下步驟:提供一基板(諸如,半導體晶粒);藉由雷射鑽蝕穿透基板之導通孔,在基板之相對側上形成外部接觸;及在導通孔中形成導電部件。第6,582,992號專利描述形成於晶粒之邊緣上的充當用於堆疊晶粒封裝之層間導體之導電凹槽。
在大體上完成半導體處理之後形成導通孔可被稱為後導通孔製程。相比而言,已進行了一些開發工作以在整合處理之前形成導通孔,即先導通孔製程。舉例而言,在Kurita等人的「2007年電子組件及技術會議」(「2007 Electronic Components and Technology Conference」)第821-829頁之「A 3D Stacked Memory Integrated on a Logic Device Using SMAFTI Technology」中揭示了多晶矽導通孔製程。該論文描述使用高度摻雜多晶矽作為用於DRAM晶粒中之穿透矽導通孔之填充材料的先導通孔製程。在先導通孔製程中,在DRAM裝置製程之前進行矽基板蝕刻及填充。該論文指示,選擇多晶矽作為填充材料可防止在隨後之裝置製程中發生金屬原子污染及溫度限制。如該論文中所描述,蝕刻進入至矽基板中之一渠溝且該渠溝側壁與熱氧化物隔離。藉由化學氣相沈積(CVD)沈積多晶矽且使用化學機械研磨(CMP)平坦化矽表面以移除頂部表面處之過量層。接著在晶圓上進行DRAM裝置製程。
出於對彼等熟習此項技術者而言在閱讀並理解本說明書後將變得顯而易見之原因,在此項技術中需要為半導體裝置提供背側導電互連位置之替代方法及裝置。
在當前實施例之以下詳細描述中,參看隨附圖式,該等圖式形成本文之一部分且在其中以說明方式展示可實踐該等實施例之特定實施例。以足夠細節來描述此等實施例以使熟習此項技術者能夠實踐本發明,且應理解,可利用其他實施例,且可在不脫離本揭示案之範疇的情況下進行製程、化學或電改變。前文及以下描述中使用之術語晶圓及基板包括任何基底半導體結構。此外,當在以下描述中引用晶圓或基板時,可能已利用了先前製程步驟在基底半導體結構中形成區域/接面。此外,定向參考(例如,上部、下部、頂部、底部及側面)是彼此間相對的且不需要理解為絕對方向。以下詳細描述因此不以限制性意義來理解。
本揭示案描述將金屬導電互連併入至積體電路之製造過程中之半導體製程。如下文中較詳細解釋,該等製程允許在製造半導體期間形成填充有金屬之導通孔。在電晶體形成之後且在製造金屬佈線層之前形成並填充導通孔。藉由本發明所揭示之實施例達成了眾多益處,包括間距較緊密之導通孔的形成、比多晶矽互連低的電阻及至半導體製造操作中之改良型整合。
參看圖1中所說明之簡化半導體裝置100之橫截面表示,該半導體包括一前側102及一背側104。如熟習此項技術者已知,在基板108上及/或基板108中(通常在該半導體基板之頂側或作用側上)製造電子裝置106。如下文詳細描述,在半導體製造過程中,在形成一些電子裝置106(諸如,電晶體)之後但在製造一些金屬佈線層(metal routing layer)112及114之前的某時間,製造進入至基板108中之一金屬互連110。一些半導體裝置(諸如,動態隨機存取記憶體(DRAM))包括多個金屬佈線層。此等層通常被稱為金屬1至金屬N,其中金屬1為形成之第一金屬層。因為金屬互連在基板之作用區域116下方延伸,所以可藉由移除半導體裝置之背部表面118的一部分來接入該金屬互連。應注意,金屬互連110之頂部區域120終止於一位於金屬N層114之平面下方的水平面中。經由半導體基板108(在移除區118之後)暴露金屬互連之底部區域122,以提供穿透該基板之一電路徑。圖1之說明已經簡化而集中於金屬互連相對於佈線層之位置。熟習此項技術者將瞭解,半導體裝置包括本文中尚未說明或描述之額外電路及製程製造步驟。
參看圖2至圖14,描述根據本發明之實施例之整合過程。圖2說明製造於矽基板201上之製程中半導體裝置200之橫截面。該裝置包括具有閘極204及源極/汲極植入區域206之電晶體202。垂直接觸件210延伸穿透多個材料層(諸如,介電質層212、214、216及218),以提供與源極/汲極植入區域206之電互連。出於理解本發明之目的,不需要電晶體、多個介電質層及接觸件之細節。此外,本發明並不限於特定半導體裝置。舉例而言,所說明之電晶體202為平面電晶體且本發明之實施例同等適用於具有垂直或三維電晶體之裝置中。在一項實施例中,半導體裝置為揮發性記憶體(諸如,動態隨機存取記憶體(DRAM)),且該等電晶體可為用於接入電荷儲存節點之接入電晶體(諸如,電容器(未說明))。
在形成電晶體之後的製造點處,將一低介電常數障壁及蝕刻終止薄膜220(諸如,來自Applied Materials,Inc.(Santa Clara,Ca.)之BLOkTM 材料)沈積於裝置之頂部表面上。參看圖3,使用熟知光阻製程來沈積、圖案化並選擇性移除光阻材料224,以提供一開口230。因為經圖案化之開口將用於形成導電導通孔,所以開口之幾何形狀並不重要。大體而言,自上方觀看之形狀(平面圖)可為圓形、橢圓形、方形、菱形、矩形或任何其他適當多邊形。
參見圖4,接著執行蝕刻製程以選擇性移除位於光阻224與矽基板201之間的材料層。參見圖5,亦經由蝕刻移除矽基板201之一部分,以形成進入至矽基板中之導通孔234。可以多個步驟完成該蝕刻操作。舉例而言,若在光阻224與矽201之間的中間層為氧化層,則可執行氧化蝕刻以暴露矽基板201,且接著可執行矽蝕刻。可使用任何合適蝕刻製程,包括(但不限於):乾式蝕刻「Bosch」型式'穩定狀態型式、低溫矽蝕刻、雷射切除、粒子噴砂、濕式蝕刻及微放電機械加工。在一項實施例中,導通孔之橫截面寬度為大約10μm且矽蝕刻之深度在50μm與100μm之間。因而,在一些實施例中,可需要約5:1至10:1之縱橫比。然而,實際縱橫比將視正製造之特定半導體裝置而定。導通孔234延伸至矽基板中達低於基板之頂部之作用區域的深度。可將作用區域視為矽基板的含有電路特徵之區域(諸如,摻雜植入區域)及塊狀矽基板的為進行半導體裝置之適當操作所需之區域。
如圖6中所說明,移除光阻層224,且在移除光阻之後將氧化層240沈積於障壁層220上並至經蝕刻之導通孔234中。氧化層在待形成於導通孔中之金屬互連與矽基板201之間提供一介電質層或絕緣層。在氧化物240上形成一第二障壁層244(諸如,Ta、W、TiN或TiW或其他合適材料)且接著沈積一金屬晶種層250。在一項實施例中,該金屬晶種層為銅晶種層,而在另一實施例中,該晶種層為鎢或其他合適材料。出於描述本發明之目的,實施方式將描述一種將銅用作金屬之裝置。本文中所使用之術語金屬包括具有重疊導電帶及價能帶之材料,包括(但不限於)週期表中之金屬及其合金。熟習此項技術者獲益於當前描述將瞭解,本發明並不限於銅實施例。可使用諸如CVD(化學氣相沈積)、PVD(物理氣相沈積)、傳電(electro graphing)或電鍍之技術在障壁上形成晶種層。在一項實施例中,晶種層具有處於2k至6k埃之範圍中之一般厚度。
在沈積晶種層250之後,製造一電鍍遮罩252,如圖7中所說明。移除形成於經蝕刻之導通孔中之任何電鍍遮罩材料,以暴露導通孔234中之晶種層。可使用負光阻或正光阻來圖案化電鍍遮罩。咸信,可自導通孔更完全地移除負光阻材料。可將其他表面電鍍抑止劑用作電鍍遮罩。舉例而言,可使用壓印材料或濺鍍層(諸如,Ti)。
接著,執行一電鍍製程以用固體金屬254填充導通孔,如圖8中所展示。舉例而言,執行一銅電鍍製程以填充導通孔。在一項實施例中,使用一電化學沈積(ECD)電鍍製程。可使用其他電鍍製程及材料,諸如CVD、PVD、無電極(化學或自催化)、奈米粒子或導電聚合物。
視導通孔之縱橫比而定,電鍍製程可能需要經熟習此項技術者最佳化以避免及/或減少金屬中空隙之產生。應注意,電鍍遮罩層252將電鍍製程限制於暴露於導通孔中之晶種層。亦即,晶種層之在導通孔外之水平區域保持經選擇性地覆蓋,以防止電鍍。
參見圖9,在移除電鍍遮罩252之後,執行平坦化製程以移除垂直地延伸於介電質層240上方的受保護之晶種層250及經電鍍之金屬254。舉例而言,可執行一化學機械平坦化(CMP)操作,該操作停止於氧化層240上。在平坦化操作之後,可形成一可選覆蓋層260,如圖10中所說明。該覆蓋層可為用以防止金屬漂移之氧化層或另一障壁層。此覆蓋層尤其可用於幫助含有金屬254並避免污染後續處理操作中所使用之製造設備。舉例而言,已知銅係極易移動的且一旦製程設備暴露於銅,其未來使用便通常限制於使用銅之操作中。
在圖11中,多個操作經說明為在製程點處已完成。具體言之,藉由以下操作在裝置上執行鑲嵌製程:圖案化光阻(未圖示);蝕刻以選擇性地暴露接觸件210;在經蝕刻之開口中形成一金屬1佈線層300;及將該金屬1層平坦化至覆蓋層260之平面。可使用第二晶種層來製造金屬1層。在此項實施例中,金屬互連254之頂部區域通常與金屬1層300處於同一水平面中。參看圖12,在形成金屬1層之後形成一介電質層310。接著,蝕刻該介電質層之部分以形成開口312,以暴露金屬1佈線層300及金屬互連254之接觸區域。與金屬1及金屬互連之接觸開口的大小、形狀及數目可基於積體電路裝置之需要而改變。如所說明,一項實施例可包括用於與金屬互連接觸之多個開口。
參看圖13,已形成金屬2佈線層320。應瞭解,為了形成金屬2層,形成一晶種層(未圖示)、電鍍該晶種層以填充形成於介電質層310中之凹口、並執行一平坦化操作以移除過量金屬。可將金屬互連254之頂部區域描述為處於低於金屬2層320之主水平面的水平面中。亦即,雖然金屬2層接觸金屬互連,但介電質區域使金屬2佈線層之主水平面與導體垂直地分離。
可在金屬2層上方執行額外製程步驟(未圖示),包括形成額外介電質層及金屬佈線層。使用為熟習此項技術者已知之技術(諸如,藉由背面研磨)來薄化半導體基板,以暴露金屬互連254之下部區域340,見圖14。因此,已形成一半導體裝置,其具有一頂側及一背側。第一金屬層300位於頂側與背側之間,且第二金屬層320位於第一金屬層與頂側之間。金屬互連254穿透半導體裝置之部分垂直地延伸至背側,且金屬互連之頂部區域垂直地位於含有第二金屬層320之一水平面下方。
在一項實施例中,可藉由以下操作製造半導體裝置:蝕刻進入至半導體基板中之一導通孔;用一金屬材料填充該導通孔;在填充該導通孔之後,形成一金屬佈線層;及移除該半導體基板之底部的一部分,以暴露填充有金屬之導通孔之底部區域。其他實施例可包括製造一電晶體,該電晶體具有一閘極及延伸至矽基板之頂部區域中之源極/汲極植入區域。在該電晶體上方形成一介電質層,且接著形成穿透該介電質層並進入至矽基板中而與電晶體橫向相鄰之一導通孔。該導通孔垂直地延伸於電晶體之源極/汲極植入區域下方。在經蝕刻之導通孔中形成一第一介電質層,且接著在形成該第一介電質層之後形成一金屬晶種層。在晶種層之位於導通孔外之選定區域上方形成一阻擋層,且電鍍該晶種層的經暴露之區域以用金屬填充導通孔並形成一金屬插塞。移除該阻擋層及未經電鍍之晶種層,且在該金屬插塞上方形成一第二介電質層。接著在第二介電質區域上方形成一金屬佈線層,以使得該金屬佈線層經由該第二介電質層接觸該金屬插塞,以形成一電連接。
熟習此項技術者獲益於本揭示案將瞭解,可在不偏離本發明之情況下修改上文所描述之製程步驟。亦即,可進行製程整合改變以適應於製造商之設備、半導體裝置參數及製程相關問題。
參看圖15至圖16,說明替代實施例。在此等實施例中,使用用於形成金屬佈線層之鑲嵌製程能夠直接在金屬1層與金屬互連之間得到直接電接觸。舉例而言,如圖15中所展示,蝕刻操作暴露了金屬互連254並移除了在晶種層250之垂直側上的障壁層,以使得金屬1層410接觸該互連。在平坦化之後,金屬1層整體連接至金屬互連。或者,蝕刻操作可暴露金屬互連254並移除在該互連之垂直側及頂側上的障壁層,以使得金屬1層400在頂部表面上接觸互連254,見圖16。
本發明之替代實施例包括在形成金屬佈線層之後但在形成最終金屬佈線層之前形成金屬互連。此外,可在形成金屬層之間形成金屬互連。亦即,本發明並不限於一個金屬互連形成操作。
本發明之實施例並不限於兩個金屬佈線層。此外,可將金屬佈線層中之任何、一些或全部之一部分電連接至金屬互連。亦即,半導體裝置可包括各自經指定用於不同操作目的之數百個金屬互連。因此,互連之電路徑可能且極有可能將不同。
圖17說明一可包括球形接合襯墊位置510的再分布層500,其在暴露金屬互連254之後形成於半導體基板之背面 或底部上。該再分布層形成與互連之電接觸及實體接觸。用於薄化半導體晶圓並形成再分布層之製造過程為此項技術中熟知的。因而,本文未提供詳細描述。
雖然本文中已說明且描述了特定實施例,但一般熟習此項技術者將瞭解,經計劃以達成相同目的之任何配置可替代所展示之特定實施例。對於一般熟習此項技術者而言,本揭示案之許多調適將顯而易見。因此,本申請案意欲涵蓋本揭示案之任何調適或變化。
100‧‧‧半導體裝置
102‧‧‧前側
104‧‧‧背側
106‧‧‧電子裝置
108‧‧‧基板
110‧‧‧金屬互連
112‧‧‧金屬佈線層
114‧‧‧金屬佈線層
116‧‧‧作用區域
118‧‧‧半導體裝置之背部表面/區
120‧‧‧金屬互連之頂部區域
122‧‧‧金屬互連之底部區域
200‧‧‧半導體裝置
201‧‧‧矽基板
202‧‧‧電晶體
204‧‧‧閘極
206‧‧‧源極/汲極植入區域
210‧‧‧接觸件
212‧‧‧介電質層
214‧‧‧介電質層
216‧‧‧介電質層
218‧‧‧介電質層
220‧‧‧低介電常數障壁及蝕刻終止薄膜/障壁層
224‧‧‧光阻材料
230‧‧‧開口
234‧‧‧導通孔
240‧‧‧氧化層/氧化物/介電質層
244‧‧‧第二障壁層
250‧‧‧金屬晶種層
252‧‧‧電鍍遮罩/電鍍遮罩層
254‧‧‧金屬互連/固體金屬/經電鍍之金屬
260‧‧‧覆蓋層
300‧‧‧金屬1佈線層/第一金屬層
310‧‧‧介電質層
312‧‧‧開口
320‧‧‧金屬2佈線層/第二金屬層
340‧‧‧金屬互連254之下部區域
410‧‧‧金屬1層
500‧‧‧再分布層
510‧‧‧球形接合襯墊位置
圖1為根據本發明之一項實施例的簡化半導體裝置之部分橫截面表示;圖2至圖14為根據本發明之實施例的簡化製程中半導體之部分橫截面視圖;圖15至圖16為根據本發明之實施例的簡化製程中半導體之部分橫截面視圖;及圖17說明根據本發明之一實施例的具有一再分布層之簡化製程中半導體之部分橫截面視圖。
200...半導體裝置
201...矽基板
202...電晶體
204...閘極
206...源極/汲極植入區域
210...接觸件
212...介電質層
214...介電質層
216...介電質層
218...介電質層
220...低介電常數障壁及蝕刻終止薄膜/障壁層
240...氧化層/氧化物/介電質層
244...第二障壁層
250...金屬晶種層
254...金屬互連/固體金屬/經電鍍之金屬
260...覆蓋層
400...金屬1層

Claims (17)

  1. 一種具有一頂側及一背側之半導體裝置,其包含:一矽基板,其具有一頂部側及一底部側;一第一介電質結構,其鄰近於該矽基板之該頂部側;一第一金屬佈線結構,其位於該第一介電質結構處,該第一金屬佈線結構至少部分地位於該第一介電質結構中;一第二金屬佈線結構,其位於該第一金屬佈線結構與該半導體裝置之該頂側之間;一導電互連,其穿過該半導體裝置之一部分垂直延伸至該半導體裝置之該背側,其中該導電互連之一頂部區域係垂直地位於包含該第二金屬佈線結構之一水平平面的下方;一第二介電質結構,其至少具有大體對應於該第一金屬佈線結構的一第一開口、大體對應於一導電互連的一第二開口以及一第三開口;以及在該第一開口中的一第一導電部份、在該第二開口中的一第二導電部份以及在該第三開口中的該第二金屬佈線結構;其中該導電互連及該第一及第二金屬佈線結構並非同時形成。
  2. 如請求項1之半導體裝置,其中該第一金屬佈線結構與該導電互連形成一導電接觸。
  3. 如請求項1之半導體裝置,其中該第二金屬佈線結構與 該導電互連形成一導電接觸。
  4. 如請求項1之半導體裝置,其進一步包含形成於該半導體裝置之該背側上之一導電再分布層,其中該導電再分布層電耦接至該導電互連。
  5. 一種具有一頂側及一背側之半導體裝置,其包含:一矽基板,其具有一頂部側及一底部側;主動電路,其製造於該矽基板之該頂側上且包括:至少第一及第二金屬佈線結構,其中一第一金屬佈線結構係位於在該半導體裝置之該頂側及該背側之間的一第一介電質結構處,該第一金屬佈線結構係至少部分地位於該第一介電質結構中,且其中一第二金屬佈線結構係位於該第一金屬佈線結構與該半導體裝置之該頂側之間;一第二介電質結構,其至少具有大體對應於該第一金屬佈結構之一第一開口、大體對應於一導電互連之一第二開口以及一第三開口;一導電互連,其穿透該矽基板垂直地延伸至該半導體裝置之該背側,使得該第一及第二金屬佈線結構中之至少一者位於在該導電互連之一頂部區域上方的一水平平面中;一外部電互連,其在該矽基板之該底側處耦接至該導電互連;以及其中該導電互連及該第二及第二金屬佈線結構並非同時形成。
  6. 如請求項5之半導體裝置,其中該外部電互連包含形成於該矽基板之該底側上之一導電再分布層,其中該導電再分布層電耦接至該導電互連。
  7. 如請求項6之半導體裝置,其中該導電再分布層包含一導電球形接合襯墊。
  8. 如請求項5之半導體裝置,其中該導電互連具有一約5:1至10:1之長寬比。
  9. 如請求項5之半導體裝置,其中該第一及第二金屬佈線結構中之一者具有一與該導電互連之電連接。
  10. 一種製造一半導體裝置之方法,其包含:蝕刻進入至一半導體基板中之一導通孔:用一金屬材料填充該導通孔;在填充該導通孔之後,在該導通孔上方的一第一介電質結構處形成一第一金屬佈線結構,該第一金屬佈線結構至少部分地位於該第一介電質結構中;形成一第二金屬佈線結構;形成一第二介電質結構,其至少具有大體對應於該第一金屬佈線結構之一第一開口、大體對應於該導通孔之一第二開口以及大體對應於一第二金屬佈線結構之一第三開口;以及移除該半導體基板之一底部之一部分,以暴露該填充有金屬之導通孔之一底部區域。
  11. 如請求項10之方法,其中用一金屬材料填充該導通孔包含: 形成一金屬晶種層;選擇性地覆蓋該金屬晶種層之一部分,以使得位於該導通孔內之該金屬晶種層保持暴露;及電鍍該經暴露之金屬晶種層。
  12. 如請求項10之方法,其進一步包含在該半導體基板之該底部上形成一金屬再分布層,以使得在該填充有金屬之導通孔與該再分布層之間形成一電連接。
  13. 如請求項10之方法,其進一步包含形成一第二金屬佈線結構發生在形成該金屬佈線結構之後,其中該第二金屬佈線結構形成一與該填充有金屬之導通孔的電接觸。
  14. 一種製造一半導體裝置之方法,其包含:蝕刻進入至一半導體基板中之一導通孔:用一介電質層使該導通孔絕緣;用銅填充該經絕緣之導通孔;在填充該導通孔之後至少形成一第一金屬佈線結構及一第二金屬佈線結構,其中該第一金屬佈線結係位於在該導通孔上方之一第一介電質結構,該第一金屬佈線桔構係至少部分位於該第一介電質結構中;形成一第二介電質結構,其至少具有大體對應於該第一金屬佈線結構之一第一開口、大體對應於該導通孔之一第二開口以及大體對應於該第二金屬佈線結構之一第三開口,且其中該第一及第二金屬佈線結構中之至少一者與該填充有銅之導通孔形成一實體接觸;移除該半導體基板之一底部之一部分,以暴露該填充 有銅之導通孔之一底部區域;及在該半導體基板之該底部上形成一金屬再分布層,以使得在該經暴露的填充有銅之導通孔與該再分布層之間形成一實體接觸。
  15. 如請求項14之方法,其中用銅填充該導通孔包含:形成一銅晶種層;選擇性地覆蓋該銅晶種層之一部分,以使得位於該經絕緣之導通孔內的該銅晶種層保持暴露;及電鍍該經暴露之銅晶種層。
  16. 一種製造一半導體裝置之方法,其包含:製造一電晶體,該電晶體具有一閘極及延伸至一矽基板之一頂部區域中之源極/汲極植入區域;在該電晶體上方形成一第一介電質結構;形成穿透該第一介電質結構垂直地延伸至該電晶體之一接觸件;蝕刻穿透該第一介電質結構並進入至該矽基板中而與該電晶體橫向相鄰之一導通孔,其中該導通孔垂直地延伸於該源極/該汲極植入區域下方;在該經蝕刻之導通孔中形成一第二介電質結構;在該第二介電質結構之後形成一金屬晶種層;在該晶種層之位於該導通孔外之選定區域上方形成一阻擋層;電鍍該晶種層之經暴露之區域,以用金屬填充該導通孔並形成一金屬插塞; 移除該阻擋層及未經電鍍之晶種層;在該第二介電質結構上形成一第一金屬佈線結構,該第一金屬佈線桔構係至少部分地位於該第二介電質結構中;在該金屬插塞上方形成一第三介電質結構,該第三介電質結構具有大體對應於該第一金屬佈結構之一第一開口、大體對應於該金屬插塞之一第二開口以及一第三開口;在該第三介電質結構處形成一第二金屬佈線結構,以使得該第二金屬佈線結構經由該第三介電質結構接觸該金屬插塞,以形成一電連接;移除該矽基板之一底側之一部分,以暴露該金屬插塞之一底部;及在該矽基板之該底部上形成一金屬再分布層,以使得在該金屬插塞與該再分布層之間形成一電連接。
  17. 如請求項16之方法,其中該金屬插塞包含銅。
TW098117132A 2008-06-19 2009-05-22 具有穿透基板互連之半導體 TWI495071B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/142,251 US7968460B2 (en) 2008-06-19 2008-06-19 Semiconductor with through-substrate interconnect

Publications (2)

Publication Number Publication Date
TW201005907A TW201005907A (en) 2010-02-01
TWI495071B true TWI495071B (zh) 2015-08-01

Family

ID=41430349

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098117132A TWI495071B (zh) 2008-06-19 2009-05-22 具有穿透基板互連之半導體

Country Status (2)

Country Link
US (7) US7968460B2 (zh)
TW (1) TWI495071B (zh)

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20070045120A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Methods and apparatus for filling features in microfeature workpieces
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
KR101374338B1 (ko) 2007-11-14 2014-03-14 삼성전자주식회사 관통 전극을 갖는 반도체 장치 및 그 제조방법
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8166651B2 (en) * 2008-07-29 2012-05-01 International Business Machines Corporation Through wafer vias with dishing correction methods
US7859114B2 (en) * 2008-07-29 2010-12-28 International Business Machines Corporation IC chip and design structure with through wafer vias dishing correction
KR101002680B1 (ko) * 2008-10-21 2010-12-21 삼성전기주식회사 반도체 패키지 및 그 제조 방법
US7825024B2 (en) * 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
EP2194574B1 (en) * 2008-12-02 2018-11-07 IMEC vzw Method for producing interconnect structures for integrated circuits
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
JP5330863B2 (ja) * 2009-03-04 2013-10-30 パナソニック株式会社 半導体装置の製造方法
US8552563B2 (en) * 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8362622B2 (en) 2009-04-24 2013-01-29 Synopsys, Inc. Method and apparatus for placing transistors in proximity to through-silicon vias
US8227340B2 (en) * 2009-04-30 2012-07-24 Infineon Technologies Ag Method for producing a copper connection between two sides of a substrate
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9799562B2 (en) * 2009-08-21 2017-10-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8264065B2 (en) 2009-10-23 2012-09-11 Synopsys, Inc. ESD/antenna diodes for through-silicon vias
US8183111B1 (en) * 2009-12-02 2012-05-22 Lawrence Livermore National Security, Llc Method of fabricating conductive electrodes on the front and backside of a thin film structure
US8907457B2 (en) * 2010-02-08 2014-12-09 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20110227230A1 (en) * 2010-03-19 2011-09-22 Qualcomm Incorporated Through-silicon via fabrication with etch stop film
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US9293366B2 (en) * 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8405222B2 (en) * 2010-06-28 2013-03-26 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with via and method of manufacture thereof
DE102010039330B4 (de) * 2010-08-13 2018-04-12 Robert Bosch Gmbh Verfahren zum Herstellen einer elektrischen Durchkontaktierung in einem Substrat
US8742564B2 (en) * 2011-01-17 2014-06-03 Bai-Yao Lou Chip package and method for forming the same
KR20120090417A (ko) * 2011-02-08 2012-08-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9305865B2 (en) 2013-10-31 2016-04-05 Micron Technology, Inc. Devices, systems and methods for manufacturing through-substrate vias and front-side structures
US8753981B2 (en) * 2011-04-22 2014-06-17 Micron Technology, Inc. Microelectronic devices with through-silicon vias and associated methods of manufacturing
JP5733002B2 (ja) * 2011-04-28 2015-06-10 富士通セミコンダクター株式会社 半導体装置の製造方法
US9177893B2 (en) 2011-05-17 2015-11-03 Infineon Technologies Ag Semiconductor component with a front side and a back side metallization layer and manufacturing method thereof
US8617988B2 (en) 2011-06-06 2013-12-31 Hewlett-Packard Development Company, L.P. Through-substrate vias
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8872345B2 (en) * 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
US9059263B2 (en) * 2011-11-09 2015-06-16 QUALCOMM Incorpated Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
US20130200519A1 (en) * 2012-02-02 2013-08-08 Ji Feng Through silicon via structure and method of fabricating the same
JP5925006B2 (ja) * 2012-03-26 2016-05-25 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US8900996B2 (en) 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US8956974B2 (en) * 2012-06-29 2015-02-17 Micron Technology, Inc. Devices, systems, and methods related to planarizing semiconductor devices after forming openings
KR20140011137A (ko) * 2012-07-17 2014-01-28 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US8624324B1 (en) * 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
KR102013770B1 (ko) * 2012-08-30 2019-08-23 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US20140061915A1 (en) * 2012-08-30 2014-03-06 International Business Machines Corporation Prevention of thru-substrate via pistoning using highly doped copper alloy seed layer
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
CN103715132B (zh) * 2012-09-29 2017-12-01 中芯国际集成电路制造(上海)有限公司 金属互连结构的形成方法
US9064850B2 (en) 2012-11-15 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via formation with improved topography control
JP2014107304A (ja) 2012-11-22 2014-06-09 Renesas Electronics Corp 半導体装置およびその製造方法
US9478474B2 (en) * 2012-12-28 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for forming package-on-packages
US9123789B2 (en) * 2013-01-23 2015-09-01 United Microelectronics Corp. Chip with through silicon via electrode and method of forming the same
KR20140104778A (ko) * 2013-02-21 2014-08-29 삼성전자주식회사 관통전극을 갖는 반도체 소자의 제조방법
US9997443B2 (en) * 2013-02-25 2018-06-12 Infineon Technologies Ag Through vias and methods of formation thereof
US9431320B2 (en) * 2013-03-15 2016-08-30 Analog Devices, Inc. Methods and structures to facilitate through-silicon vias
US9059111B2 (en) 2013-04-11 2015-06-16 International Business Machines Corporation Reliable back-side-metal structure
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8860229B1 (en) 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9299640B2 (en) 2013-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Front-to-back bonding with through-substrate via (TSV)
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
KR102094473B1 (ko) 2013-10-15 2020-03-27 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
KR102165267B1 (ko) 2013-11-18 2020-10-13 삼성전자 주식회사 Tsv 구조를 포함하는 집적회로 소자 및 그 제조 방법
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
KR102161263B1 (ko) 2014-04-04 2020-10-05 삼성전자주식회사 자기정렬된 보호막으로 캡핑된 관통전극을 갖는 반도체 소자 및 그 제조방법
US9466488B2 (en) * 2014-05-09 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-semiconductor contact structure with doped interlayer
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
KR102299781B1 (ko) 2014-07-21 2021-09-08 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9673275B2 (en) * 2015-10-22 2017-06-06 Qualcomm Incorporated Isolated complementary metal-oxide semiconductor (CMOS) devices for radio-frequency (RF) circuits
US10396012B2 (en) * 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9786605B1 (en) 2016-05-27 2017-10-10 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10312181B2 (en) 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US20180166362A1 (en) * 2016-12-14 2018-06-14 Nanya Technology Corporation Semiconductor stacking structure and method for manufacturing thereof
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11183454B2 (en) * 2018-11-30 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Functional component within interconnect structure of semiconductor device and method of forming same
DE102019130124A1 (de) 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11201123B2 (en) 2018-12-12 2021-12-14 Unimicron Technology Corp. Substrate structure and manufacturing method thereof
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US10777562B1 (en) * 2019-03-14 2020-09-15 Micron Technology, Inc. Integrated circuity, DRAM circuitry, methods used in forming integrated circuitry, and methods used in forming DRAM circuitry
CN112086399A (zh) * 2019-06-13 2020-12-15 芯恩(青岛)集成电路有限公司 半导体结构及制备方法
KR20210100256A (ko) * 2020-02-05 2021-08-17 삼성전자주식회사 반도체 소자 및 적층형 반도체 칩
CN111933647B (zh) * 2020-07-21 2021-07-06 长江存储科技有限责任公司 一种三维存储器件及其制造方法
DE102021100529A1 (de) * 2020-08-13 2022-02-17 Taiwan Semiconductor Manufacturing Co., Ltd. Tsv-struktur und verfahren zum bilden davon
US11527439B2 (en) * 2020-09-22 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. TSV structure and method forming same
CN113782489A (zh) * 2021-08-27 2021-12-10 上海华虹宏力半导体制造有限公司 硅通孔及其形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200539244A (en) * 2004-04-16 2005-12-01 Japan Science & Tech Agency Semiconductor device, the use thereof, and method of manufacturing the same
TWI245379B (en) * 2004-05-19 2005-12-11 Sanyo Electric Co Semiconductor device and method for manufacturing same
TW200821636A (en) * 2006-09-11 2008-05-16 Manabu Bonkohara Solid-state image pickup device and method of fabricating the same

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173442A (en) 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US6620731B1 (en) 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US6355950B1 (en) * 1998-09-23 2002-03-12 Intel Corporation Substrate interconnect for power distribution on integrated circuits
US7449098B1 (en) 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
US6107186A (en) 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
US6221769B1 (en) 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
US6524926B1 (en) 2000-11-27 2003-02-25 Lsi Logic Corporation Metal-insulator-metal capacitor formed by damascene processes between metal interconnect layers and method of forming same
US6638688B2 (en) * 2000-11-30 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Selective electroplating method employing annular edge ring cathode electrode contact
JP4408006B2 (ja) * 2001-06-28 2010-02-03 富士通マイクロエレクトロニクス株式会社 半導体装置およびその製造方法
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6611052B2 (en) 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
US6787460B2 (en) * 2002-01-14 2004-09-07 Samsung Electronics Co., Ltd. Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses and conductive contacts so formed
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6847077B2 (en) 2002-06-25 2005-01-25 Agere Systems, Inc. Capacitor for a semiconductor device and method for fabrication therefor
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
JP3972846B2 (ja) 2003-03-25 2007-09-05 セイコーエプソン株式会社 半導体装置の製造方法
JP3891299B2 (ja) * 2003-05-06 2007-03-14 セイコーエプソン株式会社 半導体装置の製造方法、半導体装置、半導体デバイス、電子機器
JP4130158B2 (ja) 2003-06-09 2008-08-06 三洋電機株式会社 半導体装置の製造方法、半導体装置
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US7364985B2 (en) 2003-09-29 2008-04-29 Micron Technology, Inc. Method for creating electrical pathways for semiconductor device structures using laser machining processes
US7091124B2 (en) 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
KR101064288B1 (ko) 2004-01-09 2011-09-14 매그나칩 반도체 유한회사 반도체 소자의 콘택홀 형성 방법
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
US7575999B2 (en) 2004-09-01 2009-08-18 Micron Technology, Inc. Method for creating conductive elements for semiconductor device structures using laser ablation processes and methods of fabricating semiconductor device assemblies
US20060246699A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
FR2884645B1 (fr) 2005-04-19 2007-08-10 St Microelectronics Sa Procede de realisation d'un circuit integre comprenant un condensateur
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US20070049996A1 (en) * 2005-08-29 2007-03-01 Reliant Technologies, Inc. Monitoring Method and Apparatus for Fractional Photo-Therapy Treatment
US7488680B2 (en) * 2005-08-30 2009-02-10 International Business Machines Corporation Conductive through via process for electronic device carriers
FR2890783B1 (fr) 2005-09-12 2007-11-30 St Microelectronics Circuit electronique integre incorporant un condensateur
US7863189B2 (en) 2007-01-05 2011-01-04 International Business Machines Corporation Methods for fabricating silicon carriers with conductive through-vias with low stress and low defect density
US7932175B2 (en) 2007-05-29 2011-04-26 Freescale Semiconductor, Inc. Method to form a via
US8003517B2 (en) 2007-05-29 2011-08-23 Freescale Semiconductor, Inc. Method for forming interconnects for 3-D applications
KR100895813B1 (ko) 2007-06-20 2009-05-06 주식회사 하이닉스반도체 반도체 패키지의 제조 방법
KR100881199B1 (ko) 2007-07-02 2009-02-05 삼성전자주식회사 관통전극을 구비하는 반도체 장치 및 이를 제조하는 방법
KR101448150B1 (ko) 2007-10-04 2014-10-08 삼성전자주식회사 메모리 칩이 적층된 멀티 칩 패키지 메모리, 메모리 칩의적층 방법 및 멀티 칩 패키지 메모리의 동작 제어 방법
KR101374338B1 (ko) 2007-11-14 2014-03-14 삼성전자주식회사 관통 전극을 갖는 반도체 장치 및 그 제조방법
KR101176187B1 (ko) 2007-11-21 2012-08-22 삼성전자주식회사 스택형 반도체 장치 및 이 장치의 직렬 경로 형성 방법
JP2009147218A (ja) 2007-12-17 2009-07-02 Toshiba Corp 半導体装置とその製造方法
KR101420817B1 (ko) 2008-01-15 2014-07-21 삼성전자주식회사 3 차원의 직렬 및 병렬 회로들을 가지고 차례로 적층된집적회로 모듈들을 전기적으로 접속하는 반도체 집적회로장치 및 그 장치의 형성방법
US7799678B2 (en) * 2008-01-30 2010-09-21 Freescale Semiconductor, Inc. Method for forming a through silicon via layout
US8486823B2 (en) 2008-03-07 2013-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming through via
US8062971B2 (en) 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
US8378372B2 (en) * 2008-03-25 2013-02-19 Bridge Semiconductor Corporation Semiconductor chip assembly with post/base heat spreader and horizontal signal routing
US7863180B2 (en) 2008-05-06 2011-01-04 International Business Machines Corporation Through substrate via including variable sidewall profile
US7939449B2 (en) * 2008-06-03 2011-05-10 Micron Technology, Inc. Methods of forming hybrid conductive vias including small dimension active surface ends and larger dimension back side ends
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US9799562B2 (en) 2009-08-21 2017-10-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US8907457B2 (en) 2010-02-08 2014-12-09 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
KR20120090417A (ko) * 2011-02-08 2012-08-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200539244A (en) * 2004-04-16 2005-12-01 Japan Science & Tech Agency Semiconductor device, the use thereof, and method of manufacturing the same
TWI245379B (en) * 2004-05-19 2005-12-11 Sanyo Electric Co Semiconductor device and method for manufacturing same
TW200821636A (en) * 2006-09-11 2008-05-16 Manabu Bonkohara Solid-state image pickup device and method of fabricating the same

Also Published As

Publication number Publication date
US10734272B2 (en) 2020-08-04
US7968460B2 (en) 2011-06-28
US20180166317A1 (en) 2018-06-14
TW201005907A (en) 2010-02-01
US9514975B2 (en) 2016-12-06
US20130221446A1 (en) 2013-08-29
US20090315154A1 (en) 2009-12-24
US9099457B2 (en) 2015-08-04
US9917002B2 (en) 2018-03-13
US20170213760A1 (en) 2017-07-27
US8404587B2 (en) 2013-03-26
US11978656B2 (en) 2024-05-07
US20110241205A1 (en) 2011-10-06
US20150303095A1 (en) 2015-10-22
US20210057264A1 (en) 2021-02-25

Similar Documents

Publication Publication Date Title
TWI495071B (zh) 具有穿透基板互連之半導體
US11715718B2 (en) Bonding contacts having capping layer and method for forming the same
US11270963B2 (en) Bonding pads including interfacial electromigration barrier layers and methods of making the same
KR101117444B1 (ko) 스캘럽 측벽을 구비하는 관통 실리콘 비아
US8836085B2 (en) Cost-effective TSV formation
US8004090B2 (en) Semiconductor device and method for manufacturing the same
US8970011B2 (en) Method and structure of forming backside through silicon via connections
US9633929B2 (en) TSV formation
JP2007043154A (ja) ウェハスルーコンタクトを有する半導体構造の製造方法及び対応する半導体構造
US9418933B2 (en) Through-substrate via formation with improved topography control
US9153492B2 (en) Semiconductor device and method for fabricating the same
CN112447641A (zh) 半导体器件
US20230077803A1 (en) Semiconductor devices
CN110783265A (zh) 一种半导体器件及其制作方法
US9530694B2 (en) Method for fabricating semiconductor device having through silicon via