TWI485806B - 利用圖案化蓋層的氣隙形成與整合 - Google Patents

利用圖案化蓋層的氣隙形成與整合 Download PDF

Info

Publication number
TWI485806B
TWI485806B TW098119957A TW98119957A TWI485806B TW I485806 B TWI485806 B TW I485806B TW 098119957 A TW098119957 A TW 098119957A TW 98119957 A TW98119957 A TW 98119957A TW I485806 B TWI485806 B TW I485806B
Authority
TW
Taiwan
Prior art keywords
layer
imd
gap
cap layer
mask
Prior art date
Application number
TW098119957A
Other languages
English (en)
Other versions
TW201011863A (en
Inventor
Zhenjiang Cui
Mehul Naik
Christopher D Bencher
Kenneth Macwilliams
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201011863A publication Critical patent/TW201011863A/zh
Application granted granted Critical
Publication of TWI485806B publication Critical patent/TWI485806B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

利用圖案化蓋層的氣隙形成與整合
本發明之實施例大致關於微電子製造,更具體地,係關於圖案化薄膜之方法。
積體電路中的特徵尺度化(Feature scaling)能產生效能更佳的電子元件。尺度化成較小的特徵結構,在一特定形狀因素下增加了功能性單元的密度,亦增加元件處理速度。然而,元件尺度化並非毫無問題。舉例而言,較小元件性能的最佳化變得更為困難。這對互連寄生的尺度化而言更是如此,其在元件尺度化至32nm技術節點和超過時成為性能限制。
寄生電容傳統上已藉由連續數代的互連技術,採用較低介電常數材料而降低。開始於介電常數約3.9的二氧化矽;採用氟摻雜玻璃;接著是各種旋塗介電質;續以碳摻雜矽玻璃;以及最後達到多孔的碳摻雜矽玻璃,其為大量製造下習知技術的現今狀態。然而,即使是最先進的多孔材料,但摻雜玻璃具有至少2.3的介電常數,且在多個現今的電路構造中互連寄生電容仍為性能受限,且在日後的構造中有為嚴重的可能。
為此,業界逐漸注意形成巨觀的空隙(該些顯著大於所謂的多孔薄膜中之孔隙者),亦稱為氣隙,作為降低接線至接線之電容與串音(cross-talk)的方法,以能尺度化降低至32nm與超過。儘管互連層內的氣隙已受試多年,但其仍未用於主流的互連技術中。這是由於某些方法遇到可靠度的問題,而其他方法則遭受到再現性差與產量的問題,儘管另外的方法僅為價格過高。
幾乎所有氣隙互連技術面臨的問題之一為如何移除犧牲金屬間介電層(IMD)並形成一經密封的空隙。此述方法的施行可用以解決前述問題。
於此敘述用以圖案化一薄膜之方法與其產生之結構。於一實施例中,該方法包含形成一非晶碳遮罩於一基板上;沉積一間隙壁層於該非晶碳遮罩上;蝕刻該間隙壁層以形成一間隙壁並露出該非晶碳遮罩;相對於該間隙壁選擇性地移除該非晶碳遮罩,以露出該基板層;沉積一間隙填充層於該間隙壁周圍,以覆蓋該基板層但露出間隙壁;相對於該間隙填充層選擇性地移除該間隙壁,以形成一間隙填充遮罩於該基板上;以及將該間隙填充遮罩之圖案轉移至該基板中。
於一實施例中,可施行此方法以在具有小至10nm關鍵尺寸的基板薄膜中形成開口。
於一實施例中,可施行此方法以形成一氣隙互連結構,於該處該基板包含一鈍化或「蓋」層於一鑲嵌層上,該鑲嵌層具有交替的金屬間介電層(inter-metal dielectric,IMD)與金屬互連。於這類實施例中,非晶碳遮罩係形成於蓋層上。藉由相對於該間隙填充遮罩選擇性地蝕刻該蓋層,以及之後相對於該經圖案化蓋層,自該鑲嵌層選擇性地蝕刻該IMD之至少一部分以底切該經圖案化蓋層,而將該間隙填充層之圖案轉移至該基板中。接著將由此方法所實現之小幾何構形密封。於一實施例中,藉由共形地沉積一介電質,以封閉該蓋層中之開口,進而密封該IMD移除處之一氣隙。於進一步實施例中,該經圖案化蓋層係以一包含碳氮化矽(silicon carbon nitride)之層密封。
於一特定實施例中,間隙壁層為氮化矽、非晶矽或多結晶矽,該間隙填充層為二氧化矽或碳摻雜氧化矽,該蓋層為碳氮化矽,以及該IMD為非晶碳、碳摻雜二氧化矽或聚合物介電質。
於一特定實施例中,該蓋層係以與用來相對於該間隙填充層,選擇性移除該間隙壁之大體上相同的蝕刻製程進行蝕刻。
於一實施例中,蝕刻該IMD包含一乾式蝕刻製程,其採用一氣體,例如,但不限於還原氣體(如氫氣(H2 )、氨氣(NH3 )或形成氣體(H2 /N2 ))、氫化氟碳化物(如四氟化碳(CF4 )),以及氧化劑(如氧氣(O2 ))。於一替代實施例中,蝕刻該IMD包含一採用化學物質之濕式蝕刻製程,該化學物質例如,但不限於氫氟酸(HF)或異丙醇(IPA)。
於一施行中,移除該IMD亦移除該間隙填充遮罩,以露出蓋層。
於一施行中,在密封該空隙以形成該氣隙互連結構之前,共形地沉積一蓋層在因移除該IMD而露出的該金屬互連之側壁上。該蓋層包含的材料,例如但不限於碳氮化矽、氮化矽、碳化矽以及氮化鈦。
在替代的施行中,在形成該鑲嵌層中,在沉積一金屬互連之阻障層(例如氮化鉭(TaN)、釕(Ru)、鈷(Co))前,將一共形介電質襯層沉積在IMD之側壁上,以在移除該IMD而形成該氣隙時,接著提供一保護層至該互連。
該保護介電質包含的材料,例如,但不限於碳氮化矽、氮化矽、碳化矽以及氮化鈦。於這類實施例中,當自該鑲嵌層移除至少一部分IMD以底切該經圖案化蓋層時,該IMD係相對於該鈍化介電質進行選擇性地蝕刻,其中該鈍化介電質形成一毗連該鑲嵌層之金屬互連的保護側壁層。
另一實施例提供一種用於在一鑲嵌層中形成氣隙的方法,該鑲嵌層包含一金屬間介電層(IMD)於兩金屬互連線間,該兩金屬互連線各具有一顯著長於一線寬的線長,並相隔約65nm或更小。在形成一蓋層於該鑲嵌層上以鈍化該金屬互連線後,一非晶碳遮罩係形成於該蓋層上。該非晶碳遮罩定義一接線,其長度顯著長於一寬度,且大致與該兩金屬互連線之長度正交。接著,間隙壁係形成於非晶碳遮罩接線的相對側壁上。該非晶碳遮罩接線係相對於該間隙壁進行選擇性移除,以形成一對間隙壁,該對間隙壁各具有一長度,其顯著長於一寬度,且該長度大致與該兩金屬互連線之長度正交。之後,一間隙填充層係沉積於該對間隙壁周圍,以覆蓋該蓋層但露出該些間隙壁,且該對間隙壁係相對於該間隙填充層進行選擇性移除,以形成一間隙填充遮罩,其具有一通道或溝槽,該通道或溝槽具有一顯著長於一通道寬度的長度。該通道長度大致與該基板上之該兩金屬互連線正交,確保該通道延伸過該IMD,無須倚仗極嚴格的疊置誤差(overlay tolerances)(否則的話會需要)。該通道係蝕刻至該蓋層中,以露出該兩互連線間的IMD,自該兩互連線間移除該IMD之至少一部分,以底切該蓋層。接著以一共形介電質沈積來密封該通道。
於下文中,將提出眾多具體的詳細說明,例如操作順序,以徹底了解本發明。熟習技藝者顯見本發明可在沒有這些具體的詳細說明下施行。於其他示例中,並未詳述熟知之特徵,例如特定的沈積與蝕刻技術,以免不必要地模糊本發明。此說明書通篇所指之「一實施例」意指與實施例相關而描述之特定的特徵、結構、材料、或特性係包含在本發明至少一實施例中。因此,於此說明書通篇各處所出現的片語「於一實施例中」非一定指作本發明同一實施例。此外,特定的特徵、結構、材料、或特性可以任何合適的方式結合於一或多個實施例中。再者,當知於圖式中所示的各例示實施例,僅作為說明示範之用,非按比例繪示。
於此所使用的用語「上」、「下」、「之間」以及「上面」指的是一層相對於其他層的相對位置。就此而論,例如一層沉積或設置在另一層上或下則可與該另一層直接接觸,或可具有一或多個中間層。此外,一層沉積或設置在多層之間,則可與該些層直接接觸,或可具有一或多個中間層。相反地,第一層在第二層「上面」係指與第二層直接接觸。另外,一層相對於另一層的相對位置係假設相對於初始基板進行沉積、修飾與移除膜之操作,而未考慮基板的絕對位向。
第1圖為依據本發明之一實施例,繪示出鑲嵌結構100的剖面圖。鑲嵌結構100可為習知任何的鑲嵌結構,這類結構包含鑲嵌層,鑲嵌層包含交替的金屬間介電層(inter-metal dielectric,IMD)與金屬互連,其中介電質堆疊係經沉積、相繼地圖案化成介層窗與線、蝕刻成溝槽與介層窗、以金屬互連介層窗與導線(wires)或接線(lines)填充、平坦化、接著以蓋層密封。
如所示,層間介電層(inter-level dielectric,ILD)105形成於底層101上。底層101可為下方半導體基板或下方互連層。ILD 105可為任何的習知介電質,例如,但不限於二氧化矽、非晶碳,例如,但不限於,商業上可得自美國加州應用材料公司,商品名為先進曝光圖樣薄膜(Advanced Patterning FilmTM )(APF)者、碳摻雜氧化物(例如黑金剛石I與黑金剛石II,兩者亦商業上可得自應用材料公司)、旋塗聚合物介電質(例如商業上可得自美國密西根州陶氏化學公司的)。
於ILD 105上為金屬間介電層(IMD)110。IMD 110可為任何的習知介電質,例如該些所述用於ILD 105者。IMD 110與ILD 105間為一或多個利於形成鑲嵌結構100的蝕刻終止層(未繪示)。金屬介層窗115延伸穿過ILD 105,與底層101形成接觸。金屬互連線120延伸穿過IMD 110並停止於ILD 105(或插置的蝕刻終止層)上。
金屬互連線120與金屬介層窗115皆包含習知之各種材料。在所述示例中,阻障層121(例如氮化鉭(TaN))圍繞充填金屬123。充填金屬123可為習知常採用之任何材料,例如銅。各式其他層,例如種晶層,亦可包含於鑲嵌層中。
暴露在金屬互連線120頂部的充填金屬123,典型以蓋層125覆蓋,以改善金屬互連的電遷移性能。於特定實施例中,蓋層125為碳氮化矽(silicon carbon nitride),例如商業上可得自應用材料公司,商品名為者。於此實施例中,碳氮化矽蓋層可藉由電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)進行沉積至約5nm至約50nm之間的厚度,較佳在約10nm至約20nm之間。於替代實施例中,蓋層125可為任何用於ILD 105的材料,並以習知方式進行沉積至約10nm至約50nm之間的厚度。
第2圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中非晶碳層230係沉積在鑲嵌結構100的蓋層125上。如於此所使用的,非晶碳為具有高於50原子%碳的材料,其一旦沉積,在溫度高於250℃,較佳高於300℃時仍保持穩定。耐高溫能使後續的蓋層以較有利至少為250℃的介電沈積溫度沉積至非晶碳層230上,將於下文中進一步討論。
於一特定實施例中,非晶碳層230可以熱處理或電漿輔助處理(即,電漿輔助化學氣相沉積(PECVD))形成。一般而言,CVD碳材料包含具有sp1、sp2與sp3鍵結狀態的碳,其中前述鍵結狀態提供該些典型熱解(pyrolylic)、石墨與類鑽石碳之混成的膜性質。因CVD碳材料可以各種比例包含數個鍵結狀態,故其缺乏長程有序,因而一般稱為「非晶碳」。
非晶碳層230係依據對用於後續圖案化蓋層125製程之材料的抵抗力,以及碳材料之結構完整性(限制非晶碳層之深寬比)而以一定厚度形成。於一實施例中,非晶碳層係以大於後續於層中所蝕刻之特徵結構的關鍵尺寸約5倍的厚度,以CVD進行沉積,使深寬比5:1。於進一步實施例中,CVD碳層厚度對特徵尺寸的比例約1:1至5:1之間。前述比例範圍將提供適當的結構完整性,使得經圖案化的非晶碳特徵結構在後續處理期間不會崩塌。於最小非晶碳特徵尺寸為約50nm的實施例中,非晶碳層230的厚度在約100nm至約500nm之間。於另一特徵尺寸為約25nm的實施例中,非晶碳層的厚度在大約50nm至大約250nm之間,其係利用碳氫前驅物,例如但不限於甲烷(CH4 )、丙烯(C3 H6 )、丙炔(C3 H4 )、丙烷(C3 H8 )、丁烷(C4 H10 )、丁烯類(C4 H8 )、丁二烯(C4 H6 )、乙炔(C2 H2 )、甲苯(C7 H8 )與其混合物,以電漿輔助化學氣相沉積處理形成。CVD碳材料亦可包含氮或其他添加物。
於另一實施例中,採用習知介電層(例如但不限於氮化矽、氮氧化矽)作為硬光罩,以代替非晶碳層230。
第3A圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中經圖案化的光阻光罩係形成在非晶碳層230上。可採用包含光阻塗佈、曝光與顯影之習知光學微影製程。在光阻之前亦可將底部抗反射塗層(BARC)施加於非晶碳層230,而作為塗佈製程的一部分。依據本發明之一實施例,光阻係藉由微影/顯影製程,例如但不限於248nm微影/顯影技術、193nm微影/顯影技術、157nm微影/顯影技術、極紫外線(extreme ultra-violet,EUV)微影/顯影技術與直寫(direct-write)微影/顯影技術,經圖案化以形成光阻光罩332。
第3B圖繪示依據本發明之一實施例,顯示光阻光罩332的平面圖,光阻光罩332提供了與鑲嵌結構100的金屬互連線120重疊之非晶碳層230上的接線。線a-a’提供了第3A圖之剖面圖的參照。在第3B圖所描繪的實施例中,金屬互連線120具有大體上較線長窄的寬度WI 。同樣地,光阻光罩332形成具有寬度WM 的線,寬度WM 大體上較遮罩之線長窄。光阻光罩332的線長大致與金屬互連線120的長度正交。此種光阻光罩332之接線與金屬互連線120間的非平行設置有助於確保光阻線條的邊緣延伸過非晶碳層230,而無需極小的疊置誤差(overlay tolerances)(否則的話會需要)。舉例而言,當金屬互連線120間以距離S緊密相隔時,前述非平行實施例為有利的。除非光阻光罩332包含了具有不平行於金屬互連線120長度之長度的線條,否則可能無法實現覆蓋光阻光罩332以在間隔S內將邊緣333提供於非晶碳層230上。於一特定示例中,相鄰金屬互連線間的間隔S約為65nm或更小,而光阻光罩332於間隔S上方的非晶碳層230上形成一邊緣。然而,於其他實施例中,可採用任何習知之正型或負型遮罩,以將光阻光罩332形成柱狀或開口。
如進一步於第3B圖中所示,區域334未包含光阻光罩332,以避免後續會造成元件產率或可靠度下降之物體的形成。舉例而言,易遭受介層窗未對準的區域,或後續自鑲嵌層移除部份IMD的區域會導致機械故障。前述機械故障會發生在不具金屬互連線120之大面積的區域334中。
第4圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中非晶碳層230係圖案化於非晶碳遮罩435中。非晶碳遮罩435可以非等向性蝕刻定義,以高精確地再產生覆蓋光阻光罩332的圖案。一特定實施例提供一電漿蝕刻製程,其採用氣體,例如但不限於O2 與N2 ,其他氮氧化物與CH4 。於一這類實施例中,非晶碳層230之蝕刻以形成非晶碳遮罩435則同時移除光阻光罩332。於一這類實施例中,非晶碳層230的厚度在非晶碳遮罩435形成期間,減少了剛沉積(as-deposited)厚度的至少15%。舉例而言,於一沉積約200nm厚之非晶碳層230實施例中,O2 為主的電漿蝕刻形成非晶碳遮罩435,同時蝕刻穿過光阻光罩332並深入非晶碳層230至少30nm,以於蓋層125上提供具有約170nm厚度的非晶碳遮罩435。
第5圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中間隙壁540毗連經圖案化之非晶碳遮罩435的側壁形成。首先,間隙壁材料層(未繪示)沉積在非晶碳遮罩435上,並與非晶碳遮罩435共形(conformal)。間隙壁形成材料可為非晶碳遮罩435和蓋層125皆能以可接受的選擇性從中進行移除的任何材料。選擇性的必要量視非晶碳遮罩435的厚度與蓋層125的厚度而定。一般而言,非晶碳遮罩435越厚,間隙壁540越高,進而降低蓋層125與間隙壁540間選擇性的要求。由於非晶碳遮罩435在氧化環境中容易被移除,可使用大部分任何習知間隙壁層材料,例如,但不限於多結晶矽、非晶矽、多結晶矽鍺、二氧化矽、碳氮化矽與氮化矽。間隙壁層可藉由習知CVD或PECVD處理,在溫度高於約300℃下進行沉積,進而以適當的速率達到高共形且均勻的沉積。
間隙壁層沈積的厚度最終決定了形成於非晶碳遮罩435側壁上之間隙壁540的側向厚度,且此厚度受非晶碳遮罩435的後續移除後,間隙壁540獨自站立的能力限制。獨自站立的能力係視材料的機械強度與膜的應力而定。於一實施例中,非晶碳遮罩435厚度對間隙壁層沈積厚度的比例低於10:1。於一特定實施例中,包含氮化矽的間隙壁層係在具有100nm至500nm間之厚度的非晶碳遮罩435上,沉積至10nm至50nm間的厚度。
在間隙壁層形成之後,其經非等向性蝕刻,以形成第5圖中所繪示的間隙壁540。可依據所選擇的間隙壁材料以化學物質來進行習知電漿乾式蝕刻製程。於某些實施例中,可利用氣體例如,但不限於C4 F8 、CH2 F2 與CHF3 ,來進行乾式蝕刻製程。
第6圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中非晶碳遮罩435係自間隙壁540移除,以露出蓋層125。非晶碳遮罩435利於以前述用於圖案化碳遮罩層的乾式蝕刻法移除,舉例而言,以包含氧化劑及/或還原劑的電漿蝕刻製程。由於不需額外的遮罩移除操作移除,故相對於傳統硬遮罩製程,製程複雜度降低。此外,因間隙壁540的機械脆性(mechanical fragility),乾式蝕刻製程實施例利於免除在形成間隙壁540之後的高表面張力濕式處理。
第7圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中間隙填充層750係沉積間隙壁540周圍。可採用任一習知間隙填充沉積製程以將材料沉積於蓋層125上以及間隙壁540周圍。前述製程典型利用具有經調整之沈積與濺鍍速率的高密度電漿(high density plasma,HDP),使得沈積製程傾向填充間隙並濺鍍去除微米長度尺寸的地形(topography)。材料的HDP沈積傾向平坦化間隙壁540的地形,因此而露出間隙壁。只要在間隙壁540以間隙填充層750濺鍍而成為平面前中斷沈積製程,則間隙填充層750將形成在間隙壁540周圍,但不於間隙壁540之上。間隙填充層750可包含能承受間隙壁540與蓋層125後續蝕刻的任何習知介電質材料。因此,取決於間隙壁540與蓋層125的組成,間隙填充層750可包含二氧化矽、氮化矽、碳氮化矽、多晶矽、非晶矽或低介電常數(low-k)介電質。在採用氮化矽間隙壁540與碳氮化矽蓋層125之特定施行中,間隙填充層750為二氧化矽。二氧化矽乃有利的,因為氮化矽間隙壁540與碳氮化矽蓋層125可相對二氧化矽間隙填充層750進行選擇性蝕刻,且亦因二氧化矽的HDP製程係經妥善特徵化。
在替代的施行中,非間隙填充沉積(例如:非HDP)或間隙填充沉積係與化學機械研磨(Chemical mechanical polishing,CMP)操作,例如習知用於鑲嵌互連的平坦化製程結合。抛光操作可用以增補或替代間隙填充製程以形成間隙填充層750,同時露出間隙壁540。然而,前述抛光製程因成本增加,故較專門採用HDP間隙填充技術的實施例不利。
第8圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中間隙壁540係經移除,而蓋層125係經蝕刻以露出IMD 110,同時間隙填充層750為蝕刻遮罩。在間隙壁540形成長度不平行於金屬互連線120之線的實施例中,蝕刻蓋層125於蓋層125中形成通道851,而IMD 110於通道851下露出。通道851進而於該些實施例中亦不平行於金屬互連線120。間隙壁540的移除可藉由相對於間隙填充層750選擇性蝕刻間隙壁540來進行。在適當選擇間隙壁540、蓋層125與間隙填充層750材料的情況下,間隙壁540與蓋層125兩者可以充分的選擇性相對於間隙填充層750進行蝕刻,使得在清除間隙壁540下的蓋層125之後,仍保留至少一部分的間隙填充層750厚度。於一特定實施例中,採用乾式蝕刻製程,以連續的程序步驟來蝕刻間隙壁540與蓋層125。於蓋層125包含碳氮化矽而間隙壁540包含氮化矽之實施例中,可使用運用氣體(例如,但不限於C4 F8 、CH2 F2 與CHF3 )的乾式蝕刻製程。視實施例而定,IMD 110可或不可作為蝕刻蓋層125的蝕刻終止層。
IMD 110需紫外線(UV)或電子束處理。於某些實施例中,這些IMD 110的處理係於蝕刻蓋層125前進行。於其他實施例中,前述處理可於蝕刻蓋層125後進行。
第9圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中部分IMD 110係相對於蓋層125進行選擇性蝕刻。部分IMD 110的移除形成金屬互連線120間的氣隙955,並能將介電常數自IMD 110之值降低至當後續密封空隙時所達到的真空度之值。於一特定實施例中,IMD 110係經等向性地移除以底切蓋層125。
於一特定實施例中,部分IMD 110係以乾式電漿蝕刻移除,其中乾式電漿蝕刻包含習知用於IMD 110特定材料組成之等向性蝕刻的任何氣體化學物質。於一IMD 110包含碳摻雜二氧化矽(SiCOH)、非晶碳或旋塗式聚合物介電質的示例實施例中,氣體化學物質可包含但不限於O2 、H2 、NH3 或形成氣體(H2 /N2 )。其他電漿蝕刻實施例可採用習知氫化鹵素氣體。又於其他實施例中,可採用濕式化學蝕刻製程以移除部分IMD 110,進而形成氣隙955。濕式化學物質可包含但不限於氫氟酸(HF)為主的蝕刻化學物質、氟化銨(NH4 OH)為主的化學物質、或溶劑化學物質,例如異丙醇(isopropyl alcohol,IPA)與丙酮或N-甲基吡咯烷酮(N-methylpyrrolidone,NMP)。
於第9圖所繪示的示例實施例中,間隙填充層750亦藉由蝕刻部分IMD 110的製程移除。於前述實施例中,間隙填充層僅作為犧牲遮罩層,且IMD 110的蝕刻僅由蓋層125遮蔽。在間隙填充層750具有不期望的高介電常數下間隙填充層750連同IMD 110的移除為有利的。在間隙填充層750為二氧化矽而IMD 110為碳摻雜二氧化矽之特定施行中,氟碳系乾式電漿蝕刻係與或未與氧化劑(例如O2 )一併採用,以相對於碳氮化矽蓋層125選擇性地移除間隙填充層750與部分IMD 110兩者。於此施行中,露出蓋層125的頂表面,同時蓋層125的底表面亦經底切。
於第9圖所繪示的特定實施例中,IMD 110的移除係相對於ILD 105具選擇性或相對於IMD 110與ILD 105間的終止層具選擇性。舉例而言,氮化矽或碳氮化矽終止層可用於終止經摻雜之氧化矽IMD 110的蝕刻。IMD 110相對於ILD 105進行選擇性蝕刻的實施例對於介層窗115未對準,或者對於對製程蝕刻ILD 105敏感的底層101係為有利的。如第9圖所繪示,相對於ILD 105,金屬互連線120間之IMD 110的選擇性地移除可大大地降低互連間的電容與串音,因線長遠大於介層窗115所越過的垂直距離。然而,於替代之施行中,至少部分的ILD 105係藉由相對於ILD 105不具選擇性的蝕刻製程,與IMD 110一同蝕刻。於進一步的實施例中,間隙壁540、間隙填充層750與IMD 110的蝕刻為原位進行,如於單一腔室中或於單一蝕刻平臺之一系列腔室中之蝕刻程序的連續步驟。
第10A圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中於蓋層125中的開口或通道係經密封。一般而言,密封蓋層1060應共形地沉積,以降低在金屬互連線120上於蓋層開口(例如通道1061)中形成空隙的可能性。露出的金屬互連線120應受密封蓋層1060保護,正如蓋層125保護金屬互連線120的其他表面。為此,沉積密封蓋層1060的製程可進一步包含清潔步驟,藉以移除在經由通道1061所露出的金屬互連線120上的氧化物或類似者。密封蓋層1060可包含任何習知介電質材料,例如該些前述用於蓋層125、IMD 110或ILD 105者。於一蓋層125包含碳氮化矽之特定實施例中,碳氮化矽密封蓋層1060係沉積以再形成一延伸於鑲嵌層上的連續蓋層,進而保護金屬互連線120以及密封在蓋層125中IMD 110從中被移除的開口。
第10B圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中藉由移除IMD 110而露出的金屬互連線120在密封蓋層125之前係經鈍化。如所繪示,在移除IMD 110之後,金屬互連線120具有露出之阻障層1070(繪示於第9圖中)。於一實施例中,露出之阻障層1070可包含習知材料,例如TaN或以釕(Ru)、鈷(Co)等為主之介金屬(intermetallics)。這類阻障層1070可自互連鈍化層1075受益。於一實施例中,互連鈍化層1075在密封蓋層125之前形成於阻障層1070上。於一此類實施例中,互連鈍化層1075以共形沈積製程進行沉積,例如原子層沈積(atomic layer deposition,ALD)或CVD。互連鈍化層1075可包含前述用於蓋層125、IMD 110或ILD 105之任何材料。於一特定實施例,互連鈍化層1075包含碳氮化矽並具有5nm至20nm間的厚度。
於另一實施例中,非在移除IMD 110之後鈍化互連線,而是在形成金屬互連線120前形成一襯層,且IMD 110係相對於此蓋層進行選擇性移除。第11圖為形成鑲嵌層之替代方法,其可合併目前的方法。舉例而言,第11圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中保護介電質襯裡係在形成鑲嵌金屬互連之阻障層前沉積。襯層1180係在形成鑲嵌層的溝槽與介層窗開口之後,沉積於IMD 110上。襯層1180包含前述用於蓋層125、互連鈍化層1075、IMD 110或ILD 105的任何材料。
在沉積襯層1180之後,以乾式電漿蝕刻進行等向性蝕刻,以形成襯裡間隙壁1185。乾式蝕刻可相對於IMD 110選擇性地形成襯裡間隙壁1185。舉例而言,於碳氮化矽襯層1180之實施例中,可採用例如該些用於形成間隙壁540的蝕刻製程。隨著襯裡間隙壁1185形成,可完成鑲嵌層,如第12圖所示。阻障層121與充填金屬123毗鄰襯裡間隙壁1185形成,以完成金屬互連線120,進而形成替代第1圖所繪示之鑲嵌結構。應用參照第2-9圖別處所描述之操作,來形成氣隙結構,如第13圖中所示。
第13圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中IMD 110係相對於蓋層125與襯裡間隙壁1185選擇性地蝕刻,以形成氣隙1390。形成為鑲嵌互連一部分的襯裡間隙壁1185接著在移除IMD 110期間,作為蝕刻終止層。於一特定實施例中,襯裡間隙壁1185與蓋層125皆包含相同的材料以包覆金屬互連線120三側。於進一步實施例中,IMD 110與ILD 105間的蝕刻終止層(未繪示)包含和襯裡間隙壁1185以及蓋層125相同的材料,以包覆金屬互連線120四側。於一此類實施例中,IMD 110與ILD 105間的蝕刻終止層、蓋層125以及襯裡間隙壁1185都包含碳氮化矽。
併入一保護介電質襯裡作為鑲嵌互連製程為一部分的替代實施例之後藉由密封形成於蓋層125中的通道而完成。第14圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中蓋層125以鈍化密封層1495密封。可採用參照第10A圖別處所述之任一製程或材料。
第10A圖與第14圖示出用於圖案化薄膜之本方法的特定示例性互連氣隙實施例的完成。該些所繪示的結構之後可如習知技術接受的進一歩處理。
儘管本發明已以針對結構特徵及/或方法動作的文字描述,當知由後附申請專利範圍定義之本發明非一定限制於所述特定特徵或動作。所揭示的特定特徵與動作應視為用於說明所請求發明之具體合宜的施行,而非限制本發明。
100...鑲嵌結構
101...底層
105...層間介電層
110...金屬間介電層
115...金屬介層窗
120...金屬互連線
121...阻障層
123...充填金屬
125...蓋層
230...非晶碳層
332...光阻光罩
333...邊緣
334...區域
435...非晶碳遮罩
540...間隙壁
750...間隙填充層
851...通道
955...氣隙
1060...密封蓋層
1061...通道
1070...阻障層
1075...互連鈍化層
1180...襯層
1185...襯裡間隙壁
1390...氣隙
1495...鈍化密封層
WM ...寬度
a-a’...線
WI ...寬度
S...距離
本發明實施例係經由示例繪示於所附圖式中,其非作為限制之用,其中:
第1圖為依據本發明之一實施例,繪示出鑲嵌結構的剖面圖;
第2圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中非晶碳層係沉積在鑲嵌結構的蓋層上;
第3A圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中經圖案化的光阻光罩係形成在非晶碳層上;
第3B圖繪示依據本發明之一實施例,顯示經圖案化光阻光罩的平面圖,經圖案化光阻光罩提供了與鑲嵌結構的金屬互連線重疊之非晶碳層上的接線;
第4圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中經圖案化的非晶碳層係形成;
第5圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中間隙壁毗連經圖案化之非晶碳遮罩的側壁形成;
第6圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中經圖案化之非晶碳遮罩係移除;
第7圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中間隙填充層係沉積間隙壁周圍;
第8圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中間隙壁與蓋層係經蝕刻;
第9圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中金屬間介電層(IMD)係相對於蓋層進行選擇性蝕刻;
第10A圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中蓋層係經密封;
第10B圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中在密封蓋層之前,藉由移除IMD而露出的金屬互連線係經鈍化;
第11圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中在鑲嵌金屬互連之阻障層形成前,沉積一介電質襯裡;
第12圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中該介電質襯裡形成與鑲嵌金屬互連之阻障層毗連的間隙壁側壁;
第13圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中金屬間介電層(IMD)係相對於蓋層進行選擇性蝕刻,並保護側壁;以及
第14圖繪示依據本發明之一實施例,呈現出形成半導體結構之操作的剖面圖,其中蓋層係經密封。
125...蓋層
1060...密封蓋層
1070...阻障層
1075...互連鈍化層

Claims (18)

  1. 一種用於圖案化一薄膜的方法,該方法包含:形成一非晶碳遮罩於一基板上,其中該基板包含一鑲嵌層以及一蓋層,該鑲嵌層包含交替的金屬間介電層(inter-metal dielectric,IMD)與金屬互連,該蓋層位於該非晶碳遮罩下;沉積一間隙壁層於該非晶碳遮罩上;蝕刻該間隙壁層以形成一間隙壁並露出該非晶碳遮罩;相對於該間隙壁選擇性地移除該非晶碳遮罩,以露出該基板層;沉積一間隙填充層於該間隙壁周圍,以覆蓋該基板層但露出該間隙壁;相對於該間隙填充層選擇性地移除該間隙壁,以形成一間隙填充遮罩於該基板上;以及將該間隙填充遮罩之圖案轉移至該基板中,其中將該間隙填充遮罩之圖案轉移至該基板中更包含:藉由相對於該間隙填充遮罩選擇性地蝕刻該蓋層而圖案化該蓋層;以及相對於該經圖案化蓋層,自該鑲嵌層選擇性地蝕刻該IMD之至少一部分,以底切該經圖案化蓋層。
  2. 如申請專利範圍第1項所述之方法,其中:該間隙壁層包含氮化矽; 該間隙填充層包含二氧化矽;該蓋層包含碳氮化矽;以及該IMD包含非晶碳、碳摻雜二氧化矽或聚合物介電質中之至少一者。
  3. 如申請專利範圍第1項所述之方法,其中該蓋層係以與用來相對於該間隙填充層,選擇性移除該間隙壁之大體上相同的蝕刻製程進行蝕刻。
  4. 如申請專利範圍第1項所述之方法,其中蝕刻該IMD亦移除該間隙填充遮罩,以露出該蓋層。
  5. 如申請專利範圍第1項所述之方法,更包含:共形地沉積一介電質,以封閉該經圖案化蓋層之開口,並密封該IMD移除處之一氣隙。
  6. 如申請專利範圍第5項所述之方法,其中該經圖案化蓋層係以一包含碳氮化矽之層密封。
  7. 如申請專利範圍第5項所述之方法,更包含:在密封該氣隙之前,共形地沉積一鈍化層在因移除該IMD而露出的該金屬互連之側壁上,其中該蓋層包含至少一選自由碳氮化矽、氮化矽、碳化矽以及氮化鈦所組成之群組的材料。
  8. 如申請專利範圍第1項所述之方法,其中自該鑲嵌層蝕刻該IMD之至少一部分,以底切該經圖案化蓋層,更包含:相對於一介電質襯裡選擇性蝕刻該IMD,其中該介電質襯裡毗連該鑲嵌層之該金屬互連的一側壁,該介電質襯裡包含至少一選自由碳氮化矽、氮化矽、碳化矽以及氮化鈦所組成之群組的材料。
  9. 如申請專利範圍第1項所述之方法,其中蝕刻該IMD包含一乾式蝕刻製程,該乾式蝕刻製程採用H2 、NH3 或形成氣體中之至少一者。
  10. 如申請專利範圍第1項所述之方法,其中蝕刻該IMD包含一濕式蝕刻製程,該濕式蝕刻製程包含HF或IPA。
  11. 一種用於在一鑲嵌結構中形成氣隙的方法,該方法包含:形成一鑲嵌層,該鑲嵌層包含一金屬間介電層(IMD)於兩金屬互連線間,該兩金屬互連線各具有一顯著長於線寬的線長;形成一蓋層於該鑲嵌層上;形成一非晶碳遮罩,該非晶碳遮罩定義一於該蓋層上之接線,該非晶碳遮罩接線具有一長度,該長度顯著 長於一寬度,且該長度不平行於該兩金屬互連線之長度;形成一間隙壁於該非晶碳遮罩接線之相對側壁上;相對於該間隙壁選擇性地移除該非晶碳遮罩接線,以形成一對間隙壁,該對間隙壁各具有一長度,該長度顯著長於一寬度,且該長度不平行於該兩金屬互連線之長度;沉積一間隙填充層於該對間隙壁周圍,以覆蓋該蓋層但露出該些間隙壁;相對於該間隙填充層選擇性地移除該對間隙壁,以形成一間隙填充遮罩,該間隙填充遮罩具有一通道,該通道具有一顯著長於一通道寬度的長度,該通道長度不平行於該基板上之該兩金屬互連線;轉移該通道至該蓋層中,以露出該兩互連線間的該IMD;以及自該兩互連線間移除該IMD之至少一部分,以底切該蓋層而形成該氣隙。
  12. 如申請專利範圍第11項所述之方法,其中該兩互連線相隔不超過65nm,且該通道係轉移至該蓋層中大致正交於此間隔之一長度,該通道具有約10nm至約20nm間的寬度。
  13. 如申請專利範圍第11項所述之方法,其中:該蓋層包含碳氮化矽,而具有約5nm至約50nm間 的厚度;該間隙壁層具有10nm至50nm間的厚度,且包含氮化矽、非晶矽或多結晶矽中之至少一者;以及該間隙填充層包含二氧化矽或碳摻雜氧化矽中之至少一者。
  14. 如申請專利範圍第11項所述之方法,其中該鑲嵌層更包含一層間介電(inter-level dielectric,ILD)層,於該IMD下,且移除該IMD之至少一部分亦移除該IMD下之該ILD的至少一部分。
  15. 一種微電子互連結構,包含:一鑲嵌層,該鑲嵌層包含:一氣隙,該氣隙位於兩金屬互連線之間,該兩金屬互連線各具有以一介電鈍化層覆蓋之側壁;以及一碳氮化矽蓋層,該碳氮化矽蓋層與該兩金屬互連線各自的頂表面接觸且將該兩金屬互連線各自的頂表面完全覆蓋,並密封該些線間的該氣隙。
  16. 如申請專利範圍第15項所述之微電子互連結構,其中該介電鈍化層包含碳氮化矽。
  17. 如申請專利範圍第15項所述之微電子互連結構,其中該氣隙以一碳氮化矽層而被包含在所有側上。
  18. 如申請專利範圍第15項所述之微電子互連結構,其中該氣隙係形成於兩相隔不超過65nm的金屬互連線間。
TW098119957A 2008-06-16 2009-06-15 利用圖案化蓋層的氣隙形成與整合 TWI485806B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US6196408P 2008-06-16 2008-06-16
US12/336,884 US7811924B2 (en) 2008-06-16 2008-12-17 Air gap formation and integration using a patterning cap

Publications (2)

Publication Number Publication Date
TW201011863A TW201011863A (en) 2010-03-16
TWI485806B true TWI485806B (zh) 2015-05-21

Family

ID=41413984

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098119957A TWI485806B (zh) 2008-06-16 2009-06-15 利用圖案化蓋層的氣隙形成與整合

Country Status (4)

Country Link
US (1) US7811924B2 (zh)
JP (1) JP5730471B2 (zh)
KR (1) KR101631294B1 (zh)
TW (1) TWI485806B (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100782487B1 (ko) * 2006-08-21 2007-12-05 삼성전자주식회사 보이드 한정 구조체들, 상기 보이드 한정 구조체들을가지는 반도체 장치들 및 그들의 형성방법들
US7973409B2 (en) 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
TW201011861A (en) * 2008-09-04 2010-03-16 Nanya Technology Corp Method for fabricating integrated circuit
US20100051578A1 (en) * 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
KR101085721B1 (ko) * 2009-02-10 2011-11-21 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
JP5608363B2 (ja) * 2009-12-25 2014-10-15 ピーエスフォー ルクスコ エスエイアールエル マスクの製造方法、及び半導体装置の製造方法
US8399350B2 (en) * 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8241992B2 (en) 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
DE102010029760B4 (de) * 2010-06-07 2019-02-21 Robert Bosch Gmbh Bauelement mit einer Durchkontaktierung und Verfahren zu seiner Herstellung
US8030202B1 (en) 2010-12-10 2011-10-04 International Business Machines Corporation Temporary etchable liner for forming air gap
US8815102B2 (en) * 2012-03-23 2014-08-26 United Microelectronics Corporation Method for fabricating patterned dichroic film
US8603889B2 (en) 2012-03-30 2013-12-10 International Business Machines Corporation Integrated circuit structure having air-gap trench isolation and related design structure
US9711392B2 (en) 2012-07-25 2017-07-18 Infineon Technologies Ag Field emission devices and methods of making thereof
US9082770B2 (en) 2012-10-24 2015-07-14 Taiwan Semiconductor Manufacturing Company Limited Damascene gap structure
US9337314B2 (en) * 2012-12-12 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Technique for selectively processing three dimensional device
US9054164B1 (en) 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US9305835B2 (en) 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
KR102414130B1 (ko) * 2014-04-01 2022-06-27 어플라이드 머티어리얼스, 인코포레이티드 집적된 금속 스페이서 및 에어 갭 인터커넥트
KR102168172B1 (ko) 2014-05-23 2020-10-20 삼성전자주식회사 반도체 소자의 제조 방법
US9679852B2 (en) * 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9484250B2 (en) 2015-03-10 2016-11-01 International Business Machines Corporation Air gap contact formation for reducing parasitic capacitance
CN106033741B (zh) 2015-03-20 2020-09-15 联华电子股份有限公司 金属内连线结构及其制作方法
US9567207B2 (en) * 2015-05-15 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Recess with tapered sidewalls for hermetic seal in MEMS devices
CN108369923B (zh) 2015-09-23 2023-03-14 英特尔公司 防止过孔穿通的无掩模气隙
KR102334736B1 (ko) * 2015-12-03 2021-12-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9553019B1 (en) * 2016-04-15 2017-01-24 International Business Machines Corporation Airgap protection layer for via alignment
KR102482369B1 (ko) 2016-07-06 2022-12-29 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102655189B1 (ko) 2016-09-30 2024-04-04 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN107680953B (zh) * 2017-11-09 2023-12-08 长鑫存储技术有限公司 金属内连线的互连结构及其形成方法、半导体器件
US10903109B2 (en) 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
WO2019138924A1 (ja) * 2018-01-11 2019-07-18 ソニーセミコンダクタソリューションズ株式会社 半導体装置およびその製造方法、並びに電子機器
US11469189B2 (en) * 2018-06-29 2022-10-11 Intel Corporation Inductor and transmission line with air gap
US11315787B2 (en) 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes
CN110391179A (zh) * 2019-08-07 2019-10-29 德淮半导体有限公司 半导体结构及其形成方法
US11145540B2 (en) * 2019-08-08 2021-10-12 Nanya Technology Corporation Semiconductor structure having air gap dielectric and the method of preparing the same
US10957760B2 (en) 2019-08-14 2021-03-23 Nanya Technology Corporation Semiconductor structure having air gap dielectric and method of preparing the same
CN113013141A (zh) * 2019-12-18 2021-06-22 台湾积体电路制造股份有限公司 半导体结构
US11882770B2 (en) * 2020-12-10 2024-01-23 International Business Machines Corporation Area-selective deposition of metal nitride to fabricate devices
US11521926B2 (en) 2021-03-10 2022-12-06 Nanya Technology Corporation Semiconductor device structure with serpentine conductive feature and method for forming the same
CN113611655A (zh) * 2021-06-11 2021-11-05 联芯集成电路制造(厦门)有限公司 半导体结构及其制作方法
US20230068892A1 (en) * 2021-08-26 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier & air-gap scheme for high performance interconnects
US11923306B2 (en) * 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having air gaps and method for manufacturing the same
US11923243B2 (en) * 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having air gaps and method for manufacturing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0872887A2 (en) * 1997-04-18 1998-10-21 NEC Corporation Multilevel interconnection structure having an air gap between interconnects
JP2006019401A (ja) * 2004-06-30 2006-01-19 Renesas Technology Corp 半導体装置及びその製造方法
US20070224823A1 (en) * 2006-03-23 2007-09-27 Sandhu Gurtej S Topography directed patterning
WO2008008338A2 (en) * 2006-07-10 2008-01-17 Micron Technology Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2971454B2 (ja) * 1997-08-21 1999-11-08 松下電子工業株式会社 半導体装置とその製造方法
US7575992B2 (en) * 2005-09-14 2009-08-18 Hynix Semiconductor Inc. Method of forming micro patterns in semiconductor devices
KR20070106277A (ko) * 2006-04-28 2007-11-01 삼성전자주식회사 피치 감소 방법
JP2008108788A (ja) * 2006-10-23 2008-05-08 Toshiba Corp 半導体装置の製造方法
US20090001045A1 (en) * 2007-06-27 2009-01-01 International Business Machines Corporation Methods of patterning self-assembly nano-structure and forming porous dielectric
US7943480B2 (en) * 2008-02-12 2011-05-17 International Business Machines Corporation Sub-lithographic dimensioned air gap formation and related structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0872887A2 (en) * 1997-04-18 1998-10-21 NEC Corporation Multilevel interconnection structure having an air gap between interconnects
JP2006019401A (ja) * 2004-06-30 2006-01-19 Renesas Technology Corp 半導体装置及びその製造方法
US20070224823A1 (en) * 2006-03-23 2007-09-27 Sandhu Gurtej S Topography directed patterning
WO2008008338A2 (en) * 2006-07-10 2008-01-17 Micron Technology Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same

Also Published As

Publication number Publication date
US7811924B2 (en) 2010-10-12
KR101631294B1 (ko) 2016-06-17
TW201011863A (en) 2010-03-16
JP2009302545A (ja) 2009-12-24
KR20090130836A (ko) 2009-12-24
US20090309230A1 (en) 2009-12-17
JP5730471B2 (ja) 2015-06-10

Similar Documents

Publication Publication Date Title
TWI485806B (zh) 利用圖案化蓋層的氣隙形成與整合
KR100487948B1 (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
KR100413908B1 (ko) 반도체 물질 상의 유전체층 보호 방법, 상호 접속 구조체 형성 방법 및 이중 대머신 상호 접속 구조체 형성 방법
TWI603429B (zh) 形成具有氣隙之半導體元件的方法
US11011421B2 (en) Semiconductor device having voids and method of forming same
US9607883B2 (en) Trench formation using rounded hard mask
JP2009302545A5 (zh)
JP2008502142A (ja) 相互接続構造の製造方法
JP7142607B2 (ja) Low-k層を保護する方法
US7436009B2 (en) Via structures and trench structures and dual damascene structures
JP2004063859A (ja) 半導体装置の製造方法
US7351653B2 (en) Method for damascene process
JP2004055781A (ja) 半導体装置の製造方法
JPH10116904A (ja) 半導体装置の製造方法
US7199038B2 (en) Method for fabricating semiconductor device
KR100853789B1 (ko) 반도체 소자 및 그 제조 방법
TWI819796B (zh) 半導體結構的形成方法
US20220367251A1 (en) Semiconductor Devices and Methods of Manufacture
JP2005353633A (ja) 半導体装置の製造方法および半導体装置
JP2006294965A (ja) 半導体装置の製造方法
JP2006073907A (ja) 半導体装置の製造方法および半導体装置
JP2007103670A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees