KR101631294B1 - 패터닝 캡을 이용한 에어 갭 형성 및 집적 - Google Patents

패터닝 캡을 이용한 에어 갭 형성 및 집적 Download PDF

Info

Publication number
KR101631294B1
KR101631294B1 KR1020090053576A KR20090053576A KR101631294B1 KR 101631294 B1 KR101631294 B1 KR 101631294B1 KR 1020090053576 A KR1020090053576 A KR 1020090053576A KR 20090053576 A KR20090053576 A KR 20090053576A KR 101631294 B1 KR101631294 B1 KR 101631294B1
Authority
KR
South Korea
Prior art keywords
layer
imd
cap layer
spacer
amorphous carbon
Prior art date
Application number
KR1020090053576A
Other languages
English (en)
Other versions
KR20090130836A (ko
Inventor
젠지앙 쿠이
메흘 나이크
크리스토퍼 디. 벤처
케네쓰 맥윌리암스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090130836A publication Critical patent/KR20090130836A/ko
Application granted granted Critical
Publication of KR101631294B1 publication Critical patent/KR101631294B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

필름을 패터닝하는 방법들과 그 결과의 구조들이 여기에 기술된다. 일 실시예에서, 본 방법은 기판 위로 비정질 탄소 마스크를 형성하는 단계; 상기 비정질 탄소 마스크 위로 스페이서 층을 증착하는 단계; 스페이서를 형성하고 상기 비정질 탄소 마스크를 노출하기 위해 상기 스페이서 층을 에칭하는 단계; 상기 스페이서에 대해 선택적으로 상기 비정질 탄소 마스크를 제거함으로써 이 기판 층을 노출하는 단계; 상기 기판 층을 덮되 상기 스페이서를 노출하기 위해 상기 스페이서 주위로 갭 충진 층을 증착하는 단계; 상기 기판 위로 갭 충진 층을 형성하기 위해 상기 갭 충진 층에 대해 선택적으로 상기 스페이서를 제거하는 단계; 상기 갭 충진 마스크를 상기 기판 내로 전달하는 단계를 포함한다.

Description

패터닝 캡을 이용한 에어 갭 형성 및 집적 {AIR GAP FORMATION AND INTEGRATION USING A PATTERNING CAP}
본 발명의 실시예들은 일반적으로 마이크로일렉트로닉 제조, 보다 상세하게는 필름들을 패터닝하는 방법에 관한 것이다.
집적 회로들에서 피쳐 스케일링(feature scaling)은 보다 고성능 전자 디바이스(electronic device)들을 가능케 한다. 더 작은 피쳐로의 스케일링은 주어진 폼 팩터(form factor)에서 기능적 유닛들의 밀도를 증가시키는 것은 물론 디바이스 처리 속도를 증가시킨다. 그러나, 디바이스 스케일링에 문제가 없는 것은 아니다. 예를 들어, 소형 디바이스들의 성능의 최적화는 점차 어려워지고 있다. 인터커넥트 패러시틱(interconnect parasitics)의 스케일링에 있어서 특히 그러한데, 이 인터커넥트 패러시틱은 디바이스들이 32 nm 테크놀로지 노드 또는 그 이상(beyond)으로 스케일링됨에 따라 성능제한적이다.
인터커넥트 기술의 연속하는 세대 동안 패러시틱 커패시턴스(parasitic capacitance)는 전통적으로 낮은 유전 상수의 재료의 채택에 의해 감소해 왔다. 처음에는 약 3.9의 유전 상수를 갖는 실리콘 이산화물, 불소 도핑된 유리가 채택되었고, 다음으로 다양한 스핀-온(spin-on) 유전체들, 다음으로 탄소 도핑된 실리콘 유리, 그리고 마지막으로 다공성 탄소 도핑된 실리콘 유리에 이르러, 고용적(high volume) 제조에서 현재의 기술에 와 있다.
그러나, 가장 개선된 다공성, 도핑된 유리조차도 적어도 2.3의 유전 상수를 가지며 현재의 많은 회로 설계에서 인터커넥트 패러시틱 커패시턴스는 여전히 성능 제한적이고, 미래의 설계에서 더욱 그러할 것을 예견하고 있다.
이에 따라, 당업계는 32 nm 또는 그 이상(beyond)까지 스케일링을 낮추는 것을 가능하게 하기 위해 라인-대-라인 커패시턴스 및 크로스-토크(cross-talk)를 감소하기 위한 방법으로서 에어 갭이라고도 불리는 매크로스케일 공극(macroscale void)들(소위 다공성 필름에서의 공극들에 비해 상당히 큰 공극들)의 형성에 점차 관심을 보이고 있다. 인터커넥트 층(interconnect layer) 내의 에어 갭들에 관한 실험이 수 년간 행해지는 동안, 이들은 아직까지 인터커넥트 기술의 주류로 채택되지 못하고 있다. 그 이유는 일부 방법들이 신뢰성 문제를, 다른 일부 방법들이 낮은 재현 가능성 및 수율 문제를 겪는 반면, 기존 방법들은 단지 비용 제한적이기 때문이다.
에어 갭 인터커넥트 기술의 당면 과제들 중 하나는 어떻게 제거용(sacrificial) 금속간 유전체(inter-metal dielectric : IMD)를 제거하고 밀봉된 공극(sealed void)을 형성하는 지에 관한 것이다. 여기에 기술된 방법들의 구현은 이러한 목적을 위해 이용될 수 있다.
필름을 패터닝하는 방법들과 그 결과의 구조들이 여기에 기술된다. 일 실시예에서, 본 방법은 기판 위로 비정질 탄소 마스크를 형성하는 단계; 상기 비정질 탄소 마스크 위로 스페이서 층을 증착하는 단계; 스페이서를 형성하고 상기 비정질 탄소 마스크를 노출하기 위해 상기 스페이서 층을 에칭하는 단계; 상기 스페이서에 대해 선택적으로 상기 비정질 탄소 마스크를 제거함으로써 이 기판 층을 노출하는 단계; 상기 기판 층을 덮되 상기 스페이서를 노출하기 위해 상기 스페이서 주위로 갭 충진 층을 증착하는 단계; 상기 기판 위로 갭 충진 층을 형성하기 위해 상기 갭 충진 층에 대해 선택적으로 상기 스페이서를 제거하는 단계; 상기 갭 충진 마스크의 패턴을 상기 기판 내로 전달하는 단계를 포함한다.
일 실시예에서, 10 nm 만큼 작은 임계 치수를 갖는 기판 필름 내로 구멍들을 형성하기 위해 본 방법이 구현될 수 있다.
일 실시예에서, 기판이 교번적인 금속간 유전체(IMD)와 금속 인터커넥트를 갖는 다마신 층(damascene layer) 위로 패시베이션 또는 "캡(cap)" 층을 포함하는, 에어 갭 인터커넥트 구조를 형성하기 위해 본 방법이 구현될 수 있다. 이러한 실시예에서, 캡 층 위로 비정질 탄소 마스크가 형성된다. 갭 충진 마스크에 대해 선택적으로 캡 층을 에칭한 다음 패터닝된 캡 층에 대해 선택적으로 다마신 층으로부터 IMD의 적어도 일부를 에칭하여 패터닝된 캡 층을 언더컷함으로써 갭 충진 층의 패턴이 기판 내로 전달된다. 다음으로 이 방법에 의해 가능해진 작은 배열(small geometry)들이 밀봉된다. 일 실시예에서, 캡 층 내의 구멍들은 유전체(dielectric)를 같은 형태로(conformally) 증착하여 IMD가 제거된 공극을 밀봉함으로써 폐쇄될 수 있다. 추가의 실시예에서, 패터닝된 캡 층은 실리콘 탄소 질화물을 포함하는 층으로 밀봉된다.
특정 실시예에서, 상기 스페이서 층은 실리콘 질화물, 비정질 실리콘 또는 다결정질 실리콘이고, 상기 갭 충진 층이 실리콘 이산화물 또는 탄소 도핑된 실리콘 산화물이고, 상기 캡 층이 실리콘 탄소 질화물이고 상기 IMD가 비정질 탄소, 탄소 도핑된 실리콘 이산화물 또는 폴리머 유전체이다.
특정 실시예에서, 상기 캡 층이 갭 충진 층에 대해 선택적으로 스페이서를 제거하기 위해 사용되는 에칭 프로세스와 실질적으로 동일하게 에칭된다.
일 실시예에서, IMD의 에칭은 수소(H2), 암모니아(NH3) 또는 성형 가스(forming gas, H2/N2) 같은 환원 가스들, 탄소 테트라플루오르화물(CF4)과 같은 수소화된(hydrogenated) 탄화플루오르, 산소(O2)와 같은 산화제를 포함하는, 그러나 이에 국한되지 않는, 가스를 사용한 건식 에칭 프로세스를 포함한다. 대안적 실시예에서, IMD의 에칭은 플루오르화 수소산(HF) 또는 이소프로필 알콜(IPA)을 포함하는, 그러나 이에 국한되지 않는, 케미스트리를 사용한 습식 에칭 프로세스를 포함한다.
한 가지 구현(implementation)에서, IMD의 제거는 캡 층을 노출하도록 갭 충진 마스크도 제거한다.
한 구현에서, 에어 갭 인터커넥트 구조를 형성하기 위해 공극을 밀봉하기 전에, IMD의 제거시 노출된 금속 인터커넥트의 측벽들에 캡 층이 같은 형태로(conformally) 증착된다. 이 캡 층은 실리콘 탄소 질화물, 실리콘 질화물, 실리콘 탄화물 및 티타늄 질화물과 같은 재료를 포함할 수 있으나, 이에 국한되지 않는다.
대안적 구현에서, 다마신 층 형성에 있어, 금속 인터커넥트를 위해 탄탈륨 질화물(TaN), 루테늄(Ru), 코발트(Co)와 같은 배리어 층이 증착되기 전에, IMD가 제거되어 에어 갭이 형성될 때 인터커넥트에 후속적으로 보호 층을 제공하기 위해 IMD의 측벽에 같은 형태의(conformal) 유전체 라이너 층이 증착된다. 보호 층은 실리콘 탄소 질화물, 실리콘 질화물, 실리콘 탄화물 및 티타늄 질화물과 같은 재료를 포함할 수 있으나 이에 국한되지 않는다. 이러한 실시예에서, 다마신 층으로부터 IMD의 적어도 일부가 제거되어 패터닝된 캡 층을 언더컷할 때, IMD는 다마신 층의 금속 인터커넥트에 인접한 보호 측벽 층을 형성하는 패시베이션 유전체에 대해 선택적으로 에칭된다.
다른 실시예는 각각 라인 폭보다 상당히 더 길고 약 65 nm 또는 그 미만만큼 이격된 라인 길이를 가지는 두 개의 금속 인터커넥트 라인들 사이에서 금속간 유전체(IMD)를 포함하는 다마신 층 내에 에어 갭들을 형성하기 위한 방법을 제공한다. 금속 인터커넥트 라인들을 패시베이트하기 위해 다마신 층 위로 캡 층을 형성한 후에 비정질 탄소 마스크가 캡 층 위로 형성된다. 이 비정질 탄소 마스크는 폭보다 상당히 길고 두 개의 금속 인터커넥트 라인들의 길이에 대략 수직인 길이를 갖는 라인을 형성한다. 그런 다음, 비정질 탄소 마스크 라인의 대향하는 측벽들에 스페이서가 형성된다. 비정질 탄소 마스크 라인은 스페이서에 대해 선택적으로 제거되어 한 쌍의 스페이서를 형성하는데, 각 스페이서는 폭 보다 상당히 길며 두 개의 금속 인터커넥트 라인들의 길이에 대략 수직인 길이를 갖는다. 그런 다음, 캡 층을 덮되 스페이서들을 노출시키기 위해 한 쌍의 스페이서 주위로 갭 충진 층이 증착되고 한 쌍의 스페이서는 채널 폭보다 상당히 긴 길이의 채널 또는 트렌치(trench)를 갖는 갭 충진 마스크를 형성하기 위해 갭 충진 층에 대해 선택적으로 제거된다. 기판 위로 두 개의 금속 인터커넥트 라인들에 대략 수직인 채널 길이는 그렇지 않을 경우 요구되었을 매우 타이트한 오버레이 허용도(overlay tolerance)에 의존하지 않고서 채널이 IMD 위로 연장하는 것을 보장한다. 채널은 두 개의 인터커넥트 라인들 사이에 IMD를 노출하기 위해 캡 층 내로 에칭되고 두 개의 인터커넥트 라인들 사이로부터 IMD의 적어도 일부가 제거되어, 캡 층을 언터컷한다. 그런 다음 채널은 같은 형태의 유전체 증착으로 밀봉될 수 있다.
하기의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 작업의 순서와 같은 수많은 특정 세부사항들이 개시된다. 이러한 특정 세부사항들 없이도 본 발명이 실시될 수 있다는 것은 이 분야의 숙련자에게 명백할 것이다. 다른 예들에서, 본 발명을 불필요하게 불명료하게 하지 않도록 하기 위해 특정 증착 및 에칭 기술들과 같은 주지의 특징들은 기술하지 않았다. 본 명세서에서 "실시예"는 그 실시예에 관해 기술된 특정 피쳐(feature), 구조, 재료, 또는 특징이 본 발명의 적어도 일 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서의 곳곳에서 "일 실시예에서"라는 문구가 반드시 본 발명의 동일 실시예를 지칭하는 것은 아니다. 또한, 특정 피쳐, 구조, 재료, 또는 특징이 하나 또는 그 이상의 실시예에 임의의 적절한 방식으로 병합될 수 있다. 또한, 도면에 나타난 다양한 예시적 실시예들은 단지 예시적 표현이고 반드시 치수를 나타내게 도시될 필요는 없음이 이해되어야 한다.
여기 사용된 용어들 "위로", "아래에", "사이에", 및 "상에(on)"는 한 층의 다른 층들에 대한 상대 위치를 지칭한다. 이와 같이, 예를 들어, 다른 층의 위로 또는 아래에 증착 또는 배열된 한 층은 상기 다른 층과 집적 접촉할 수 있고 중간에 하나 또는 그 이상의 층을 가질 수도 있다. 또한, 층들 사이에 증착 또는 배열된 한 층은 상기 층들과 직접 접촉할 수도 있고 하나 또는 그 이상의 중간 층들을 가질 수도 있다. 대조적으로, 제 2층 "상의" 제 1층은 제 2층과 접촉하고 있다. 또한, 다른 층들에 대한 한 층의 상대 위치는 시작 기판에 대해 상대적으로, 이 기판의 절대 배향(absolute orientation)의 고려 없이, 필름을 증착, 변형 및 제거하는 작업들을 감안하여 제공된다.
도 1은 본 발명의 실시예에 따라, 다마신 구조(100)를 나타낸 단면도이다. 다마신 구조(100)는 교번적인 금속간 유전체(IMD)와 금속 인터커넥트를 포함하는 다마신 층을 포함하는 공지된 임의의 다마신 구조일 수 있는데, 유전체 스택(stack)이 증착되고, 비아(via)들 및 라인들로 연속적으로 패터닝되고, 트렌치들과 비아들을 형성하도록 에칭되고, 금속 인터커넥트 비아들과 와이어들 또는 라인들로 충진되고, 평탄화(planarized)되고 그 후 캡 층으로 밀봉된다.
도시된 바와 같이, 레벨간 유전체(ILD, 105)가 저층(underlayer, 101) 위로 형성된다. 저층(101)은 하부에 놓인(underlying) 반도체 구조 또는 하부에 놓인 인터커넥트 층일 수 있다. ILD(105)는 임의의 종래의 유전체, 예를 들어, 이에 제한되는 것은 아니나, Advanced Patterning FilmTM(APF)이라는 상품명으로 미국 캘리포니아 소재 어플라이드 머티리얼스로부터 구입할 수 있는 비정질 탄소, 실리콘 이산화물, 역시 어플라이드 머티리얼스로부터 모두 구입할 수 있는 Black Diamond I 및 Black Diamond II와 같은 탄소-도핑된 산화물들, 미국 미시간주 다우 케미칼로부터 상업적으로 구입할 수 있는 SiLK®와 같은 스핀-온 폴리머 유전체들일 수 있다.
ILD(105) 위로는 금속간 유전체(IMD, 110)가 있다. IMD(110)는 앞서 ILD(105)에 대해 기술한 바와 같이 임의의 종래의 유전체일 수 있다. IMD(110)와 ILD(105) 사이에는 다마신 구조(100)의 형성에 유용한 하나 또는 그 이상의 에칭 스톱 층(etch stop layer, 미도시)들이 있을 수 있다. ILD(105)를 통해 금속 비아들(115)이 연장하여 저층(101)과 접촉을 형성한다. 금속 인터커넥트 라인(120)이 IMD(110)를 통해 연장하고 ILD(105)(또는 중간에 낀(interposed) 에칭 스톱 층)상에서 중단한다.
금속 인터커넥트 라인(120) 및 금속 비아들(115) 모두 당업계에 알려진 다양한 재료들을 포함할 수 있다. 도시된 예에서, 탄탈륨 질화물(TaN)과 같은 배리어 층(121)이 충진 금속(fill metal, 123)을 둘러싼다. 충진 금속(123)은 구리와 같은, 당업계에서 흔히 사용되는 임의의 것일 수 있다. 시드(seed) 층들과 같은 다른 다양한 층들도 다마신 층 내에 또한 포함될 수 있다.
금속 인터커넥트 라인(120)의 상단에 노출된, 충진 금속(123)은 통상적으로 금속 인터커넥트들의 전자이동(electromigration) 성능을 향상시키기 위해 캡 층(125)으로 덮혀 있다. 특정 실시예에서, 캡 층(125)은 예를 들어 어플라이드 머티리얼스로부터 BLOk®이라는 상품명으로 상업적으로 구입할 수 있는 실리콘 탄소 질화물이다. 이러한 실시예에서, 실리콘 탄소 질화물 캡 층은 플라즈마 강화 화학 기상 증착(PECVD)에 의해 약 5 nm 내지 약 50 nm, 바람직하게는 약 10 nm 내지 약 20 nm의 두께로 증착될 수 있다. 대안적 실시예들에서, 캡 층(125)은 ILD(105)에 대해 기술된 재료들 중 임의의 것일 수 있고, 약 10 nm 내지 약 50 nm의 두께로 종래의 방법들에 의해 증착될 수 있다.
도 2는 본 발명의 실시예에 따라, 다마신 구조(100)의 캡 층(125) 위로 비정질 탄소 층(230)이 증착되는 반도체 구조의 형성에서의 작업들을 나타낸 단면도이다. 여기에 사용된 바와 같이, 비정질 탄소는 일단 증착되면 250℃, 바람직하게는 300℃를 넘는 온도에서 안정적으로 남아 있는 50 원자%를 초과하는 탄소를 갖는 재료이다. 고온에 대한 저항은, 후속하는 캡 층들이 적어도 250℃의 바람직한 유전체 증착 온도에서 비정질 탄소 층(230) 상에 증착될 수 있도록 하며, 이는 아래에서 추가로 논의된다.
한 특정 실시예에서, 비정질 탄소 층(230)은 열적(thermal) 프로세스 또는 플라즈마 강화 프로세스, 즉 PECVD에 의해 형성될 수 있다. 일반적으로, CVD 탄소 재료는 sp1, sp2 및 sp3 결합 상태의 탄소를 포함하여, 열분해성(pyrolyltic), 흑연성(graphitic), 다이아몬드형(diamond-like) 탄소의 전형적인 특성들의 하이브리드인 필름 특성들을 제공한다. CVD 탄소 재료는 다수의 결합 상태들을 다양한 비율들로 포함할 수 있으므로, 오래 울리는 질서(order)를 결여하고 따라서 흔히 "비정질 탄소"로 지칭된다.
후속적으로 캡 층(125)을 패터닝하는데 이용되는 프로세스에 대한 재료의 저항 및 (비정질 탄소 층의 종횡비(aspect ratio)를 제한하는) 탄소 재료의 구조 완결성(integrity)에 의존하는 두께로 비정질 탄소 층(230)이 형성된다. 일 실시예에서, 비정질 탄소 층은 5:1의 종횡비를 위해 이 층 내로 후속적으로 에칭될 피쳐의 임계 치수보다 대략 5배 큰 두께로 CVD에 의해 증착된다. 추가의 실시예에서, 피쳐 치수에 대한 CVD 탄소 층 두께의 비는 1:1 내지 1:5이다. 이러한 범위의 비는 적절한 구조 완결성을 제공할 것이어서 패터닝된 비정질 탄소 피쳐들이 후속 프로세싱 동안에 약해지지(collapse) 않을 것이다. 최소 비정질 탄소 피쳐 치수(dimension)가 대략 50 nm인, 이러한 하나의 실시예에서, 비정질 탄소 층(230)의 두께가 대략 100 nm 내지 대략 500 nm이다. 피쳐 치수가 대략 25 nm인 다른 실시예에서, 예를 들어, 이에 제한되는 것은 아니나, 메탄(CH4), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 아세틸렌(C2H2), 톨루엔(C7H8) 및 이들의 혼합물과 같은 탄화수소 전구체(precursor)들을 이용한 PECVD 프로세스에 의해 형성되는 비정질 탄소 층의 두께가 대략 50 nm 내지 대략 250 nm이다. CVD 탄소 재료는 질소 또는 다른 첨가물을 포함할 수도 있다.
대안적 실시예들에서, 종래의 유전체 층, 예를 들어, 이에 제한되는 것은 아니나, 실리콘 질화물, 실리콘 산질화물(oxy-nitride)이 비정질 탄소 층(230) 대신 하드마스크로 사용될 수 있다.
도 3a는 본 발명의 일 실시예에 따라, 비정질 탄소 층(230) 위로, 패터닝된 포토 레지스트 마스크가 형성되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 레지스트 코팅, 노출 및 디벨로핑(develop)을 포함하는 종래의 포토리소그래피 프로세스가 이용될 수 있다. 바닥 반사방지 코팅(BARC)도 코팅 프로세스의 부분으로서 포토 레지스트 이전에 비정질 탄소 층(230)에 적용될 수 있다. 본 발명의 실시예에 따르면, 리소그래픽/디벨롭먼트 프로세스, 예를 들어, 비제한적으로, 248 nm 리소그래피/디벨롭먼트, 193 nm 리소그래피/디벨롭먼트, 157 nm 리소그래피/디벨롭먼트, 극자외선(EUV) 리소그래피/디벨롭먼트 및 직접 묘사(direct-write) 리소그래피/디벨롭먼트에 의해 포토 레지스트 마스크(332)를 형성하도록 포토 레지스트가 패터닝된다.
도 3b는 본 발명의 실시예에 따라, 다마신 구조(100)의 금속 인터커넥트 라인들(120)과 중첩하는 비정질 탄소 층(230) 위로 라인들을 제공하는 포토 레지스트 마스크(332)를 나타낸 평면도이다. a-a'선은 도 3a에 제공된 단면도에 대한 참조를 제공한다. 도 3b에 도시된 실시예에서, 금속 인터커넥트 라인들(120)이 라인의 길이보다 실질적으로 좁은 폭(WI)을 갖는다. 마찬가지로, 포토 레지스트 마스크(332)는 마스크 라인의 길이보다 실질적으로 좁은 폭(WM)을 갖는 라인을 형성한다. 포토 레지스트 마스크(332)의 라인 길이들은 금속 인터커넥트 라인들(120)의 길이에 대략 수직이다. 이러한 포토 레지스트 마스크(332)의 라인과 금속 인터커넥트 라인들(120) 간의 비-평행 배열은 그렇지 않았으면 필요하였을 매우 작은 오버레이 허용도를 요하지 않고 포토 레지스트 라인의 에지가 비정질 탄소 층(230) 위로 연장하는 것을 보장하도록 도울 수 있다. 예를 들어, 이러한 비-평행 실시예는 금속 인터커넥트 라인들(120)이 서로 간격(S)을 두고 밀접하게 이격되어 있을 때 유리하다. 공간(S) 내에서 비정질 탄소 층(230) 위로 에지(333)를 제공하는 상층(overlaying) 레지스트 마스크(332)는 레지스트 마스크(332)가 금속 인터커넥트 라인들(120)의 길이들에 비-평행인 길이들을 갖는 라인들을 포함할 경우 실용적일 수 있다. 특정 실시예에서, 인접한 금속 인터커넥트 라인들 사이의 공간(S)이 대략 65 nm 또는 그 미만이고 레지스트 마스크(332)가 공간(S) 위에서 비정질 탄소 층(230) 위로 에지를 형성한다. 그러나, 다른 실시예에서, 임의의 종래의 포지티브 또는 네가티브 톤 마스크가 사용되어 포스트들 또는 구멍들 내로 레지스트 마스크(332)를 형성할 수 있다.
또한 도 3b에 도시된 바와 같이, 영역(334)은 디바이스 수율 또는 신뢰성 문제를 야기할 수 있는 인공물(artifacts)의 후속적 생성을 피하기 위해 포토 레지스트 마스크(332)를 포함하지 않는다. 예를 들어, 비아 오정렬이 발생하기 쉬운 영역들 또는 다마신 층으로부터 IMD의 일부를 후속적으로 제거하는 영역들은 기계적 결함을 초래할 수 있다. 이러한 기계적 결함은 금속 인터커넥트 라인들(120)이 없는 넓은 면적을 갖는 영역(334)에서 발생할 수 있다.
도 4는 본 발명의 실시예에 따라, 비정질 탄소 마스크(435) 내로 비정질 탄소 층(230)이 패터닝되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 비정질 탄소 마스크(435)는 상부에 놓인 포토 레지스트 마스크(332)의 패턴을 고충실도(high fidelity)로 재생성하기 위해 이방성 에칭에 의해 정의될 수 있다. 특정 실시예는 예를 들어, 비제한적으로, O2 및 N2, 기타 질소 산화물 및 CH4와 같은 가스들을 사용하는 플라즈마 에칭 프로세스를 제공한다. 그러한 일 실시예에서, 비정질 탄소 마스크(435)를 형성하기 위한 비정질 탄소 층(230)의 에칭은 동시에 포토 레지스트 마스크(332)를 제거한다. 그러한 일 실시예에서, 비정질 탄소 층(230)의 두께는 비정질 탄소 마스크(435)의 형성 동안에 이미 증착된 두께의 적어도 15%만큼 감소한다. 예를 들어, 대략 200 nm 두께의 비정질 탄소 층(230)이 증착되는 실시예에서, O2계 플라즈마 에칭가 포토 레지스트 마스크(332)를 통해 비정질 탄소 층(230) 내로 적어도 30 nm만큼 에칭하면서 비정질 탄소 마스크(435)를 형성하여 캡 층(125) 위로 대략 170 nm 두께의 비정질 탄소 마스크(435)를 제공한다.
도 5는 본 발명의 실시예에 따라, 패터닝된 비정질 탄소 마스크(435)의 측벽들에 인접하게 스페이서(540)가 형성되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 먼저, 스페이서 재료 층(미도시)이 비정질 탄소 마스크(435) 위로 이와 같은 형태로 증착된다. 스페이서-형성 재료는 비정질 탄소 마스크(435)와 캡 층(125)이 허용가능한 선택도(selectivity)로 제거될 수 있는 임의의 재료일 수 있다. 필요한 선택도의 양은 비정질 탄소 마스크(435)의 두께와 캡 층(125)의 두께에 의존한다. 일반적으로, 비정질 탄소 마스크(435)가 두꺼울수록, 가능한 스페이서(540)가 높아서, 캡 층(125)과 스페이서(540) 간에 선택도의 필요성을 경감시킨다. 비정질 탄소 마스크(435)는 산화 환경에서 쉽게 제거되므로, 대부분의 임의의 종래의 스페이서 층 재료, 예를 들어, 비제한적으로, 다결정질 실리콘, 비정질 실리콘, 다결정질 실리콘 게르마늄, 실리콘 이산화물, 실리콘 탄소 질화물 및 실리콘 질화물이 사용될 수 있다. 스페이서 층은 고도로 같은 형태의 균일한 증착을 상당한(appreciable) 속도로 달성하기 위해 약 300℃ 위의 온도에서 종래의 CVD 또는 PECVD 프로세스에 의해 증착될 수 있다.
스페이서 층 증착의 두께는 궁극적으로 비정질 탄소 마스크(435)의 측벽상에 형성되는 스페이서(540)의 가로 두께를 결정하고 이 두께는 비정질 탄소 마스크(435)의 후속 제거시 스페이서의 자유롭게 서 있는 능력에 의해 제한된다. 자유롭게 서는 능력은 재료의 기계적 강도와 필름 내의 응력에 의존한다. 실시예에서, 비정질 탄소 마스크(435)의 두께 대 스페이서 층 증착 두께의 비는 10:1 보다 작다. 특정 실시예에서, 실리콘 질화물을 포함하는 스페이서 층이 100 nm 내지 500 nm의 두께를 갖는 비정질 탄소 마스크(435) 위로 10 nm 내지 50 nm의 두께로 증착된다.
스페이서 층이 형성된 후, 이는 도 5에 도시된 바와 같이 이방성으로(anisotropically) 에칭되어 스페이서(540)를 형성한다. 종래의 플라즈마 건식 에칭 프로세스가 선택된 스페이서 재료에 의존한 케미스트리로 사용될 수 있다. 특정 실시예에서, 예를 들어, 비제한적으로, C4F8, CH2F2 및 CHF3과 같은 가스로 건식 에칭 프로세스가 사용될 수 있다.
도 6은 본 발명의 실시예에 따라, 캡 층(125)을 노출시키기 위해 스페이서(540)로부터 비정질 탄소 마스크(435)가 제거되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 비정질 탄소 마스크(435)는 예를 들어 산화제 및/또는 환원제를 포함하는 플라즈마 에칭 프로세스로, 탄소 마스크 층을 패터닝하는 것에 대해 앞서 설명한 건식 에칭 방법으로 유리하게 제거될 수 있다. 추가의 마스크 제거 작업이 요구되지 않기 때문에, 전통적인 하드 마스크 프로세스에 비해 프로세스의 복잡성이 감소된다. 또한, 스페이서(540)의 기계적 취약성 때문에, 건식 에칭 프로세스 실시예는 스페이서(540)의 형성에 후속하는 높은 표면 장력의 습식 프로세스를 유리하게 피할 수 있다.
도 7은 본 발명의 실시예에 따라, 스페이서(540) 주위로 갭-충진 층(750)이 증착되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 임의의 종래의 갭-충진 증착 프로세스가 사용되어 캡 층(125) 위로 그리고 스페이서(540) 주위로 재료를 증착할 수 있다. 이러한 프로세스들은 통상적으로 튜닝된 증착 및 스퍼터 속도를 갖는 고밀도 플라즈마(HDP)를 사용하여, 증착 프로세스가 초미세-길이(micro-length) 스케일 토포그래피(topography)로 갭들을 충진시키고 스퍼터링하는 경향이 되도록 한다. 재료의 HDP 증착은 스페이서(540)의 토포그래피를 평탄화하는 경향이 있을 것이고, 그럼으로써, 스페이서를 노출시킨다. 스페이서(540)가 스퍼터링되어 갭-충진 층(750)과 평탄하게 되기 전에 증착 프로세스가 중단되는 한, 갭-충진 층(750)은 스페이서(540) 주위로 형성될 것이나 그 위로는 형성되지 않을 것이다. 갭-충진 층(750)은 스페이서(540)와 캡 층(125)의 후속 에칭을 견딜 수 있는 임의의 종래의 유전체 재료를 포함할 수 있다. 따라서, 스페이서(540)와 캡 층(125)의 성분에 따라, 갭-충진 층(750)은 실리콘 이산화물, 실리콘 질화물, 실리콘 탄소 질화물, 다결정질 실리콘(poly-slicon), 비정질 실리콘 또는 낮은-k 유전체를 포함할 수 있다. 실리콘 질화물 스페이서(540) 및 실리콘 탄화 질화물 캡 층(125)을 이용한 특정 구현에서, 갭-충진 층(750)은 실리콘 이산화물이다. 실리콘 질화물 스페이서(540)와 실리콘 탄소 질화물 캡 층(125)이 실리콘 이산화물 갭-충진 층(750)에 대해 선택적으로 에칭될 수 있고 또한 실리콘 이산화물의 HDP 프로세스가 잘 특성화될 수 있기 때문에 실리콘 이산화물이 유리하다.
대안적 구현에서, 비-갭-충진 증착(예컨대, HDP가 아닌 경우) 또는 갭-충진 증착이 다마신 인터커넥트를 위해 사용된 종래의 평탄화 프로세스와 같은 화학 기계적 폴리싱(CMP) 작업과 병합된다. 폴리싱 작업은 스페이서(540)를 노출하는 동안 갭-충진 층(750)을 형성하기 위해 갭-충진 프로세스를 보완하거나 대체하기 위해 사용될 수 있다. 그러나 이러한 폴리싱 프로세스는 비용 증가로 인해 HDP 갭-충진 기술만을 이용한 실시예에 비해 덜 바람직할 수 있다.
도 8은 본 발명의 실시예에 따라, 갭-충진 층(750)이 에칭 마스크임에 반해 IMD를 노출시키기 위해 스페이서(540)가 제거되고 캡 층(125)이 에칭되는 반도체 구조의 형성에서 작업들을 나타내는 단면도이다. 스페이서(540)가 금속 인터커넥트 라인들(120)에 비-평행한 길이를 갖는 라인들을 형성하는, 이러한 실시예들에서 캡 층(125)의 에칭은 캡 층(125) 내에 채널(851)을 형성하되, 채널(851) 아래에 IMD(110)가 노출된다. 따라서 채널(851)은 또한 특정 실시예에서 금속 인터커넥트 라인들(120)에 비평행하다. 스페이서(540)의 제거는 갭-충진 층(750)에 대해 선택적으로 스페이서(540)를 에칭함으로써 수행될 수 있다. 스페이서(540), 캡 층(125) 및 갭-충진 층(750)에 대한 재료의 알맞은 선택에 의해, 스페이서(540) 아래쪽 캡 층(125)의 제거 후에 갭-충진 층(750) 두께의 적어도 일부가 남아 있도록, 스페이서(540) 및 캡 층(125)이 갭-충진 층(750)에 대해 충분한 선택도로 에칭될 수 있다. 특정 실시예에서, 건식 에칭 프로세스가 사용되어 연속 레시피(recipe) 단계들로 스페이서(540) 및 캡 층(125)을 에칭한다. 캡 층(125)이 실리콘 탄소 질화물을 포함하고 스페이서(540)가 실리콘 질화물을 포함하는, 이러한 한 실시예에서, 예를 들어, 비제한적으로, C4F8, CH2F2 및 CHF3와 같은 가스로 건식 에칭 프로세스가 사용될 수 있다. 실시예에 따라, IMD(110)는 캡 층(125)의 에칭을 위한 에칭 스톱으로서 역할할 수도, 역할하지 않을 수도 있다.
IMD(110)는 자외선(UV) 또는 전자빔 처리를 필요로 할 수 있다. 특정 실시예에서, 이러한 IMD(110)의 처리는 캡 층(125)의 에칭 이전에 수행된다. 다른 실시예에서, 이러한 처리는 캡 층(125)이 에칭된 후에 수행될 수도 있다.
도 9는 본 발명의 실시예에 따라, IMD(110)의 일부가 캡 층(125)에 대해 선택적으로 에칭되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. IMD(110)의 일부의 제거는 금속 인터커넥트 라인들(120) 사이에 에어 갭(955)을 형성하고 유전 상수가 IMD(110)의 유전 상수로부터 공극이 후속적으로 밀봉된 때 달성되는 진공 레벨의 유전 상수로 감소하게 해준다. 특정 실시예에서, IMD(110)는 캡 층(125)을 언더컷하기 위해 등방성으로 제거된다.
특정 실시예에서, IMD(110)의 특정 재료 성분들의 등방성 에칭을 위해 종래로부터 채용되어 온 임의의 가스 케미스트리를 포함하는 건식 플라즈마 에칭에 의해 IMD(110)의 일부가 제거된다. IMD(110)가 탄소 도핑된 실리콘 이산화물(SiCOH), 비정질 탄소, 또는 스핀-온 폴리머 유전체를 포함하는 예시적 실시예에서, 가스 케미스트리가, 비제한적으로, O2, H2, NH3 또는 성형 가스(H2/N2)를 포함할 수 있다. 다른 플라즈마 에칭 실시예는 종래의 수소화된 할로겐 가스를 이용할 수 있다. 또 다른 실시예에서, 습식 화학적 에칭 프로세스가 IMD(110)의 일부를 제거하는데 사용되어 에어 갭(955)을 형성할 수 있다. 습식 케미스트리는, 비제한적으로, 플루오르화 수소산(HF)계 에칭 케미스트리, 암모니아 플루오르화물(NH4OH)계 케미스트리, 또는 이소프로필 알콜(IPA) 및 아세톤 또는 N-메틸피롤리돈(NMP)와 같은 용매(solvent) 케미스트리를 포함할 수 있다.
도 9에 도시된 예시적 실시예에서, 갭-충진 층(750)은 IMD(110)의 일부를 에칭하는 프로세스에 의해서도 제거된다. 이러한 실시예에서, 갭-충진 층은 희생 마스킹 층(sacrificial masking layer)으로서만 역할하고 IMD(110)의 에칭은 캡 층(125)에 의해서만 마스킹된다. IMD(110)와 함께 갭-충진 층(750)의 제거는 갭-충진 층(750)이 불필요하게 높은 유전 상수를 갖는 경우에 유리할 수 있다. 갭-충진 층(750)이 실리콘 이산화물이고 IMD(110)가 탄소 도핑된 실리콘 이산화물인 특정 구현에서, 탄화플루오르계 건식 플라즈마 에칭이 O2와 같은 산화제와 함께 또는 산화제 없이 사용되어 실리콘 탄소 질화물 캡 층(125)에 선택적으로 갭-충진 층(750) 및 IMD(110)의 일부를 제거한다. 이 구현에서, 캡 층(125)의 상단 표면이 노출되는 한편 캡 층(125)의 하단 표면이 또한 언더컷된다.
도 9에 도시된 특정 실시예에서, IMD(110)의 제거는 ILD(105)에 대해 선택적이거나 IMD(110)와 ILD(105) 사이의 스톱 층에 대해 선택적이다. 예를 들어, 실리콘 질화물 또는 실리콘 탄소 질화물의 스톱 층이 도핑된 실리콘 산화물 IMD(110)의 에칭을 중단하는데 사용될 수 있다. IMD(110)가 ILD(105)에 대해 선택적으로 에칭되는 실시예는 비아(115)가 오정렬되거나 저층(101)이 ILD(105) 에칭 프로세스에 민감한 경우에 유리할 수 있다. 도 9에 도시된 바와 같이, 금속 인터커넥트 라인들(120) 사이에서 ILD(105)에 선택적으로 IMD(110)를 제거하는 것은 라인 길이가 비아들(115)에 의해 이동되는 수직 거리보다 훨씬 길기 때문에 인터커넥트의 커패시턴스 및 크로스토크를 크게 감소시킬 수 있다. 그러나, 대안적 실시예에서, ILD(105)의 적어도 일부가 ILD(105)에 비-선택적인 에칭 프로세스에 의해 IMD(110)와 함께 에칭될 수 있다. 다른 실시예에서, 스페이서(540), 갭-충진 층(750), 및 IMD(110)의 에칭이 단일 챔버 또는 단일 에칭 플랫폼 내의 일련의 챔버들 내의 에칭 레시피의 연속 단계들로 인시츄(in-situ)로 수행된다.
도 10a는 본 발명의 실시예에 따라, 캡 층(125) 내의 구멍 또는 채널이 밀봉되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 일반적으로, 채널(1061)과 같은 금속 인터커넥트 라인들(120) 위로 캡 층 개구들 내에 공극을 형성하는 가능성을 감소시키기 위해 밀봉 캡 층(1060)이 같은 형태로 증착되어야 한다. 노출된 금속 인터커넥트 라인들(120)은 캡 층(125)이 금속 인터커넥트 라인들(120)의 다른 표면들을 보호하는 것과 마찬가지로 밀봉 캡 층(1060)에 의해 보호되어야 한다. 이러한 목적을 위해, 밀봉 캡 층(1060)을 증착하는 프로세스는 세정 단계를 더 포함함으로써 채널(1061)에 의해 노출된 금속 인터커넥트 라인들(120)상의 임의의 산화(oxidation) 등이 제거될 수 있다. 밀봉 캡 층(1060)은 예를 들어 캡 층(125), IMD(110) 또는 ILD(105)에 대해 앞서 기술한 바와 같은 임의의 종래의 유전체 재료를 포함할 수 있다. 캡 층(125)이 실리콘 탄소 질화물을 포함하는 특정한 한 실시예에서, 실리콘 탄소 질화물 밀봉 캡 층(1060)이 증착되어 다마신 층 위로 연장하는 연속적인 캡 층을 재형성함으로써, 금속 인터커넥트 라인들(120)을 보호하고 IMD(110)가 제거되는 캡 층(125) 내의 구멍들을 밀봉한다.
도 10b는 본 발명의 실시예에 따라, 캡 층(125)이 밀봉되기 전에 IMD(110)의 제거시 노출된 금속 인터커넥트 라인들(120)이 패시베이트되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 도시된 바와 같이, 금속 인터커넥트 라인들(120)은 IMD(110)가 제거된 후(도 9 참조) 노출된 배리어 층(1070)을 갖는다. 한 실시예에서, 노출된 배리어 층(1070)은 TaN과 같은 종래의 재료 또는 루테늄(Ru), 코발트(Co), 등에 기초한 금속간 화합물(intermetallics)을 포함할 수 있다. 이러한 배리어 층(1070)은 인터커넥트 패시베이션 층(1075)으로부터 혜택을 받을 수 있다. 일 실시예에서, 인터커넥트 패시베이션 층(1075)이 캡 층(125)의 밀봉 전에 배리어 층(1070) 위로 형성된다. 이러한 한 실시예에서, 인터커넥트 패시베이션 층(1075)이 원자 층 증착(ALD) 또는 CVD와 같은 형태의(conformal) 증착 프로세스로 증착된다. 인터커넥트 패시베이션 층(1075)은 캡 층(125), IMD(110) 또는 ILD(105)에 대해 앞서 설명한 재료들 중 임의의 것을 포함할 수 있다. 한 특정 실시예에서, 인터커넥트 패시베이션 층(1075)이 실리콘 탄소 질화물을 포함하고 5 nm 내지 20 nm의 두께를 갖는다.
대안적 실시예에서, IMD(110)가 제거된 후에 인터커넥트 라인들을 패시베이팅하지 않고, 금속 인터커넥트 라인들(120)의 형성 이전에 라이너 층이 형성되고 IMD(110)의 제거는 캡 층에 선택적이다. 도 11은 본 발명의 방법이 병합될 수 있는 다마신 층을 형성하는 대안적 방법이다. 예를 들어, 도 11은 본 발명의 실시예에 따라, 다마신 금속 인터커넥트의 배리어 층이 형성되기 전에 보호 유전체 라이너가 증착되는 반도체 구조의 형성에서 작업들을 나타내는 단면도이다. 다마신 층에 대한 트렌치들 및 비아 구멍들의 형성 후에 라이너 층(1180)이 IMD(110) 위로 증착된다. 라이너 층(1180)은 캡 층(125), 인터커넥트 패시베이션 층(1075), IMD(110) 또는 ILD(105)에 대해 설명된 재료들 중 임의의 것을 포함할 수 있다.
라이너 층(1180)이 증착된 후, 건식 플라즈마 에칭으로 이방성 에칭이 수행되어 라이너 스페이서(1185)를 형성할 수 있다. 건식 에칭은 IMD(110)에 선택적으로 라이너 스페이서(1185)를 형성할 수 있다. 예를 들어, 실리콘 탄소 질화물 라이너 층(1180)을 갖는 실시예에서, 스페이서(540)를 형성하는데 설명된 것과 같은 에칭 프로세스가 사용될 수 있다. 라이너 스페이서(1185)의 형성에 의해, 도 12에 도시된 것과 같이, 다마신 층이 완성될 수 있다. 배리어 층(121) 및 충진 금속(123)은 라이너 스페이서(1185)에 인접하게 형성되어 금속 인터커넥트 라인(120)을 완성하고, 도 1에 도시된 것에 대한 교번적인 다마신 구조를 형성한다. 도 2 내지 9를 참조하여 다른 부분에서 설명한 작업들을 적용하면 도 13에 도시된 에어 갭 구조를 형성한다.
도 13은 본 발명의 실시예에 따라, IMD(110)가 캡 층(125) 및 라이너 스페이서(1185)에 대해 선택적으로 에칭되어 에어 갭(1390)을 형성하는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 다마신 인터커넥트의 부분으로 형성된 라이너 스페이서(1185)는 다음으로 IMD(110)의 제거 동안 에칭 스톱으로 기능한다. 특정 실시예에서, 금속 인터커넥트 라인(120)의 3면을 캡슐화하기(encapsulate) 위해 라이너 스페이서(1185) 및 캡 층(125)이 동일한 재료를 포함한다. 추가의 실시예에서, 금속 인터커넥트 라인(120)의 4면을 캡슐화하기 위해 IMD(110)와 ILD(105) 사이의 에칭 스톱 층(미도시)이 라이너 스페이서(1185) 및 캡 층(125)과 동일한 재료를 포함한다. 이러한 한 실시예에서, IMD(110)와 ILD(105) 사이의 에칭 스톱 층, 캡 층(125) 및 라이너 스페이서(1185)가 모두 실리콘 탄소 질화물을 포함한다.
캡 층(125) 내에 형성된 채널들을 밀봉함으로써 다마신 인터커넥트 프로세스의 부분으로 보호 유전체 라이너를 병합한 대안적 실시예가 완성된다. 도 14는 본 발명의 실시예에 따라, 캡 층(125)이 패시베이션 밀봉 층(1495)으로 밀봉된 반도체 구조의 형성에서 작업들을 나타낸 단면도이다. 도 10a를 참조하여 다른 부분에 기술된 프로세스들 또는 재료들 중 어느 것이라도 사용될 수 있다.
도 10a 및 도 14는 필름을 패터닝하는 본 방법의 특정 예시적 인터커넥트 에어 갭 실시예들의 완성을 표시한다. 도시된 구조들에 이 분야에 알려진 추가의 프로세스가 수행될 수 있다.
비록 본 발명이 구조적 특징 및/또는 방법론적 작업들에 특정적인 언어로 기 술되었으나, 아래 특허청구범위에 정의된 발명은 이러한 특정적 특징이나 작업들에 제한되는 것은 아니라는 것을 이해하여야 한다. 기술된 특정적 특징 및 작업들은 본 발명을 제한하는 것이 아니라 설명하려는 목적에서, 청구된 발명의 특히 바람직한 구현으로서 이해되어야 한다.
본 발명의 실시예들은 첨부된 도면들에서 예시적이고 비제한적으로 도시되어 있다.
도 1은 본 발명의 일 실시예에 따른 다마신 구조를 도시한 단면도이다.
도 2는 본 발명의 일 실시예에 따라, 다마신 구조의 캡 층 위로 비정질 탄소 층이 증착되는 반도체 구조(structure)의 형성(formation)에서 작업들을 나타낸 단면도이다.
도 3a는 본 발명의 일 실시예에 따라, 비정질 탄소 층 위로 패터닝된 포토 레지스트 마스크가 형성되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 3b는 본 발명의 일 실시예에 따라, 다마신 구조의 금속 인터커넥트 라인들과 중첩되는 비정질 탄소 층 위로 패터닝된 포토 레지스트 마스크가 라인들을 제공하는 것을 나타낸 평면도이다.
도 4는 본 발명의 일 실시예에 따라, 패터닝된 비정질 탄소 마스크가 형성되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 5는 본 발명의 일 실시예에 따라, 패터닝된 비정질 탄소 마스크의 측벽들에 인접하게 스페이서가 형성되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 6은 본 발명의 일 실시예에 따라, 패터닝된 비정질 탄소 마스크가 제거되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 7은 본 발명의 일 실시예에 따라, 갭-충진 유전체가 스페이서 주위로 증착되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 8은 본 발명의 일 실시예에 따라, 스페이서 및 캡 층이 에칭되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 9는 본 발명의 일 실시예에 따라, 금속간 유전체(IMD)가 캡 층에 선택적으로 에칭되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 10a는 본 발명의 일 실시예에 따라, 캡 층이 밀봉되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 10b는 본 발명의 일 실시예에 따라, 캡 층이 밀봉되기 전에 IMD 제거와 함께 노출된 금속 인터커넥트들이 패시베이트되는 반도체 구조의 형성에서 작업을 나타낸 단면도이다.
도 11은 본 발명의 일 실시예에 따라, 다마신 금속 인터커넥트의 배리어 층이 형성되기 전에 유전체 라이너가 증착되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 12는 본 발명의 일 실시예에 따라, 유전체 라이너가 다마신 금속 인터커넥트의 배리어 층에 인접하게 스페이서 측벽들을 형성하는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 13은 본 발명의 일 실시예에 따라, 금속간 유전체(IMD)가 캡 층 및 보호 측벽들에 선택적으로 에칭되는 반도체 구조의 형성에서 작업들을 나타낸 단면도이다.
도 14는 본 발명의 일 실시예에 따라, 캡 층이 밀봉되는 반도체 구조의 형성 에서 작업들을 나타낸 단면도이다.

Claims (15)

  1. 필름을 패터닝하기 위한 방법으로서,
    기판 위에 비정질 탄소 마스크를 형성하는 단계로서, 상기 기판은,
    교번적인 금속간 유전체(inter-metal dielectric; IMD) 및 금속 인터커넥트를 포함하는 다마신 층(damascene layer); 및
    상기 비정질 탄소 마스크 아래의 그리고 상기 다마신 층 위의 캡 층을 포함하는, 상기 비정질 탄소 마스크를 형성하는 단계;
    상기 비정질 탄소 마스크 위에 스페이서 층을 증착시키는 단계;
    스페이서를 형성하고 상기 비정질 탄소 마스크를 노출시키도록 상기 스페이서 층을 에칭하는 단계;
    기판 층을 노출시키도록 상기 스페이서에 대해서 선택적으로 상기 비정질 탄소 마스크를 제거하는 단계;
    상기 기판 층을 덮되 상기 스페이서를 노출시키도록, 상기 스페이서 주위로 갭 충진 층을 증착시키는 단계;
    상기 기판 위에 갭 충진 마스크를 형성하도록 상기 갭 충진 층에 대해 선택적으로 상기 스페이서를 제거하는 단계; 및
    상기 기판으로 상기 갭 충진 마스크의 패턴을 전달하는 단계로서,
    상기 갭 충진 마스크에 대해서 선택적으로 상기 캡 층을 에칭함으로써 상기 캡 층을 패터닝하는 단계; 및
    상기 패터닝된 캡 층을 언더컷(undercut)하도록 상기 패터닝된 캡 층에 대해서 선택적으로 상기 다마신 층으로부터 상기 IMD의 적어도 일부를 에칭하는 단계를 더 포함하는, 상기 갭 충진 마스크의 패턴을 전달하는 단계를 포함하는,
    필름을 패터닝하기 위한 방법.
  2. 삭제
  3. 제 1항에 있어서,
    상기 스페이서 층은 실리콘 질화물을 포함하고;
    상기 갭 충진 층은 이산화규소를 포함하며;
    상기 캡 층은 실리콘 탄소 질화물(silicon carbon nitride)을 포함하고; 그리고
    상기 IMD는 비정질 탄소, 탄소-도핑된 이산화규소, 또는 폴리머 유전체(polymer dielectrics)들 중 적어도 하나를 포함하는,
    필름을 패터닝하기 위한 방법.
  4. 삭제
  5. 제 1항에 있어서,
    상기 캡 층은 상기 갭 충진 층에 대해 선택적으로 상기 스페이서를 제거하도록 이용된 것과 동일한 에칭 프로세스로 에칭되는,
    필름을 패터닝하기 위한 방법.
  6. 제 1항에 있어서,
    상기 IMD를 에칭하는 단계는 또한 상기 캡 층을 노출시키도록 상기 갭 충진 마스크를 제거하는,
    필름을 패터닝하기 위한 방법.
  7. 제 1항에 있어서,
    상기 IMD가 제거된 에어 갭을 밀봉하도록 그리고 상기 패터닝된 캡 층의 개구(opening)들을 폐쇄하도록 유전체를 컨포멀하게(conformally) 증착시키는 단계를 더 포함하는,
    필름을 패터닝하기 위한 방법.
  8. 제 7항에 있어서,
    상기 패터닝된 캡 층은 실리콘 탄소 질화물을 포함하는 층으로 밀봉되는,
    필름을 패터닝하기 위한 방법.
  9. 제 7항에 있어서,
    상기 에어 갭을 밀봉하기 이전에, 상기 IMD의 제거로 노출된 상기 금속 인터커넥트의 측벽들 상에 패시베이션 층(passivation layer)을 컨포멀하게 증착시키는 단계를 더 포함하고,
    상기 캡 층은 실리콘 탄소 질화물, 실리콘 질화물, 및 실리콘 탄화물로 이루어진 그룹으로부터 선택된 적어도 하나의 재료를 포함하는,
    필름을 패터닝하기 위한 방법.
  10. 제 1항에 있어서,
    상기 패터닝된 캡 층을 언더컷하도록 상기 다마신 층으로부터 상기 IMD의 적어도 일부를 에칭하는 단계는,
    상기 다마신 층의 금속 인터커넥트의 측벽에 인접한 유전체 라이너에 대해 선택적으로 상기 IMD를 에칭하는 단계를 더 포함하고,
    상기 유전체 라이너는 실리콘 탄소 질화물, 실리콘 질화물, 및 실리콘 탄화물로 이루어진 그룹으로부터 선택된 적어도 하나의 재료를 포함하는,
    필름을 패터닝하기 위한 방법.
  11. 제 1항에 있어서,
    상기 IMD를 에칭하는 단계는 H2, NH3, 또는 성형 가스(forming gas) 중 적어도 하나를 이용하는 건식 에칭 프로세스를 포함하는,
    필름을 패터닝하기 위한 방법.
  12. 제 1항에 있어서,
    상기 IMD를 에칭하는 단계는 HF 또는 IPA를 포함하는 습식 에칭 프로세스를 포함하는,
    필름을 패터닝하기 위한 방법.
  13. 다마신 구조에서 에어 갭들을 형성하기 위한 방법으로서,
    라인 폭보다 긴 라인 길이를 각각 갖는 두 개의 금속 인터커넥트 라인들 사이의 금속간 유전체(IMD)를 포함하는 다마신 층을 형성하는 단계;
    상기 다마신 층 위에 캡 층을 형성하는 단계;
    상기 캡 층 위에 라인을 정의하는 비정질 탄소 마스크를 형성하는 단계 ― 비정질 탄소 마스크 라인은 폭보다 긴 길이를 갖고 두 개의 금속 인터커넥트 라인들의 길이에 평행하지 않은 길이를 가짐 ―;
    상기 비정질 탄소 마스크 라인의 대향 측벽들 상에 스페이서를 형성하는 단계;
    스페이서들의 쌍을 형성하도록 상기 스페이서에 대해 선택적으로 상기 비정질 탄소 마스크 라인을 제거하는 단계 ― 각각의 스페이서는 폭보다 긴 길이를 가지며 상기 두 개의 금속 인터커넥트 라인들의 길이에 평행하지 않은 길이를 가짐 ―;
    상기 캡 층을 덮되 상기 스페이서들을 노출시키도록 상기 스페이서들의 쌍 주위로 갭 충진 층을 증착시키는 단계;
    채널 폭보다 긴 길이를 갖는 채널을 구비한 갭 충진 마스크를 형성하도록 상기 갭 충진 층에 대해 선택적으로 상기 스페이서들의 쌍을 제거하는 단계 ― 상기 채널의 길이는 기판 위의 상기 두 개의 금속 인터커넥트 라인들의 길이에 평행하지 않음 ―;
    상기 두 개의 금속 인터커넥트 라인들 사이에서 상기 IMD를 노출시키도록 상기 캡 층으로 상기 채널을 전달하는 단계; 및
    상기 두 개의 금속 인터커넥트 라인들 사이로부터 상기 IMD의 적어도 일부를 제거하고 상기 캡 층을 언더컷하여 에어 갭을 형성하는 단계를 포함하는,
    다마신 구조에서 에어 갭들을 형성하기 위한 방법.
  14. 제 13항에 있어서,
    상기 두 개의 금속 인터커넥트 라인들은 65 nm 이하로 이격되고, 상기 채널은 이 이격 공간의 길이에 대해 직교하는 캡 층으로 전달되는,
    다마신 구조에서 에어 갭들을 형성하기 위한 방법.
  15. 제 13항에 있어서,
    상기 캡 층은 5 nm 내지 50 nm의 두께를 가진 실리콘 탄소 질화물을 포함하고,
    상기 스페이서를 형성하는 스페이서 층은 10 nm 내지 50 nm의 두께를 가지며, 실리콘 질화물, 비정질 실리콘 또는 다결정질 실리콘 중 적어도 하나를 포함하며, 그리고
    상기 갭 충진 층은 이산화규소 또는 탄소-도핑된 실리콘 산화물 중 적어도 하나를 포함하는,
    다마신 구조에서 에어 갭들을 형성하기 위한 방법.
KR1020090053576A 2008-06-16 2009-06-16 패터닝 캡을 이용한 에어 갭 형성 및 집적 KR101631294B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US6196408P 2008-06-16 2008-06-16
US61/061,964 2008-06-16
US12/336,884 US7811924B2 (en) 2008-06-16 2008-12-17 Air gap formation and integration using a patterning cap
US12/336,884 2008-12-17

Publications (2)

Publication Number Publication Date
KR20090130836A KR20090130836A (ko) 2009-12-24
KR101631294B1 true KR101631294B1 (ko) 2016-06-17

Family

ID=41413984

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090053576A KR101631294B1 (ko) 2008-06-16 2009-06-16 패터닝 캡을 이용한 에어 갭 형성 및 집적

Country Status (4)

Country Link
US (1) US7811924B2 (ko)
JP (1) JP5730471B2 (ko)
KR (1) KR101631294B1 (ko)
TW (1) TWI485806B (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100782487B1 (ko) * 2006-08-21 2007-12-05 삼성전자주식회사 보이드 한정 구조체들, 상기 보이드 한정 구조체들을가지는 반도체 장치들 및 그들의 형성방법들
US7973409B2 (en) 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US20100051578A1 (en) * 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
TW201011861A (en) * 2008-09-04 2010-03-16 Nanya Technology Corp Method for fabricating integrated circuit
KR101085721B1 (ko) * 2009-02-10 2011-11-21 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
JP5608363B2 (ja) * 2009-12-25 2014-10-15 ピーエスフォー ルクスコ エスエイアールエル マスクの製造方法、及び半導体装置の製造方法
US8399350B2 (en) * 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8241992B2 (en) 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
DE102010029760B4 (de) * 2010-06-07 2019-02-21 Robert Bosch Gmbh Bauelement mit einer Durchkontaktierung und Verfahren zu seiner Herstellung
US8030202B1 (en) 2010-12-10 2011-10-04 International Business Machines Corporation Temporary etchable liner for forming air gap
US8815102B2 (en) * 2012-03-23 2014-08-26 United Microelectronics Corporation Method for fabricating patterned dichroic film
US8603889B2 (en) 2012-03-30 2013-12-10 International Business Machines Corporation Integrated circuit structure having air-gap trench isolation and related design structure
US9711392B2 (en) 2012-07-25 2017-07-18 Infineon Technologies Ag Field emission devices and methods of making thereof
US9082770B2 (en) 2012-10-24 2015-07-14 Taiwan Semiconductor Manufacturing Company Limited Damascene gap structure
US9337314B2 (en) * 2012-12-12 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Technique for selectively processing three dimensional device
US9054164B1 (en) * 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US9305835B2 (en) 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
CN110444509A (zh) * 2014-04-01 2019-11-12 应用材料公司 整合式金属间隔垫与气隙互连
KR102168172B1 (ko) 2014-05-23 2020-10-20 삼성전자주식회사 반도체 소자의 제조 방법
US9679852B2 (en) * 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9484250B2 (en) 2015-03-10 2016-11-01 International Business Machines Corporation Air gap contact formation for reducing parasitic capacitance
CN106033741B (zh) 2015-03-20 2020-09-15 联华电子股份有限公司 金属内连线结构及其制作方法
US9567207B2 (en) * 2015-05-15 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Recess with tapered sidewalls for hermetic seal in MEMS devices
WO2017052536A1 (en) * 2015-09-23 2017-03-30 Intel Corporation Maskless air gap to prevent via punch through
KR102334736B1 (ko) * 2015-12-03 2021-12-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9553019B1 (en) * 2016-04-15 2017-01-24 International Business Machines Corporation Airgap protection layer for via alignment
KR102482369B1 (ko) 2016-07-06 2022-12-29 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102655189B1 (ko) 2016-09-30 2024-04-04 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN107680953B (zh) * 2017-11-09 2023-12-08 长鑫存储技术有限公司 金属内连线的互连结构及其形成方法、半导体器件
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US11264272B2 (en) 2018-01-11 2022-03-01 Sony Semiconductor Solutions Corporation Semiconductor device and method for manufacturing the same, and electronic apparatus
US11469189B2 (en) * 2018-06-29 2022-10-11 Intel Corporation Inductor and transmission line with air gap
US11315787B2 (en) 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes
CN110391179A (zh) * 2019-08-07 2019-10-29 德淮半导体有限公司 半导体结构及其形成方法
US11145540B2 (en) * 2019-08-08 2021-10-12 Nanya Technology Corporation Semiconductor structure having air gap dielectric and the method of preparing the same
US10957760B2 (en) 2019-08-14 2021-03-23 Nanya Technology Corporation Semiconductor structure having air gap dielectric and method of preparing the same
CN113013141A (zh) * 2019-12-18 2021-06-22 台湾积体电路制造股份有限公司 半导体结构
US11882770B2 (en) * 2020-12-10 2024-01-23 International Business Machines Corporation Area-selective deposition of metal nitride to fabricate devices
US11521926B2 (en) 2021-03-10 2022-12-06 Nanya Technology Corporation Semiconductor device structure with serpentine conductive feature and method for forming the same
US11984351B2 (en) 2021-04-13 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cavity in metal interconnect structure
CN113611655A (zh) * 2021-06-11 2021-11-05 联芯集成电路制造(厦门)有限公司 半导体结构及其制作方法
US20230068892A1 (en) * 2021-08-26 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier & air-gap scheme for high performance interconnects
US11923243B2 (en) * 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having air gaps and method for manufacturing the same
US11923306B2 (en) * 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having air gaps and method for manufacturing the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006019401A (ja) * 2004-06-30 2006-01-19 Renesas Technology Corp 半導体装置及びその製造方法
WO2008008338A2 (en) * 2006-07-10 2008-01-17 Micron Technology Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2962272B2 (ja) * 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
JP2971454B2 (ja) * 1997-08-21 1999-11-08 松下電子工業株式会社 半導体装置とその製造方法
US7575992B2 (en) * 2005-09-14 2009-08-18 Hynix Semiconductor Inc. Method of forming micro patterns in semiconductor devices
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
KR20070106277A (ko) * 2006-04-28 2007-11-01 삼성전자주식회사 피치 감소 방법
JP2008108788A (ja) * 2006-10-23 2008-05-08 Toshiba Corp 半導体装置の製造方法
US20090001045A1 (en) * 2007-06-27 2009-01-01 International Business Machines Corporation Methods of patterning self-assembly nano-structure and forming porous dielectric
US7943480B2 (en) * 2008-02-12 2011-05-17 International Business Machines Corporation Sub-lithographic dimensioned air gap formation and related structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006019401A (ja) * 2004-06-30 2006-01-19 Renesas Technology Corp 半導体装置及びその製造方法
WO2008008338A2 (en) * 2006-07-10 2008-01-17 Micron Technology Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same

Also Published As

Publication number Publication date
US20090309230A1 (en) 2009-12-17
TW201011863A (en) 2010-03-16
KR20090130836A (ko) 2009-12-24
US7811924B2 (en) 2010-10-12
JP2009302545A (ja) 2009-12-24
TWI485806B (zh) 2015-05-21
JP5730471B2 (ja) 2015-06-10

Similar Documents

Publication Publication Date Title
KR101631294B1 (ko) 패터닝 캡을 이용한 에어 갭 형성 및 집적
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6806203B2 (en) Method of forming a dual damascene structure using an amorphous silicon hard mask
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
KR100413908B1 (ko) 반도체 물질 상의 유전체층 보호 방법, 상호 접속 구조체 형성 방법 및 이중 대머신 상호 접속 구조체 형성 방법
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
KR100487948B1 (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
TWI645506B (zh) 形成具有氣隙之半導體元件的方法
US8093150B2 (en) Methods of manufacturing semiconductor devices and structures thereof
US6358839B1 (en) Solution to black diamond film delamination problem
US7790601B1 (en) Forming interconnects with air gaps
JP2009302545A5 (ko)
US7129159B2 (en) Integrated dual damascene RIE process with organic patterning layer
US7436009B2 (en) Via structures and trench structures and dual damascene structures
US20050255687A1 (en) Plasma treatment for silicon-based dielectrics
JPH10116904A (ja) 半導体装置の製造方法
TW202213456A (zh) 半導體結構的製作方法
CN113594089A (zh) 半导体装置的形成方法及其用于制造集成电路的方法
JP4085648B2 (ja) 半導体装置の製造方法
US20220367251A1 (en) Semiconductor Devices and Methods of Manufacture
KR20010058563A (ko) 쌍상감법을 이용한 반도체 메모리 소자의 패턴 형성 방법
JP2005353633A (ja) 半導体装置の製造方法および半導体装置
KR20010109958A (ko) 반도체 소자의 구리 배선을 위한 비아홀 형성 방법
JP2006294965A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant