TWI481033B - 半導體裝置及其製造方法及鰭式場效電晶體裝置 - Google Patents

半導體裝置及其製造方法及鰭式場效電晶體裝置 Download PDF

Info

Publication number
TWI481033B
TWI481033B TW100130882A TW100130882A TWI481033B TW I481033 B TWI481033 B TW I481033B TW 100130882 A TW100130882 A TW 100130882A TW 100130882 A TW100130882 A TW 100130882A TW I481033 B TWI481033 B TW I481033B
Authority
TW
Taiwan
Prior art keywords
region
gate
doping concentration
fin structure
fin
Prior art date
Application number
TW100130882A
Other languages
English (en)
Other versions
TW201232780A (en
Inventor
Ken-Ichi Goto
Zhiqiang Wu
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201232780A publication Critical patent/TW201232780A/zh
Application granted granted Critical
Publication of TWI481033B publication Critical patent/TWI481033B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/68Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving proteins, peptides or amino acids
    • G01N33/6893Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving proteins, peptides or amino acids related to diseases not provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7857Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET of the accumulation type

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Hematology (AREA)
  • Molecular Biology (AREA)
  • Biomedical Technology (AREA)
  • Chemical & Material Sciences (AREA)
  • Urology & Nephrology (AREA)
  • Immunology (AREA)
  • Medicinal Chemistry (AREA)
  • Biochemistry (AREA)
  • Proteomics, Peptides & Aminoacids (AREA)
  • Cell Biology (AREA)
  • Food Science & Technology (AREA)
  • Biotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Microbiology (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

半導體裝置及其製造方法及鰭式場效電晶體裝置
本發明係有關一種半導體裝置的製造方法,特別是一種鰭式場效電晶體裝置的製造方法。
為追求更高的裝置密度、較高的性能及較低的花費,半導體產業已進展至奈米科技製程節點。在進展的同時,製造及設計中的問題所造成的挑戰,導致了立體設計的發展,例如鰭式場效電晶體(finFET)裝置。常見的鰭式場效電晶體裝置是以一從基板延伸的薄鰭(或類似鰭的構造)來製作。鰭通常包括矽且形成電晶體裝置的主體。電晶體的通道形成於此垂直的鰭當中。提供一閘極於鰭上方(例如包圍鰭)。此類的閘極可提供較好的通道控制。鰭式場效電晶體裝置的其他優點包括減少的短通道效應及較高的電流。然而,對於傳統的鰭式場效電晶體裝置,高寄生電阻(parasitic resistance)可對鰭式場效電晶體裝置的汲極電流量造成不良影響。
因此,雖然目前製造鰭式場效電晶體裝置的方法對於預想的意圖來說通常都適當,但仍不能在各方面都令人滿意。
本發明係有關一種半導體裝置,包括:一半導體層,設置於一基板上方,其中該半導體層具有一鰭結構;一閘極結構,設置於該鰭結構上方,該閘極結構具有一閘極介電層及一閘極電極層,其中該閘極結構包圍該鰭結構的一部分;及源/汲極區域,設置於該鰭結構之中;其中一橫跨該鰭結構的摻雜輪廓為不均勻的,且其中該鰭結構被該閘極結構包圍的該部分之一第一區域比該鰭結構的其餘部分具有一較低的摻雜濃度。
本發明亦有關一種半導體裝置的製造方法,包括:形成一半導體層於一基板上;圖案化該半導體層使其成為一鰭結構;形成一閘極介電層及一閘極電極層於該鰭結構上方;圖案化該閘極介電層及該閘極電極層以形成一閘極結構,形成方式為使該閘極結構包圍該鰭結構的一部分;及實施複數個植入製程以在該鰭結構中形成源/汲極區域,該複數個植入製程的實施方法為使一橫跨該鰭結構的摻雜輪廓為不均勻的,且其中該鰭結構被該閘極結構包圍的該部分之一第一區域比該鰭結構的其餘部分具有一較低的摻雜濃度。
本發明更有關一種鰭式場效電晶體裝置,包括:一鰭結構,形成於一基板上方,該基板包括下列其中之一:一矽材料及一絕緣材料;一閘極,其形成方式為至少部分包圍該鰭結構的一段;及源/汲極區域,形成於該鰭結構之中;其中:該鰭結構包括一第一部分、一第二部分及一第三部分;該第一部分完全被該閘極包圍;該第二部分至少部分被該閘極包圍且起比該第一部分具有一較高的摻雜濃度;及該第三部分不被該閘極包圍且比起該第二部分具有一較高的摻雜濃度。
可理解的是,以下的揭露提供許多不同的實施例或範例以實施本發明不同的特徵。將在以下敘述元件及設置的特定範例以簡化本揭露,但僅為範例且不據限定性。例如在以下敘述中,第一特徵於第二特徵上或上方之形成可包括具有額外特徵形成於第一、第二特徵之間的實施例,使第一、第二特徵可不直接接觸。另外,本揭露可在各類範例中重複元件編號及/或字母。如此的重複是為了簡明起見,且不表示所討論的各類實施例及/或設置之間有關係。再者,為簡明起見,各類特徵可被畫成任意尺寸。
根據於本發明不同層面,第1圖為鰭式場效電晶體裝置的製造方法10之流程圖。方法10始於步驟12,其中一半導體層形成於一基板上。方法10繼續進行步驟14,其中圖案化半導體層使其具有一鰭結構。方法10繼續進行步驟16,其中一閘極介電層及一閘極電極層形成於鰭結構上方。方法10繼續進行步驟18,其中圖案化閘極介電層及閘極電極層使閘極結構包圍鰭結構的一部分。方法10繼續進行步驟20,其中實施複數個植入製程以形成源/汲極區域於鰭結構中、閘極結構的任一側。複數個植入製程的實施方式使得橫跨鰭結構的摻雜輪廓(doping profile)為不均勻(non-uniform)的。比起鰭結構的其餘部分,位於閘極結構正下方的鰭結構的一部分具有一較低的摻雜濃度。
鰭式場效電晶體裝置的使用在半導體產業越來越受歡迎。參見第2圖,其為一範例鰭式場效電晶體裝置50的透視示意圖。鰭式場效電晶體裝置50為一建於基板上的非平面多閘極電晶體(non-planar multi-gate transistor)。一類似鰭的薄矽結構(稱為鰭)形成鰭式場效電晶體裝置50的主體。鰭式場效電晶體裝置50的閘極60包圍此鰭。Lg表示閘極60的一長度(或寬度,視其觀點而定)。鰭式場效電晶體裝置50的源極70及汲極80形成在鰭狀物位於閘極60兩側的延伸部分。鰭本身當作一通道。鰭式場效電晶體裝置50有效的通道長度取決於鰭的大小。
相較於傳統的金氧半場效電晶體(也被稱作平面裝置),鰭式場效電晶體裝置提供數個優點。這些優點可包括較高的晶片面積效率、增進的載子流動性及可使用與平面裝置製程相容的製程。因此,較佳可在積體電路晶片的設計中,於整個或一部分的積體電路晶片使用鰭式場效電晶體裝置。
然而,傳統鰭式場效電晶體裝置可具有均勻的通道輪廓並且因此可具有高寄生電阻的問題,其可對汲極80電流大小造成不良影響。在此,本發明的各層面包括形成一具有不均勻通道輪廓及因此降低的寄生電阻。因此,根據本發明製造的鰭式場效電晶體裝置具有增進的汲極電流。以下的示意圖顯示一鰭式場效電晶體裝置在製程中不同階段之各類剖面圖及上視圖。為清楚說明起見,第2圖所示的立體軸X、Y、Z對應於之後示意圖的軸。X、Y、Z軸也可分別被稱為X、Y、Z方向。
參見第3A、3B、3C圖,第3A圖為一鰭式場效電晶體裝置100在Y-Z平面的剖面示意圖,第3B圖為一鰭式場效電晶體裝置100在X-Z平面的剖面示意圖,第3C圖為一鰭式場效電晶體裝置100在X-Y平面的上視示意圖。鰭式場效電晶體裝置100具有基板110。在一實施例中,基板110具有一介電材料,例如二氧化矽。基板110具有厚度120。在一實施例中,厚度120約為4-30 nm。
半導體層130形成於基板110上。在一實施例中,半導體層130具有一結晶矽材料。應理解的是,在其他實施例中,半導體層130可包括其他合適材料。實施一植入製程140於半導體層130上以植入複數個摻雜離子至半導體層130。在一實施例中,摻雜離子包括一n型材料,例如As或P。在實施植入製程140後,摻雜濃度約為1x1017 至5x109 離子/立方公分(ions/cm3 )。在其他實施例中,摻雜離子可包括一p型材料,例如B,且摻雜濃度可為不同。
參見第4A-4C圖,圖案化半導體層130形成一鰭結構150。鰭結構150以伸長的形狀沿著X方向延伸,如第4B、4C圖所示。如前述,鰭結構150當作鰭式場效電晶體裝置100的導電通道。鰭結構150在Y方向上具有一鰭寬度160。在一實施例中,鰭寬度160約為2-15 nm。
參見第5A-5C圖,形成閘極介電層170於鰭結構150周圍,而閘極電極層180形成於閘極介電層170之上。閘極介電層170及閘極電極層180可個別利用一本技藝已知製程例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、上述組合或另一合適製程來形成。在一實施例中,閘極介電層170包括一HfO2 材料且具有約為1-3 nm的厚度(以Z方向測量)。在一實施例中,閘極電極層180包括一TiN材料且具有約為1-20 nm的厚度(以Z方向測量)。
參見第6A-6C圖,圖案化閘極電極層180及閘極介電層170以形成一閘極結構200。閘極結構200以伸長的形狀沿著Y方向延伸,如第6A、6C圖所示。閘極結構200包圍鰭結構150的一部分。根據於一實施例,鰭結構150被閘極結構200包圍的部分構成一導電通道區域205。閘極結構200在X方向具有一寬度210,如第6A、6C圖所示。在一實施例中,寬度210約為2-15 nm。
閘極結構200形成之後,實施一植入製程220以植入摻雜離子於鰭結構150(圖案化之半導體層130)位於閘極結構200任一側或兩側上的部分,由此形成源/汲極區域230、231。摻雜離子與植入製程140中使用的摻雜離子具有同樣的摻雜電性。舉例來說,在植入製程140使用n型摻雜離子的實施例中,植入製程220也同樣使用n型摻雜離子。在一實施例中,植入製程220比起植入製程140具有較高的摻雜濃度,且因此源/汲極區域230、231的摻雜濃度高於通道區域205(閘極結構200包圍的鰭結構150的部分)。在一實施例中,源/汲極區域230、231的摻雜濃度約為1x1018 -1x1020 離子/立方公分(ions/cm3 )。
參見第7A-7C圖,閘極間隙物240、241包圍閘極結構200的長邊形成。也就是說,閘極間隙物240、241以伸長的方式沿著Y方向延伸。源/汲極區域230、231可藉由在閘極結構200上沉積間隙物材料,且在之後於間隙物材料上實施一圖案化製程(例如一蝕刻製程)。間隙物材料可包括介電材料。在一實施例中,間隙物材料包括氧化矽或氮化矽。閘極間隙物240、241分別在X方向具有一寬度250。在一實施例中,寬度250約為2-20nm。
在形成閘極間隙物240、241之後,實施一植入製程260以植入摻雜離子於鰭結構150未被間隙物240、241或閘極結構200覆蓋的部分中。植入製程260為源/汲極區域230、231的形成製程中的一部分。摻雜離子具有與植入製程140、220中所使用之摻雜離子同樣的電性。舉例來說,在植入製程140、220使用n型摻雜離子的實施例中,植入製程260也同樣使用n型摻雜離子。在一實施例中,植入製程260比起植入製程220具有較高的摻雜濃度,且因此源/汲極區域230、231不位於閘極間隙物240、241下方的部分的摻雜濃度高於源/汲極區域230、231位於閘極間隙物240、241下方的部分的摻雜濃度。在一實施例中,源/汲極區域230、231不被閘極結構200或閘極間隙物240、241覆蓋的部分的摻雜濃度約為1x1020 -1x1021 離子/立方公分。
可理解的是,在另一實施例中,磊晶成長製程可取代植入製程260。再者,可接著實施一活化退火製程,其中可具有約為900-1050℃的溫度且少於約1秒。
根據上述討論,可知鰭結構150具有一不均勻的摻雜輪廓。因為上述各類的植入製程,摻雜濃度隨著更接近位於閘極結構200正下方的中心而下降(雖然不一定是 線性下降)。為提供一更清楚的說明,第8圖提供一更詳細的第7B圖的剖面示意圖(於X-Z平面)。
參見第8圖,鰭結構150包括三個分別標示為N0、N1、N2的區域。N0區域位於閘極結構200中心下方且具有最低的摻雜濃度。N1區域包括兩部分且位於N0區域的相反側。N1區域比N0區域具有較高的摻雜濃度。在一些實施例中,N1區域包括輕源/汲極(LDD)區域。N2區域包括兩部分且位於N1區域的相反側。N2區域比N0、N1區域具有較高的摻雜濃度。在一些實施例中,N2區域包括源/汲極(S/D)區域。應注意的是N0、N1、N2區域可不完全與閘極結構200的側壁或閘極間隙物240、241的邊角對齊。舉例來說,N2區域可延伸於閘極間隙物240、241下方,而N1區域可延伸於閘極介電層170下方。
N0區域具有一寬度270,N1區域具有一寬度280,而N1區域具有一與閘極結構200重疊的距離290。寬度270、280及距離290皆為在X方向測量所得。在一實施例中,寬度270約為閘極結構200的寬度210的1/4-7/8(同樣顯示於第6B、6C圖中)。在一實施例中,距離290約為閘極結構200的寬度210的1/16-3/8。可理解的是,重疊距離290與電晶體的臨界電壓Vt 相關。隨著距離290改變,臨界電壓Vt 也會改變。在這種方式下,臨界電壓Vt 為可調變的。
在一實施例中,N0區域的摻雜濃度小於約2x1018 離子/立方公分。在一實施例中,N1區域的摻雜濃度大於約1x1019 離子/立方公分。在一實施例中,N2區域的摻雜濃度大於約1x1020 離子/立方公分。
根據本揭露的各層面所實施的互補式金氧半導體裝置可在同樣的晶片上具有n-FET及p-FET。對於n-FET,閘極結構的功函數接近導電帶邊緣。對於p-FET,閘極結構的功函數接近價帶邊緣。
第9圖為鰭結構150不同區域的摻雜濃度變化圖表300。圖表300為一摻雜濃度(Y軸)相對於位置(X軸)的圖表。應注意的是,接下來圖式中摻雜濃度的Y軸將不同於之前討論的Y方向。測量摻雜濃度是以離子/立方公分為單位。測量位置是以奈米為單位。閘極結構200的中心(第8圖)具有位置0。閘極結構200中心的左邊區域具有負單位,而閘極結構200中心的右邊區域具有正單位。如圖表300所示,摻雜濃度隨著位置越來越靠近閘極結構200的中心而減少,且隨著遠離閘極結構200的中心而增加。
第9圖中也顯示了上述N0、N1、N2大致的邊界,並以虛線表示。在此所示的實施例中,可看到N0區域的摻雜濃度在三個區域中為最低的,且為7.3x1017 離子/立方公分或更低;N2區域的摻雜濃度在三個區域中為最高的,且為7.7x1019 離子/立方公分或更高;N1區域的摻雜濃度介於三個地區摻雜濃度的中間,且為7.3x1017 -7.7x1019 離子/立方公分。同樣地,第9圖顯示在此討論的鰭式場效電晶體裝置的不均勻的摻雜輪廓。
雖然摻雜濃度改變,摻雜離子電性在N0、N1、N2區域中皆相同。在一實施例中,N0、N1、N2區域皆為n型摻雜。在另一實施例中,N0、N1、N2區域皆為p型摻雜。
鰭式場效電晶體裝置的閘極長度Lg同樣顯示於第9圖中。在一實施例中,閘極長度Lg與閘極結構200的寬度210(如第8圖所示)相等。如第9圖所示,閘極長度Lg延伸超過N0區域且進入N1區域。此也與第8圖中所示的一致。
第3-8圖顯示一鰭式場效電晶體裝置的製造方法的流程圖,其利用一覆矽絕緣體(silicon-on-insulator,SOI)方法。第10-14圖為根據一替代實施例中製造的鰭式場效電晶體裝置100A各類剖面圖及上視圖,在此實施例中,包括利用一塊狀矽而非覆矽絕緣體方法。為求清楚一致,在第10-14圖中,與第3-8圖中相似的元件將具有與第3-8圖中相似元件一樣的元件標號。
參見第10A-10C圖,半導體層130形成於基板110上。在此,基板110A包括一摻雜矽材料(doped silicon material)而非一介電材料,例如一p型摻雜矽材料。基板110A的摻雜電性與半導體層130的摻雜電性相反。基板110A在一n-FET裝置中為一p型基板,而在一p-FET裝置中為一n型基板。
參見第11A-11C圖,圖案化半導體層130使其成為一伸長的鰭結構150。不同於先前第4圖所示之實施例,此圖案化製程也移除了基板110A的一部分,如第11A圖所示。在此之後,一絕緣材料400形成於鰭結構150兩側上基板110A被移除的部分的位置。絕緣材料400可包括一介電材料,例如一氧化矽材料。
參見第12A-12C圖,閘極電極層180及閘極介電層170形成於鰭結構150上方。參見第13A-13C圖,圖案化閘極電極層180及閘極介電層170以形成閘極結構200。閘極結構200包圍鰭結構150。在形成閘極結構200之後,實施一植入製程220以形成源/汲極區域230、231。參見第14A-14C圖,閘極間隙物240、241形成於閘極結構200長側的周圍。接著,實施植入製程260以進一步定義源/汲極區域230、231。可在之後實施一活化退火製程。如上述有關第3-8圖的實施例,第10-14圖所示的實施例也具有類似第9圖中所示的不均勻的摻雜輪廓。
第15圖包括數個圖表330-332,其為根據於本揭露各層面的功函數及通道劑量最佳化的說明示意圖。在一實施例中,一在Ion (通電電流或汲極電流)及Ioff (斷電電流或漏電流)之間的最佳化點(optimized point)具有一約為0至-2x1019 的相關通道劑量。
以下的表1列出本揭露的一些實施例與其他裝置之間的不同。這些其他裝置可包括傳統的鰭式場效電晶體裝置或傳統的無接面電晶體(junction-less transistors)及改良的無接面電晶體。可理解的是,表1的不同僅為範例且不具有限定性。可有額外的相異之處,但為了簡明起見,並未在表1列出。
根據表1,本揭露的一些實施例具有:
- 一n型功函數約為4.1-4.65 V;
- 一n型通道具有一低摻雜濃度;
- 一n型LDD區域,其比起通道具有一較高的摻雜濃度;及
- 一n型S/D區域,其比起LDD區域具有一較高的摻雜濃度。
任何其他裝置皆不具有以上性質的組合。舉例來說,傳統鰭式場效電晶體裝置具有一相反電性摻雜的通道,而傳統無接面電晶體具有比起在此的實施例較高的摻雜濃度,及一未被摻雜的LDD區域。可參見上面的表1以找到其他的相異之處。
第16圖為另一圖表350,其顯示根據於本發明實施例之裝置相對於上述表1所列出的其他裝置的Ion 及Ioff 的表現。圖表350包括複數個抽樣點,其中一些抽樣點表示本揭露之實施例的Ion 及Ioff 的表現,而其他抽樣點表示其他裝置Ion 及Ioff 的表現。舉例來說,抽樣點500及501代表本揭露的實施例,而抽樣點410及411代表其他裝置。可看到抽樣點500及501比起抽樣點410及411具有較好的Ion 及Ioff 表現。換句話說,抽樣點500及501具有好的Ion 電流且仍能維持低的漏電流(Ioff 電流)。
應理解的是,雖然上面討論的圖示僅顯示單個鰭式場效電晶體裝置,然而,可於單個晶圓或晶片上製造複數個類似的鰭式場效電晶體裝置。例如,一互補式金氧半導體包括n-FET裝置及p-FET裝置。n-FET裝置及p-FET裝置皆可以上述製程流程製造。在一實施例中,一n-FET裝置的閘極的功函數較接近一導電帶邊緣,而一p-FET裝置的閘極的功函數較接近一價帶邊緣。
在此敘述的本揭露的各實施例提供數個優點,應理解的是其他實施例可提供其他優點,而任一實施例並不一定要具有特定的優點。具有不均勻的橫跨鰭式場效電晶體裝置150的摻雜輪廓的一優點是降低的寄生電阻,因此比傳統裝置具有更高的汲極電流。在一些實施例中,汲極電流可增加至少20%,而在同時漏電流及通道劑量與傳統裝置相當。
本揭露更廣義的形式包括一種半導體裝置。半導體裝置包括:一半導體層,設置於一基板上方,其中半導體層具有一鰭結構;一閘極結構,設置於鰭結構上方,閘極結構具有一閘極介電層及一閘極電極層,其中閘極結構包圍鰭結構的一部分周圍;及源/汲極區域,設置於 鰭結構之中;其中一橫跨鰭結構的摻雜輪廓為不均勻的,且其中鰭結構被閘極結構包圍的部分之一第一區域比鰭結構的其餘部分具有一較低的摻雜濃度。
本揭露另一廣義的形式包括一鰭式場效電晶體裝置。鰭式場效電晶體裝置包括:一鰭結構,形成於一基板上方,基板包括下列其中之一:一矽材料及一絕緣材料;一閘極,其形成方式為至少部分包圍鰭結構的一段;及源/汲極區域,形成於鰭結構之中;其中:鰭結構包括一第一部分、一第二部分及一第三部分;第一部分完全被閘極包圍;該第二部分至少部分被閘極包圍且起比第一部分具有一較高的摻雜濃度;及第三部分不被閘極包圍且比起第二部分具有一較高的摻雜濃度。
而本發明又一廣義的形式包括一半導體裝置的製造方法。製造方法包括:形成一半導體層於一基板上;圖案化半導體層使其成為一鰭結構;形成一閘極介電層及一閘極電極層於鰭結構上方;圖案化閘極介電層及閘極電極層以形成一閘極結構,形成方式為使閘極結構包圍鰭結構的一部分;及實施複數個植入製程以在鰭結構中形成源/汲極區域,複數個植入製程的實施方法為使一橫跨鰭結構的摻雜輪廓為不均勻的,且其中鰭結構被閘極結構包圍的部分之一第一區域比鰭結構的其餘部分具有一較低的摻雜濃度。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更 動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10‧‧‧製造方法
12、14、16、18、20‧‧‧步驟
50、100‧‧‧鰭式場效電晶體裝置
60‧‧‧閘極
Lg‧‧‧閘極60的一長度
80‧‧‧汲極
X、Y、Z‧‧‧軸或方向
110、110A‧‧‧基板
120‧‧‧厚度
130‧‧‧半導體層
140、220、260‧‧‧植入製程
150‧‧‧鰭結構
160‧‧‧鰭寬度
170‧‧‧閘極介電層
180‧‧‧閘極電極層
200‧‧‧閘極結構
205‧‧‧導電通道區域
230、231‧‧‧源/汲極區域
240、241‧‧‧閘極間隙物
210、250、270、280‧‧‧寬度
290‧‧‧距離
N0、N1、N2‧‧‧區域
300、350‧‧‧圖表
400‧‧‧絕緣材料
500、501、410、411‧‧‧抽樣點
第1圖為根據於本揭露一些層面的一鰭式場效電晶體裝置的製造方法之流程圖。
第2圖為一範例鰭式場效電晶體裝置50的透視示意圖。
第3A~3C、4A~4C、5A~5C、6A~6C、7A~7C、8圖為根據於本揭露一實施例之鰭式場效電晶體裝置在不同製程階段的局部剖面圖及上視示意圖。
第9圖為一摻雜濃度相對於位置的圖表。
第10A~10C、11A~11C、12A~12C、13A~13C、14A~14C圖為根據於本揭露另一實施例之鰭式場效電晶體裝置在不同製程階段的局部剖面圖及上視示意圖。
第15A~15C圖包括數個說明功函數及通道劑量最佳化的圖表。
第16圖包括一根據於一實施例說明一裝置Ion 及Ioff 的表現的圖表。
Lg...閘極60的一長度
300...圖表
N0、N1、N2...區域
X、Y...軸或方向

Claims (7)

  1. 一種半導體裝置,包括:一半導體層,設置於一基板上方,其中該半導體層具有一鰭結構;一閘極結構,設置於該鰭結構上方,該閘極結構具有一閘極介電層及一閘極電極層,其中該閘極結構包圍該鰭結構的一部分;及源/汲極區域,設置於該鰭結構之中;其中一橫跨該鰭結構的摻雜輪廓為不均勻的,且其中該鰭結構被該閘極結構包圍的該部分之一第一區域比該鰭結構的其餘部分具有一較低的摻雜濃度,其中該鰭結構包括該第一區域、一第二區域及一第三區域,且其中:該第一區域具有一第一摻雜濃度;該第二區域鄰近該第一區域且部分被該閘極結構包圍且具有一比該第一摻雜濃度更高的第二摻雜濃度;及該第三部分鄰近該第二區域但不被該閘極結構包圍且具有一比該第二摻雜濃度更高的第三摻雜濃度,及其中該第一、第二及第三區域皆具有同樣的摻雜電性,其中該第一區域與該第二區域接觸,該第二區域與該第三區域接觸,及其中於該鰭結構內,該第二區域具有一均勻之第二摻雜濃度,其自該閘極結構下方側向延伸至該閘極結構之一側壁上之一閘極間隙物下方,該第三區域具有一均 勻之第三摻雜濃度,其自該閘極間隙物下方以遠離該閘極結構方向側向延伸超過該閘極間隙物之外緣。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該第一區域及該閘極結構分別具有於同方向延伸的第一及第二側面尺寸,且其中該第一側面尺寸約為該第二側面尺寸的1/4到7/8。
  3. 如申請專利範圍第1項所述之半導體裝置,其中該基板為(i)一絕緣基板;或(ii)一塊狀矽基板,且其中該塊狀矽基板及該半導體層具有相反摻雜電性。
  4. 一種鰭式場效電晶體裝置,包括:一鰭結構,形成於一基板上方,該基板包括下列其中之一:一矽材料及一絕緣材料;一閘極,其形成方式為至少部分包圍該鰭結構的一段;及源/汲極區域,形成於該鰭結構之中;其中:該鰭結構包括一第一部分、一第二部分及一第三部分;該第一部分完全被該閘極包圍;該第二部分至少部分被該閘極包圍且起比該第一部分具有一較高的摻雜濃度;及該第三部分不被該閘極包圍且比起該第二部分具有一較高的摻雜濃度,及其中該第一、第二及第三區域皆具有同樣的摻雜電性, 其中該第一區域與該第二區域接觸,該第二區域與該第三區域接觸,及其中於該鰭結構內,該第二區域具有一均勻之第二摻雜濃度,其自該閘極下方側向延伸至該閘極之一側壁上之一閘極間隙物下方,該第三區域具有一均勻之第三摻雜濃度,其自該閘極間隙物下方以遠離該閘極方向側向延伸超過該閘極間隙物之外緣。
  5. 如申請專利範圍第4項所述之鰭式場效電晶體裝置,其中:該第二部分設置於該第一部分及該第三部分之間。
  6. 一種半導體裝置的製造方法,包括:形成一半導體層於一基板上;圖案化該半導體層使其成為一鰭結構;形成一閘極介電層及一閘極電極層於該鰭結構上方;圖案化該閘極介電層及該閘極電極層以形成一閘極結構,形成方式為使該閘極結構包圍該鰭結構的一部分;及實施複數個植入製程以在該鰭結構中形成源/汲極區域,該複數個植入製程的實施方法為使一橫跨該鰭結構的摻雜輪廓為不均勻的,且其中該鰭結構被該閘極結構包圍的該部分之一第一區域比該鰭結構的其餘部分具有一較低的摻雜濃度,其中該鰭結構包括該第一區域、一第二區域及一第三區域,且其中: 該第一區域具有一第一摻雜濃度;該第二區域鄰近該第一區域且部分被該閘極結構包圍且具有一比該第一摻雜濃度更高的第二摻雜濃度;及該第三部分鄰近該第二區域但不被該閘極結構包圍且具有一比該第二摻雜濃度更高的第三摻雜濃度,及其中該第一、第二及第三區域皆具有同樣的摻雜電性,其中該第一區域與該第二區域接觸,該第二區域與該第三區域接觸,及其中於該鰭結構內,該第二區域具有一均勻之第二摻雜濃度,其自該閘極結構下方側向延伸至該閘極結構之一側壁上之一閘極間隙物下方,該第三區域具有一均勻之第三摻雜濃度,其自該閘極間隙物下方以遠離該閘極結構方向側向延伸超過該閘極間隙物之外緣。
  7. 如申請專利範圍第6項所述之半導體裝置的製造方法,其中該第一區域及該閘極結構各具有於同方向延伸的第一及第二側面尺寸,且其中該第一側面尺寸約為該第二側面尺寸的1/4到7/8。
TW100130882A 2011-01-21 2011-08-29 半導體裝置及其製造方法及鰭式場效電晶體裝置 TWI481033B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161434963P 2011-01-21 2011-01-21
US13/077,144 US8487378B2 (en) 2011-01-21 2011-03-31 Non-uniform channel junction-less transistor

Publications (2)

Publication Number Publication Date
TW201232780A TW201232780A (en) 2012-08-01
TWI481033B true TWI481033B (zh) 2015-04-11

Family

ID=46543554

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100130882A TWI481033B (zh) 2011-01-21 2011-08-29 半導體裝置及其製造方法及鰭式場效電晶體裝置

Country Status (3)

Country Link
US (3) US8487378B2 (zh)
CN (1) CN102610642B (zh)
TW (1) TWI481033B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI587404B (zh) * 2015-12-11 2017-06-11 上海新昇半導體科技有限公司 具有漂移區和漸變通道的高壓無接面場效應元件及其形成方法

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
KR20130126036A (ko) * 2012-05-10 2013-11-20 삼성전자주식회사 트랜지스터를 구비한 반도체 소자
US9368628B2 (en) * 2012-07-05 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8878251B2 (en) * 2012-10-17 2014-11-04 Seoul National University R&Db Foundation Silicon-compatible compound junctionless field effect transistor
US8984463B2 (en) 2012-11-28 2015-03-17 Qualcomm Incorporated Data transfer across power domains
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
CN102983171B (zh) * 2012-12-11 2015-10-28 哈尔滨工程大学 垂直无结环栅mosfet器件的结构及其制造方法
US8847311B2 (en) 2012-12-31 2014-09-30 Infineon Technologies Ag Semiconductor device and method of manufacturing a semiconductor device
CN103915342B (zh) * 2013-01-08 2016-08-31 中芯国际集成电路制造(上海)有限公司 无结晶体管和互补无结晶体管的形成方法
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9269709B2 (en) 2013-02-25 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. MOS transistor structure and method
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) * 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
CN104282558B (zh) * 2013-07-02 2021-06-04 中芯国际集成电路制造(上海)有限公司 一种无结纳米线FinFET及其制作方法
CN104517847B (zh) * 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
US9054191B2 (en) * 2013-10-17 2015-06-09 Globalfoundries Singapore Pte. Ltd. High ion and low sub-threshold swing tunneling transistor
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
CN104282752B (zh) * 2013-11-20 2017-05-24 沈阳工业大学 漏电极辅控l形栅型无结晶体管
KR102135303B1 (ko) 2013-12-27 2020-07-17 인텔 코포레이션 확산된 팁 연장 트랜지스터
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9331204B2 (en) * 2014-03-13 2016-05-03 Macronix International Co., Ltd. High voltage field effect transistors and circuits utilizing the same
CN105448718A (zh) * 2014-07-01 2016-03-30 中芯国际集成电路制造(上海)有限公司 无结场效应管及其制作方法
JP2017521664A (ja) * 2014-07-11 2017-08-03 エクスプレッション、パソロジー、インコーポレイテッドExpression Pathology, Inc. 腫瘍壊死因子レセプタースーパーファミリーメンバー8(cd30)タンパク質のためのsrm/mrmアッセイ
AU2015287550A1 (en) * 2014-07-11 2017-01-12 Expression Pathology, Inc. SRM/MRM assay for the Serine/Threonine-Protein Kinase B-raf (BRAF)
KR20170029531A (ko) * 2014-07-11 2017-03-15 익스프레션 패톨로지, 인크. GTPase KRas 단백질(KRas)에 대한 SRM/MRM 검정
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9245883B1 (en) 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9496402B2 (en) 2014-10-17 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate with silicon sidewall spacers
US9735256B2 (en) 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9490176B2 (en) 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
JP6547275B2 (ja) * 2014-10-29 2019-07-24 株式会社リコー 情報処理システム、情報処理装置、情報処理方法、及びプログラム
US9502542B2 (en) 2014-12-18 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET transistor with fin back biasing
US10269921B2 (en) 2014-12-22 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Fin field effect transistors having conformal oxide layers and methods of forming same
US9515188B2 (en) 2014-12-22 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors having conformal oxide layers and methods of forming same
US9431304B2 (en) 2014-12-22 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gates
US9601574B2 (en) 2014-12-29 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. V-shaped epitaxially formed semiconductor layer
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9564528B2 (en) 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9397099B1 (en) 2015-01-29 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a plurality of fins and method for fabricating the same
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9899489B2 (en) 2015-02-13 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9761440B2 (en) 2015-03-13 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation on indium-based materials
US9728598B2 (en) 2015-04-07 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having barrier layer to prevent impurity diffusion
US9496259B2 (en) 2015-04-14 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having fins with stronger structural strength
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US9761584B2 (en) * 2015-06-05 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Buried channel semiconductor device and method for manufacturing the same
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9773705B2 (en) 2015-06-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET channel on oxide structures and related methods
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US10050147B2 (en) 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9917189B2 (en) 2015-07-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for detecting presence and location of defects in a substrate
US9472669B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain
US9607838B1 (en) 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US10312075B2 (en) 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US9871114B2 (en) 2015-09-30 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10312077B2 (en) 2015-10-08 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming aluminum-containing dielectric layer
US10411019B2 (en) 2015-10-20 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell word line structure with reduced RC effects
US9831242B2 (en) 2015-10-28 2017-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570580B1 (en) 2015-10-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for FinFET
CN106684138A (zh) * 2015-11-06 2017-05-17 上海新昇半导体科技有限公司 高压无结场效应器件及其形成方法
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9728505B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structrues of novel contact feature
US9978868B2 (en) 2015-11-16 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance field effect transistor with charged dielectric material
US10008574B2 (en) 2015-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method of fabricating the same
US10163882B2 (en) 2015-12-16 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and layout thereof
US9735275B2 (en) 2015-12-18 2017-08-15 International Business Machines Corporation Channel replacement and bimodal doping scheme for bulk finFET threshold voltage modulation with reduced performance penalty
US9917017B2 (en) 2015-12-29 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for semiconductor devices
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US9653604B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10529803B2 (en) 2016-01-04 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial source/drain
US10062688B2 (en) 2016-01-04 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial source/drain
US9818846B2 (en) 2016-01-21 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively deposited spacer film for metal gate sidewall protection
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9865504B2 (en) 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI612674B (zh) 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
US9847425B2 (en) 2016-03-24 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with a semiconductor strip as a base
US9741821B1 (en) 2016-03-24 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step dummy gate formation
US9773792B1 (en) 2016-03-25 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programming cell
US10269793B2 (en) 2016-04-28 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions in fin field effect transistors (FinFETs) and methods of forming same
US9824969B1 (en) 2016-05-14 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and methods of forming the same
US10516051B2 (en) 2016-05-26 2019-12-24 Taiwan Semiconductor Manufacturing FinFET and method of fabrication thereof
US10283349B2 (en) 2016-05-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Single-crystal rare earth oxide grown on III-V compound
US10038094B2 (en) 2016-05-31 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure and methods thereof
US9679887B1 (en) 2016-06-02 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Over-voltage protection circuit
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9716096B1 (en) 2016-06-28 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with feature spacer and method for manufacturing the same
US9871115B1 (en) 2016-07-01 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Doped poly-silicon for polyCMP planarity improvement
US10276574B2 (en) 2016-07-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10790283B2 (en) 2016-07-15 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10453688B2 (en) 2016-08-31 2019-10-22 National Chiao Tung University Method of manufacturing a semiconductor device including a ternary alloy layer formed by a microwafe anneal process
US9947594B2 (en) 2016-09-19 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9922976B1 (en) 2016-09-22 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9799566B1 (en) 2016-09-22 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10741654B2 (en) 2016-11-17 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10134873B2 (en) 2016-11-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device gate structure and method of fabricating thereof
US10008603B2 (en) 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
US20180151716A1 (en) 2016-11-28 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10515818B2 (en) 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10211318B2 (en) 2016-11-29 2019-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10115639B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming the same
US10269569B2 (en) 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10460995B2 (en) 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10522650B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10164042B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10163626B2 (en) 2016-12-12 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and manufacturing method thereof
US10854757B2 (en) 2016-12-13 2020-12-01 Intel Corporation FINFET based junctionless wrap around structure
US10164063B2 (en) 2016-12-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with protection layer
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10147609B2 (en) 2016-12-15 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor epitaxy bordering isolation structure
US10020198B1 (en) 2016-12-15 2018-07-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having low-k spacer and method of manufacturing the same
US10830252B2 (en) 2017-01-27 2020-11-10 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10584739B2 (en) 2017-01-27 2020-03-10 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10731653B2 (en) 2017-01-27 2020-08-04 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10707331B2 (en) 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
US10629494B2 (en) 2017-06-26 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
WO2019006261A1 (en) * 2017-06-30 2019-01-03 University Of Pittsburgh-Of The Commonwealth System Of Higher Education METHODS OF PREDICTING AND DETERMINING REACTIVITY TO JNK KINASE ACTIVATORS
US10170555B1 (en) 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Intermetallic doping film with diffusion in source/drain
US10276690B2 (en) 2017-07-31 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10672886B2 (en) 2017-08-31 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high-k metal gate
US10236220B1 (en) 2017-08-31 2019-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10163640B1 (en) 2017-10-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gate isolation plugs structure and method
US10522344B2 (en) 2017-11-06 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with doped gate dielectrics
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10340384B2 (en) 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
WO2019132876A1 (en) * 2017-12-27 2019-07-04 Intel Corporation Finfet based capacitors and resistors and related apparatuses, systems, and methods
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10529572B2 (en) 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10529629B2 (en) 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gates
US10644125B2 (en) 2018-06-14 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates and manufacturing methods thereof
US10510861B1 (en) 2018-06-15 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gaseous spacer and methods of forming same
US10756087B2 (en) 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US11398477B2 (en) 2019-05-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10854603B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11355339B2 (en) 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US11101366B2 (en) 2018-07-31 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma oxide layer
US10868137B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10734227B2 (en) 2018-08-31 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10923565B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact air gap formation
US10867864B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10916477B2 (en) 2018-09-28 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor devices and methods of forming the same
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11088028B2 (en) 2018-11-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US10861746B2 (en) 2018-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
DE102019111297B4 (de) 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
CN111697072B (zh) * 2019-03-13 2023-12-12 联华电子股份有限公司 半导体结构及其制作工艺
US11127857B2 (en) 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11257921B2 (en) 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11205647B2 (en) 2019-06-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11380793B2 (en) 2019-07-31 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having hybrid work function layer stack
US11056395B2 (en) 2019-08-23 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor metal gate and method of manufacture
US11201084B2 (en) 2019-08-23 2021-12-14 Taiwan Semicondutor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11508735B2 (en) 2019-08-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell manufacturing
US11217672B2 (en) 2019-08-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain
US11756832B2 (en) 2019-09-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structures in semiconductor devices
DE102020100099A1 (de) 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gatestrukturen in halbleitervorrichtungen
US11522083B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11482421B2 (en) 2019-10-29 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device by a replacement gate process
US11362006B2 (en) 2019-10-29 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020115368A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11309403B2 (en) 2019-10-31 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11515403B2 (en) 2019-11-27 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11309190B2 (en) 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11430865B2 (en) 2020-01-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11239330B2 (en) 2020-02-07 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bipolar junction transistor with gate over terminals
US11398384B2 (en) 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer
US11227940B2 (en) 2020-02-27 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
CN111863967A (zh) * 2020-06-12 2020-10-30 西安电子科技大学 一种具有埋层结构的新型低阈值jlfet器件及其制备方法
US11437280B2 (en) 2020-06-12 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11296080B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions of semiconductor devices and methods of forming the same
US11855163B2 (en) 2020-06-23 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11532481B2 (en) 2020-06-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method of forming
CN114284334A (zh) * 2021-06-02 2022-04-05 青岛昇瑞光电科技有限公司 具有超结结构的高压无结FinFET器件及其制备方法
CN116825820A (zh) * 2022-07-26 2023-09-29 苏州大学 非均匀掺杂场效应晶体管器件
CN115312588A (zh) * 2022-08-12 2022-11-08 长鑫存储技术有限公司 半导体结构、半导体结构的制备方法和半导体存储器

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070132039A1 (en) * 2004-06-30 2007-06-14 International Business Machines Corporation Method and structure for strained FinFET devices
US7687859B2 (en) * 2007-09-07 2010-03-30 Infineon Technologies Ag Electronic circuit and method of manufacturing an electronic circuit
EP1586108B1 (en) * 2002-12-19 2010-09-15 International Business Machines Corporation Finfet sram cell using inverted finfet thin film transistors

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003075917A1 (en) * 2002-03-08 2003-09-18 Signal Pharmaceuticals, Inc. Combination therapy for treating, preventing or managing proliferative disorders and cancers
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7382019B2 (en) * 2005-04-26 2008-06-03 Fairchild Semiconductor Corporation Trench gate FETs with reduced gate to drain charge
US8431529B2 (en) * 2007-07-31 2013-04-30 Sanford-Burnham Medical Research Institute Bi-dentate compounds as kinase inhibitors
JP5554701B2 (ja) * 2008-05-29 2014-07-23 パナソニック株式会社 半導体装置
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1586108B1 (en) * 2002-12-19 2010-09-15 International Business Machines Corporation Finfet sram cell using inverted finfet thin film transistors
US20070132039A1 (en) * 2004-06-30 2007-06-14 International Business Machines Corporation Method and structure for strained FinFET devices
US7687859B2 (en) * 2007-09-07 2010-03-30 Infineon Technologies Ag Electronic circuit and method of manufacturing an electronic circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI587404B (zh) * 2015-12-11 2017-06-11 上海新昇半導體科技有限公司 具有漂移區和漸變通道的高壓無接面場效應元件及其形成方法

Also Published As

Publication number Publication date
CN102610642B (zh) 2016-03-30
US20140011748A1 (en) 2014-01-09
US20120187486A1 (en) 2012-07-26
CN102610642A (zh) 2012-07-25
US8916444B2 (en) 2014-12-23
US8487378B2 (en) 2013-07-16
US20140024182A1 (en) 2014-01-23
TW201232780A (en) 2012-08-01

Similar Documents

Publication Publication Date Title
TWI481033B (zh) 半導體裝置及其製造方法及鰭式場效電晶體裝置
US10164099B2 (en) Device with diffusion blocking layer in source/drain region
US9472615B2 (en) Super junction LDMOS finFET devices
US8853008B1 (en) Counter-doped low-power FinFET
US8889500B1 (en) Methods of forming stressed fin channel structures for FinFET semiconductor devices
US10957784B2 (en) Semiconductor device and manufacturing method thereof
Zhang et al. FOI FinFET with ultra-low parasitic resistance enabled by fully metallic source and drain formation on isolated bulk-fin
TWI613830B (zh) 穿隧式場效電晶體及製造此種電晶體之方法
JP2006505950A (ja) 分離した複数のゲートを有するダブルゲート半導体デバイス
US9202919B1 (en) FinFETs and techniques for controlling source and drain junction profiles in finFETs
JP5925740B2 (ja) トンネル電界効果トランジスタ
KR20140050700A (ko) 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US20130032883A1 (en) Fabrication of field-effect transistors with atomic layer doping
US10553494B2 (en) Breakdown resistant semiconductor apparatus and method of making same
US20200098862A1 (en) Metal source/drain-based mosfet and method for fabricating the same
US9425289B2 (en) Methods of forming alternative channel materials on FinFET semiconductor devices
US9099495B1 (en) Formation of high quality fin in 3D structure by way of two-step implantation
TWI715709B (zh) 半導體結構及其製造方法
US9117930B2 (en) Methods of forming stressed fin channel structures for FinFET semiconductor devices
US9478542B1 (en) Multi-gate device with planar channel
US9502507B1 (en) Methods of forming strained channel regions on FinFET devices
KR20210049121A (ko) 수평 gaa 나노-와이어 및 나노-슬래브 트랜지스터들
US20210135006A1 (en) Lateral double-diffused metal-oxide-semiconductor (ldmos) fin field effect transistor with enhanced capabilities
Mishra et al. Simulation of n-FinFET performance reliance on varying combinations of gate material and oxide