CN102610642A - 非均匀沟道无结晶体管 - Google Patents

非均匀沟道无结晶体管 Download PDF

Info

Publication number
CN102610642A
CN102610642A CN2011102992760A CN201110299276A CN102610642A CN 102610642 A CN102610642 A CN 102610642A CN 2011102992760 A CN2011102992760 A CN 2011102992760A CN 201110299276 A CN201110299276 A CN 201110299276A CN 102610642 A CN102610642 A CN 102610642A
Authority
CN
China
Prior art keywords
fin structure
grid
doping content
area
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011102992760A
Other languages
English (en)
Other versions
CN102610642B (zh
Inventor
后藤贤一
吴志强
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102610642A publication Critical patent/CN102610642A/zh
Application granted granted Critical
Publication of CN102610642B publication Critical patent/CN102610642B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/68Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving proteins, peptides or amino acids
    • G01N33/6893Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving proteins, peptides or amino acids related to diseases not provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7857Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET of the accumulation type

Abstract

本发明公开了一种在基板上形成半导体层的方法。该方法包括将半导体层图案化成鳍状结构。该方法包括在鳍状结构上方形成栅极介电层和栅电极层。该方法包括图案化栅极介电层和栅电极层,从而使得所形成的栅极结构包围鳍状结构的部分。该方法包括实施多个注入工艺,从而在鳍状结构中形成源极/漏极区域。实施多个注入工艺,从而使得鳍状结构中的掺杂轮廓是非均匀的,并且被栅极结构包围的鳍状结构的部分的第一区域具有比该鳍状结构的其他区域更轻的掺杂浓度级别。本发明还提供了一种非均匀沟道无结晶体管。

Description

非均匀沟道无结晶体管
优先权信息
本申请要求于2011年1月21日提交的申请序号为61/434,963,标题为“非均匀沟道无结晶体管”的优先权,该申请的整个公开在此都包含在参考文件中。
技术领域
本发明涉及半导体领域,更具体地,本发明涉及一种非均匀沟道无结晶体管。
背景技术
半导体工业的发展追求具有更高器件密度、更高性能和更低成本的纳米技术工艺节点。由于此种发展的出现,制造和设计两方面问题的挑战致使三维设计得到发展,诸如,鳍状场效应晶体管(FinFET)器件。典型的FinFET器件利用从基板中延伸出来的薄的“鳍”(或鳍状结构)制造而成。该鳍通常包括硅并且形成晶体管器件的主体。晶体管的沟道形成这种垂直的鳍。栅极被设置(例如,包围)在该鳍上。这种类型的栅极能够更好地控制沟道。FinFET器件的其他优点包括:减小了短沟道效应和增大了电流。然而,对于传统的FinFET器件来讲,高寄生电阻会对FinFET的漏极的电流量产生不良影响。
因此,虽然现有的制造FinFET器件的方法通常可以达到其预期目的,但并不是在各个方面都完全令人满意。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,本发明提出了一种半导体器件,包括:位于基板上方的半导体层,所述半导体层具有鳍状结构;位于所述鳍状结构上方的栅极结构,所述栅极结构具有栅极介电层和栅电极层,所述栅极结构包围着所述鳍状结构的部分;以及位于所述鳍状结构中的源极/漏极区域;其中,所述鳍状结构中的掺杂轮廓是非均匀的,并且其中,被所述栅极结构包围的所述鳍状结构的部分的第一区域具有比所述鳍状结构的其余部分更轻的掺杂浓度级别。
在该半导体器件中,所述鳍状结构包括第一区域、第二区域以及第三区域,并且其中:所述第一区域具有第一掺杂浓度级别;所述第二区域与所述第一区域邻近设置,并且部分地被所述栅极结构包围,并且具有第二掺杂浓度级别,所述第二掺杂浓度级别大于所述第一掺杂浓度级别;以及所述第三区域与所述第二区域邻近设置,但未被所述栅极结构包围,并且具有第三掺杂浓度级别,所述第三掺杂浓度级别大于所述第二掺杂浓度级别。
在该半导体器件中,所述第一区域和所述栅极结构具有相应的朝向相同方向延伸的第一横向尺寸和第二横向尺寸,并且其中,所述第一横向尺寸为所述第二横向尺寸的大约1/4至所述第二横向尺寸的7/8的范围内。
在该半导体器件中,所述第一区域、第二区域、和第三区域都具有相同的掺杂极性。
在该半导体器件中,所述基板是绝缘基板。
在该半导体器件中,所述基板是体硅基板,并且其中,所述体硅基板和所述半导体基板相反地进行掺杂。
在该半导体器件中,所述半导体基板是N-型的FinFET器件,并且其中,所述栅极结构的功函数比价带边缘更接近于导带边缘。
在该半导体器件中,所述半导体基板是P-型的FinFET器件,并且其中,所述栅极结构的功函数比导带边缘更接近于价带边缘。
根据本发明的另一方面,本发明提供了一种FinFET半导体器件,包括:形成在基板上方的鳍状结构,所述基板包含以下材料中的一种:硅材料和绝缘材料;栅极,所述栅极至少部分地包围所述鳍状结构的部分;以及在所述鳍状结构中形成的源极/漏极;其中:所述鳍状结构包括第一部分、第二部分、和第三部分;所述第一部分完全被所述栅极包围;所述第二部分至少部分地被所述栅极包围,并且具有比所述第一部分更重的掺杂浓度级别;并且所述第三部分未被所述栅极包围,并且具有比所述第二部分更重的掺杂浓度级别。
在该FinFET半导体器件中,所述第二部分位于所述第一部分和所述第三部分之间;并且所述第一部分、所述第二部分、和所述第三部分都具有相同的掺杂极性。
在该FinFET半导体器件中,所述FinFET器件是N-型器件,并且其中,所述栅极的功函数比价带边缘更接近于导带边缘。
在该FinFET半导体器件中,所述FinFET器件是P-型器件,并且其中,所述栅极比导带边缘更接近于价带边缘。
根据本发明的又一方面,本发明提出了一种制造半导体器件的方法,包括:在基板上形成半导体层;将所述半导体层图案化成鳍状结构;在所述鳍状结构上方形成栅极介电层和栅电极层;图案化所述栅极介电层和所述栅电极层,使得所形成的所述栅极结构包围所述鳍状结构的部分;以及实施多个注入工艺,从而在所述鳍状结构中形成源极/漏极,实施所述多个注入工艺,使得所述鳍状结构中的掺杂轮廓是非均匀的,并且其中,被所述栅极结构包围的所述鳍状结构的部分的第一区域具有比所述鳍状结构的其他区域更轻的掺杂浓度级别。
在该方法中,实施所述多个注入工艺,使得所述第一区域、第二区域和第三区域形成在所述鳍状结构中;并且其中,所述第一区域具有第一掺杂浓度级别;所述第二区域与所述第一区域邻近设置,并且部分地被所述栅极结构包围,并且具有第二掺杂浓度级别,所述第二掺杂浓度级别大于所述第一掺杂浓度级别;并且所述第三区域与所述第二区域邻近设置,但未被所述栅极结构包围,并且具有第三掺杂浓度级别,所述第三掺杂浓度级别大于所述第二掺杂浓度级别。
在该方法中,所述第一部分和所述栅极结构具有相应的朝向相同方向延伸的第一横向尺寸和第二横向尺寸,并且其中,所述第一横向尺寸为所述第二横向尺寸的大约1/4至所述第二横向尺寸的7/8的范围内。
在该方法中,所述第一区域、所述第二区域、和所述第三区域都具有相同的掺杂极性。
在该方法中,所述基板是绝缘基板。
在该方法中,所述基板是体硅基板,并且其中,所述体硅基板和所述半导体基板相反地进行掺杂。
在该方法中,所述半导体基板是N-型的FinFET器件,并且进一步包括:调整所述栅极结构的功函数,使得所述功函数比价带边缘更接近于导带边缘。
在该方法中,所述半导体基板是P-型的FinFET器件,且进一步包括:调整所述栅极结构的功函数,使得所述功函数比导带边缘更接近于价带边缘。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的数量和尺寸可以被任意增加或减少。
图1是根据本发明的各个方面的制造FinFET器件的方法的流程图;
图2是FinFET器件的实例的透视图;
图3-图8是根据本发明的实施例的FinFET器件在不同的制造阶段的横截面视图和顶视图的分解视图;
图9是掺杂浓度与位置之间的关系的图表;
图10-图14是根据本发明的可选实施例的FinFET器件在不同的制造阶段的横截面视图和顶视图的分解视图;
图15A、图15B、图15C包括示出了功函数和沟道剂量优化(channeldose optimization)的图表;
图16包括示出根据实施例的器件的Ion和Ioff性能的图表。
具体实施方式
以下公开提供了多种不同实施例或实例,用于实现本发明的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括其他部件可以形成在第一部件和第二部件之间使得第一部件和第二部件不直接接触的实施例。另外,本发明可以在多个实例中重复参考符号和/或字符。这种重复用于简化和清楚,并且其本身不表示所述多个实施例和/或配置之间的关系。另外,为了简单和清楚,各种部件可以任意比例绘制。
图1是根据本发明的各个方面的制造FinFET器件的方法10的流程图。该方法10以方框12开始,其中,在基板上形成半导体层。方法10继续进行到方框14,其中,将半导体层图案化成鳍状结构。方法10继续进行到方框16,其中,在鳍状结构上形成栅极介电层和栅电极层。方法10继续进行到方框18,其中,图案化该栅极介电层和栅电极层,从而以这样的方式形成栅极结构,以便使栅极结构包围着该鳍状结构的一部分。方法10继续进行到方框20,其中,实施多个注入工艺以在栅极结构的两侧的鳍状结构中形成源极/漏极区域。以这样的方式实施多个注入工艺,以便使横穿鳍状结构的掺杂轮廓是非均匀的。鳍状结构直接处在栅极结构下方的部分具有比鳍状结构的其余部分更轻的掺杂浓度级别。
FinFET器件的使用在半导体工业中越来越受欢迎。参考图2,示出了实例FinFET器件50的透视图。该FinFET器件50是形成在基板上的非平面多栅晶体管。薄硅“鳍状”结构(称作鳍)形成FinFET器件50的主体。FinFET器件50的栅极60包围着该鳍。Lg表示的是栅极60的长度(或宽度,取决于观看的角度)。FinFET器件50的源极70和漏极80形成在栅极60反面上的鳍的延伸部中。该鳍本身作为沟道。FinFET器件50的有效的沟道长度由鳍的尺寸决定。
相比于传统的金属氧化物半导体场效应晶体管(MOSFET)器件(也被称作平面器件),FinFET器件提供了多个优点。这些优点包括:芯片面积效率更佳、载流子迁移率得到了改进、以及制造工艺与平面器件的制造工艺相一致。因此,可能需要设计一种部分或整个IC芯片都使用FinFET器件的集成电路(IC)芯片。
然而,传统的FinFET器件具有均匀沟道轮廓,并且由此遭受到高的寄生电阻,该寄生电阻会对漏极电流的大小产生不良影响。在本文中,本发明的各个方面包括形成具有非均匀沟道轮廓的FinFET器件,并且由此减小了寄生电阻。因此,根据本发明制造的FinFET器件的漏极电流性能得到了改进。下面的附图示出了FinFET器件在不同的制造阶段中的各种横截面视图和顶视图。为了清楚起见,图2中所示出的三个坐标轴X、Y和Z与后面的附图中的轴相对应。X轴、Y轴和Z轴也可以分别被称作X方向、Y方向和Z方向。
现参考图3A、图3B和图3C,图3A是在Y-Z平面上得到的FinFET器件100的横截面视图,图3B是在X-Z平面上得到的FinFET器件100的横截面视图,图3C是在X-Y平面上得到的FinFET器件100的顶视图。该FinFET器件100包括基板110。在实施例中,基板110包括介电材料,例如氧化硅(SiO2)。基板110具有厚度120。在实施例中,该厚度处在大约4纳米(nm)至大约30nm的范围内。
半导体层130形成在基板110上。在实施例中,半导体层130包括晶体硅材料。可以理解,在可选实施例中,半导体层130可以包括其他适当材料。在半导体层130上实施注入工艺140,从而向半导体层130注入多个掺杂离子。在实施例中,该掺杂离子包括N型材料,例如,砷(As)或磷(P)。在实施完注入工艺140之后,掺杂浓度级别处于大约1×1017ions/cm3至大约5×1019ions/cm3的范围内。在其他实施例中,掺杂离子可以包括P型材料,例如,硼(B),而掺杂浓度级别可以不同。
现参考图4A-图4C,半导体层130被图案化,从而形成鳍状结构150。如图4B和图4C所示,该鳍状结构以延长的方式沿着方向X延伸。如之前所讨论的那样,该鳍状结构150被用作FinFET器件100的有传导沟道。鳍状结构150具有沿着方向Y进行测量的鳍宽度160。在实施例中,该鳍宽度处于大约2nm到大约15nm的范围内。
现参考图5A-图5C,栅极介电层170形成在鳍状结构150周围,并且栅电极层180形成在栅极介电层170上。可以使用公知的沉积工艺,例如,化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)、其组合或其他适当工艺分别形成栅极介电层170和栅电极层180。在实施例中,栅极介电层170包括氧化铪(HfO2)材料,并且其厚度(沿着方向Z测量)处于大约1nm至大3nm范围内。在实施例中,栅电极层180包括氮化锡(TiN)材料,并且其厚度(沿着方向Z测量)处于大约1nm至大约20nm的范围内。
现参考图6A-图6C,栅电极层180和栅极介电层170经受了图案化工艺,从而形成了栅极结构200。如图6A和图6C所示,该栅极结构200以延长的方式沿着方向Y延伸。栅极结构200包围着鳍状结构150的部分。根据实施例,该被栅极结构100包围着的鳍状结构150的部分由传导沟道区域205构成。如图6A和图6C所示,该栅极结构200具有沿着方向X测量的宽度210。在实施例中,该宽度210处于大约2nm至大约15nm的范围内。
在形成栅极结构200之后,实施注入工艺220,以将掺杂离子注入到位于栅极结构200的任意侧(或者相对侧)上的鳍状结构150(经过图案化的半导体层130)的部分中,从而形成了源极/漏极区域230-231。该掺杂离子具有与在注入工艺140中所使用的掺杂离子相同的掺杂极性。例如,在将N型掺杂物使用于注入工艺140的实施例中,N型掺杂物也同样被用于注入工艺220。在实施例中,注入工艺220具有比注入工艺140更高的剂量,从而使源极/漏极区域230-231的掺杂浓度级别高于沟道区域250(被栅极结构200包围的鳍状结构150部分)的掺杂浓度级别。在实施例中,源极/漏极区域230-231的掺杂浓度级别在从大约1×1018ions/cm3至大约1×1020ions/cm3的范围内。
现参考图7A-图7C,栅极隔离件240和241包围着栅极结构200的长边形成。换言之,隔离件240-241以延长的方式沿着方向Y延伸。通过在栅极结构200上沉积隔离件材料并且随后在该隔离件材料上实施图案化工艺(例如,蚀刻工艺)来形成该栅极隔离件240-241。该隔离件材料可以包括介电材料。在实施例中,隔离件材料包括氧化硅或氮化硅。该隔离件240-241分别具有沿着方向X测量的宽度250。在实施例中,该宽度250在从大约2nm至大约20nm的范围内。
在形成隔离件240-241之后,实施注入工艺以将掺杂离子注入到未被隔离件240-241或栅极结构200覆盖的鳍状结构150的部分中。该注入工艺260是源极/漏极区域230-231的形成工艺的一部分。该掺杂离子具有与在注入工艺140和220中所使用的掺杂离子相同的掺杂极性。例如,在将N型掺杂物应用于注入工艺140和220的实施例中,N型掺杂物也同样被用于注入工艺260。在实施例中,注入工艺260具有比注入工艺220更高的剂量,并且由此使不在隔离件240-241之下的源极/漏极区域230-231的掺杂浓度级别高于在隔离件240-241之下的源极/漏极区域的掺杂浓度级别。在实施例中,未被栅极结构200或隔离件240-241覆盖的源极/漏极区域230-231的掺杂浓度级别在从大约1×1020ions/cm3至大约1×1021ions/cm3的范围内。
可以理解,在可选实施例中,可以用外延生长工艺代替注入工艺260。另外,可以随后实施活化退火工艺,该工艺的温度从大约900摄氏度至大约1050摄氏度的范围内,并且工艺持续时间小于大约1秒。
基于以上讨论,可以看出鳍状结构150具有非均匀的掺杂浓度级别轮廓。由于以上讨论的各种注入工艺,随着越来越接近直接处于栅极结构200下方的中心,掺杂浓度级别降低(但没有必要为线性)。为了提供更清楚的解释,图8提供了图7B的横截面视图(在X-Z平面上得到的)的更加详细的视图。
参考图8,鳍状结构150包括三个被标记为N0、N1和N2的区域。区域N0位于栅极结构200的中心下方并且具有最轻的掺杂浓度级别。区域N1包括两个部分并且位于区域N0的相对侧。区域N1具有比N0更高的掺杂浓度级别。在一些实施例中,区域N1包括轻度掺杂的源极/漏极(LDD)区域。区域N2包括两个位于区域N1的相对侧的部分。区域N2具有比两个区域N0和N1都高的掺杂浓度级别。在一些实施例中,区域N2包括源极/漏极(S/D)区域。要注意:区域N0、N1、N2可以不与栅极结构200或隔离件240-241的边缘准确地对齐。例如,区域N2在隔离件240-241下方延伸,而区域N1在栅极介电材料170下方延伸。
区域N0具有宽度270,区域N1具有宽度280,并且区域N1具有与栅极200的重叠距离290。宽度270-280和距离290都沿着方向X测量。在实施例中,宽度270为栅极200的宽度210(也在图6B和6C中示出)的大约1/4至大约7/8的范围内。在实施例中,距离290为栅极200的宽度210的大约1/16至大约3/8的范围内。可以理解,重叠距离290与晶体管器件的阈值电压Vt相关。当距离290变化时,阈值电压Vt也变化。这样,阈值电压Vt是可调的。
在实施例中,区域N0的掺杂浓度级别小于大约2×1018ions/cm3,在实施例中,区域N 1的掺杂浓度级别大于大约1×1019ions/cm3。在实施例中,区域N2的掺杂浓度级别大于大约1×1020ions/cm3
根据本发明的各个方面实现的互补型金属氧化物半导体(CMOS)器件可以在相同的芯片上具有n-FET和p-FET两者。对于n-FET而言,栅极结构的功函数更接近于导带边缘。对于p-FET而言,栅极结构的功函数更接近于价带边缘。
图9是图表300,该图表300通过图示的方式示出掺杂浓度级别如何根据鳍状结构150的位置不同而变化。图表300是掺杂浓度级别(Y-轴)与位置(X-轴)之间的关系的图表。应注意,代表掺杂浓度级别的Y-轴与以上讨论的和在上述附图中示出的方向Y不同。掺杂浓度级别的测量单位为ions/cm3。位置的测量单位为纳米。栅极结构200(图8)的中心位置为0。栅极结构200的中心左侧的位置为负单元,而在栅极结构200的右侧的位置为正单元。如图表300所示,掺杂浓度级别随着位置越来越靠近栅极结构200的中心而变小,并且随着位置越来越远离栅极结构200的中心而变大。
以上讨论的N0、N1、和N2区域的近似边界在图9中以虚线表示。可以看出:区域N0的掺杂浓度级别是这三个区域里面最轻的,并且在这里示出的实施例中低于大约7.3x1017ions/cm3。区域N2的掺杂浓度级别是这三个区域中最高的,并且在这里示出的实施例中等于或高于大约7.7×1019ions/cm3。区域N1的掺杂浓度级别在这三个区域中处在中间并且在此所示的实施例中处在大约7.3×1017ions/cm3和大约7.7×1019ions/cm3之间。另外,图9示出在此所讨论的FinFET器件的非均匀掺杂轮廓。
尽管掺杂浓度级别改变,但是在所有三个区域N0、N1和N2中都保持了相同的掺杂极性。在一个实施例中,所有三个区域N0、N1和N2是N-型掺杂的。在另一实施例中,所有三个区域N0、N1和N2是P-型掺杂的。
FinFET器件的栅极长度Lg也在图9中示出。在实施例中,该栅极长度Lg等于栅极结构200的宽度210(如图8所示)。如图9所示,该栅极长度Lg从区域N0向区域N1延伸。这也与图8所示的一致。
图3-图8示出根据绝缘物上硅(SOI)方法制造FinFET器件的工艺流程。图10-图14示出根据包括使用体硅代替SOI方法的可选实施例所制造的FinFET器件100A的各种横截面视图和顶视图。为了尺寸一致和清楚,与图3-8中所示的部件相似的部件在图10-14同样地进行标记。
参考图10A-图10C,半导体层130形成在基板110上。在这里,基板110A包含掺杂硅材料,例如P型掺杂硅材料,而非包含介电材料。基板110A的掺杂极性与半导体层130的掺杂极性相反。基板110A对于n-FET器件是p型基板,基板110A对于p-FET器件是n型基板。
参考图11A-图11C,半导体层130被图案化成延长的鳍状结构150。与图4所示的上述实施例不同,如图11A所示,该图案化工艺还去除了基板110A的部分。此后,绝缘材料400形成在基板110A在鳍状结构150的任意侧上的被去除部分中。该绝缘材料可以包括介电材料,例如氧化硅材料。
现参考图12A-图12C,栅电极层180和栅极介电层170形成在鳍状结构150上。现参考图13A-图13C,栅电极层180和栅极介电层170被图案化,从而形成栅极结构200。栅极结构200包围着鳍状结构150。在栅极结构200形成之后,实施注入工艺220,从而形成源极/漏极区域230-231。现参考图14A-图14C,包围着栅极结构200的长边形成有栅极隔离件240和241。然后,实施注入工艺260,从而进一步限定出源极/漏极区域230-231。此后,可以实施活化退火工艺。与以上结合图3-图8所论述的实施例相同,图10-图14所示的实施例在其鳍状结构中也具有非均匀的掺杂轮廓,例如,与图9所示相似的掺杂轮廓。
图15A、图15B、图15C分别包括多个图表330-332,这些图表示出了根据本发明的各个方面的功函数和沟道剂量优化。在实施例中,经过优化的点处在Ion(接通电流或漏极电流)和Ioff(关断电流或泄漏电流)之间,具有在大约0和大约-2×1019之间的相关的沟道剂量。
下面的表格1列出了本发明的一些实施例和其他器件之间的区别。这些其他器件包括传统的FinFET器件或传统的无结晶体管(junction-lesstransistor)以及经过调整的无结晶体管。可以理解,表格1中的区别只不过是实例而并非意在进行限制。可以存在其他区别,但出于简便并未在表格中列出。
表格1.
Figure BSA00000586549700111
根据表格1,本发明的一些实施例具有:
·在大约4.1伏至大约4.65伏的范围内调节的N-型功函数;
·具有轻掺杂浓度级别的N-型沟道级别;
·具有比沟道更重的掺杂浓度级别的N-型LDD区域;以及
·具有比LDD区域更重的掺杂浓度级别的N-型S/D区域。
在任何其他器件中都不存在以上性能的组合。例如,传统的FinFET器件具有相反的掺杂的沟道,传统的无结晶体管(junction-less transistor)具有比本文的实施例和未掺杂的LDD区域更高的掺杂浓度级别。参考以上的表格1可以看出其他区别。
图16是另一图表350,该图表示出与以上在表格1中所列出的其他器件相比较的根据本发明的实施例的器件的Ion和Ioff性能。图表350包括多个取样点,一些代表的是本发明的实施例的Ion和Ioff性能,其他代表的是其它器件的Ion和Ioff性能。例如,取样点400和401代表了本发明的实施例,而取样点410和411代表了其它器件。可以看出,取样点400和401比取样点410和411具有更好的Ion和Ioff性能。换言之,取样点400和401具有良好的Ion电流并且仍然保持低泄漏电流(Ioff电流)。
可以理解,虽然以上所论述的附图只示出了单个FinFET器件,但是在单个的晶圆上或在相同的芯片上可以制造多个相似的FinFET器件。例如,互补金属氧化物半导体(CMOS)器件包括n-FET器件和p-FET器件两者。n-FET器件和p-FET器件两者都可以使用上述工艺流程制造。在实施例中,n-FET器件的栅极功函数更接近于导带边缘,而p-FET的栅极功函数更接近于价带边缘。
本文所论述的本发明的各种实施例提供了多个优点,可以理解,其他实施例可以提供不同的优点,并且没有哪个特定优点是所有实施例都必须具备的。在鳍状结构150中具有上述非均匀的掺杂轮廓的一个优点是减小寄生电阻,从而在传统的器件上增大漏极电流。在一些实施例中,漏极电流可以增加至少20%,而泄漏电流和沟道剂量与传统的器件相似。
本发明的更广泛的形式中的其中一种形式包括半导体器件。该半导体器件包括:位于基板上方的半导体层,半导体层具有鳍状结构;位于鳍状结构上方的栅极结构,栅极结构具有栅极介电层和栅电极层,栅极结构包围着鳍状结构的部分;以及位于鳍状结构中的源极/漏极区域;其中,鳍状结构中的掺杂轮廓是非均匀的,并且其中,被栅极结构包围的鳍状结构的部分的第一区域具有比鳍状结构的其余部分更轻的掺杂浓度级别。
本发明的更广泛的形式中的其他一种形式包括FinFET半导体器件。一种FinFET半导体器件,包括:形成在基板上方的鳍状结构,基板包含以下材料的其中一种:硅材料和绝缘材料;栅极,栅极至少部分地包围鳍状结构的部分;以及在鳍状结构中形成的源极/漏极;其中:鳍状结构包括第一部分、第二部分、和第三部分;第一部分完全被栅极包围;第二部分至少部分地被栅极包围,并且具有比第一部分更重的掺杂浓度级别;并且第三部分未被栅极包围,并且具有比第二部分更重的掺杂浓度级别。
本发明的更广泛的形式中的另一种形式包括制造半导体器件的方法。该方法包括:在基板上形成半导体层;将半导体层图案化成鳍状结构;在鳍状结构上方形成栅极介电层和栅电极层;图案化栅极介电层和栅电极层,从而使得所形成的栅极结构包围鳍状结构的部分;以及实施多个注入工艺,从而在鳍状结构中形成源极/漏极,实施多个注入工艺,从而使得鳍状结构中的掺杂轮廓是非均匀的,并且其中,被栅极结构包围的鳍状结构部分的第一区域具有比鳍状结构的其他区域更轻的掺杂浓度级别。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种半导体器件,包括:
位于基板上方的半导体层,所述半导体层具有鳍状结构;
位于所述鳍状结构上方的栅极结构,所述栅极结构具有栅极介电层和栅电极层,所述栅极结构包围着所述鳍状结构的部分;以及
位于所述鳍状结构中的源极/漏极区域;
其中,所述鳍状结构中的掺杂轮廓是非均匀的,并且其中,被所述栅极结构包围的所述鳍状结构的部分的第一区域具有比所述鳍状结构的其余部分更轻的掺杂浓度级别。
2.根据权利要求1所述的半导体器件,其中,所述鳍状结构包括第一区域、第二区域以及第三区域,并且其中:
所述第一区域具有第一掺杂浓度级别;
所述第二区域与所述第一区域邻近设置,并且部分地被所述栅极结构包围,并且具有第二掺杂浓度级别,所述第二掺杂浓度级别大于所述第一掺杂浓度级别;以及
所述第三区域与所述第二区域邻近设置,但未被所述栅极结构包围,并且具有第三掺杂浓度级别,所述第三掺杂浓度级别大于所述第二掺杂浓度级别。
3.根据权利要求2所述的半导体器件,其中,所述第一区域和所述栅极结构具有相应的朝向相同方向延伸的第一横向尺寸和第二横向尺寸,并且其中,所述第一横向尺寸为所述第二横向尺寸的大约1/4至所述第二横向尺寸的7/8的范围内,或者
所述第一区域、第二区域、和第三区域都具有相同的掺杂极性。
4.根据权利要求1所述的半导体器件,其中,所述基板是绝缘基板,或者
所述基板是体硅基板,并且其中,所述体硅基板和所述半导体基板相反地进行掺杂,或者
所述半导体基板是N-型的FinFET器件,并且其中,所述栅极结构的功函数比价带边缘更接近于导带边缘,或者
所述半导体基板是P-型的FinFET器件,并且其中,所述栅极结构的功函数比导带边缘更接近于价带边缘。
5.一种FinFET半导体器件,包括:
形成在基板上方的鳍状结构,所述基板包含以下材料中的一种:硅材料和绝缘材料;
栅极,所述栅极至少部分地包围所述鳍状结构的部分;以及
在所述鳍状结构中形成的源极/漏极;
其中:
所述鳍状结构包括第一部分、第二部分、和第三部分;
所述第一部分完全被所述栅极包围;
所述第二部分至少部分地被所述栅极包围,并且具有比所述第一部分更重的掺杂浓度级别;并且
所述第三部分未被所述栅极包围,并且具有比所述第二部分更重的掺杂浓度级别。
6.根据权利要求5所述的FinFET半导体器件,其中:
所述第二部分位于所述第一部分和所述第三部分之间;并且
所述第一部分、所述第二部分、和所述第三部分都具有相同的掺杂极性,或者
所述FinFET器件是N-型器件,并且其中,所述栅极的功函数比价带边缘更接近于导带边缘,或者
所述FinFET器件是P-型器件,并且其中,所述栅极比导带边缘更接近于价带边缘。
7.一种制造半导体器件的方法,包括:
在基板上形成半导体层;
将所述半导体层图案化成鳍状结构;
在所述鳍状结构上方形成栅极介电层和栅电极层;
图案化所述栅极介电层和所述栅电极层,使得所形成的所述栅极结构包围所述鳍状结构的部分;以及
实施多个注入工艺,从而在所述鳍状结构中形成源极/漏极,实施所述多个注入工艺,使得所述鳍状结构中的掺杂轮廓是非均匀的,并且其中,被所述栅极结构包围的所述鳍状结构的部分的第一区域具有比所述鳍状结构的其他区域更轻的掺杂浓度级别。
8.根据权利要求7所述的方法,其中,实施所述多个注入工艺,使得所述第一区域、第二区域和第三区域形成在所述鳍状结构中;并且
其中,所述第一区域具有第一掺杂浓度级别;
所述第二区域与所述第一区域邻近设置,并且部分地被所述栅极结构包围,并且具有第二掺杂浓度级别,所述第二掺杂浓度级别大于所述第一掺杂浓度级别;并且
所述第三区域与所述第二区域邻近设置,但未被所述栅极结构包围,并且具有第三掺杂浓度级别,所述第三掺杂浓度级别大于所述第二掺杂浓度级别。
9.根据权利要求8所述的方法,其中,所述第一部分和所述栅极结构具有相应的朝向相同方向延伸的第一横向尺寸和第二横向尺寸,并且其中,所述第一横向尺寸为所述第二横向尺寸的大约1/4至所述第二横向尺寸的7/8的范围内,或者
所述第一区域、所述第二区域、和所述第三区域都具有相同的掺杂极性,或者
所述基板是体硅基板,并且其中,所述体硅基板和所述半导体基板相反地进行掺杂。
10.根据权利要求7所述的方法,其中,所述基板是绝缘基板,或者
所述半导体基板是N-型的FinFET器件,并且进一步包括:调整所述栅极结构的功函数,使得所述功函数比价带边缘更接近于导带边缘,或者
所述半导体基板是P-型的FinFET器件,且进一步包括:调整所述栅极结构的功函数,使得所述功函数比导带边缘更接近于价带边缘。
CN201110299276.0A 2011-01-21 2011-09-28 非均匀沟道无结晶体管 Active CN102610642B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161434963P 2011-01-21 2011-01-21
US61/434,963 2011-01-21
US13/077,144 US8487378B2 (en) 2011-01-21 2011-03-31 Non-uniform channel junction-less transistor
US13/077,144 2011-03-31

Publications (2)

Publication Number Publication Date
CN102610642A true CN102610642A (zh) 2012-07-25
CN102610642B CN102610642B (zh) 2016-03-30

Family

ID=46543554

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110299276.0A Active CN102610642B (zh) 2011-01-21 2011-09-28 非均匀沟道无结晶体管

Country Status (3)

Country Link
US (3) US8487378B2 (zh)
CN (1) CN102610642B (zh)
TW (1) TWI481033B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102983171A (zh) * 2012-12-11 2013-03-20 哈尔滨工程大学 垂直无结环栅mosfet器件的结构及其制造方法
CN103915342A (zh) * 2013-01-08 2014-07-09 中芯国际集成电路制造(上海)有限公司 无结晶体管和互补无结晶体管的形成方法
CN104282558A (zh) * 2013-07-02 2015-01-14 中芯国际集成电路制造(上海)有限公司 一种无结纳米线FinFET及其制作方法
CN104282752A (zh) * 2013-11-20 2015-01-14 沈阳工业大学 高性能高集成度漏电极辅控l形栅型无结晶体管
CN104517847A (zh) * 2013-09-29 2015-04-15 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
CN105448718A (zh) * 2014-07-01 2016-03-30 中芯国际集成电路制造(上海)有限公司 无结场效应管及其制作方法
CN106684138A (zh) * 2015-11-06 2017-05-17 上海新昇半导体科技有限公司 高压无结场效应器件及其形成方法
CN106876447A (zh) * 2015-12-11 2017-06-20 上海新昇半导体科技有限公司 具有渐变沟道的高压无结场效应器件及其形成方法
CN111697072A (zh) * 2019-03-13 2020-09-22 联华电子股份有限公司 半导体结构及其制作工艺
CN111863967A (zh) * 2020-06-12 2020-10-30 西安电子科技大学 一种具有埋层结构的新型低阈值jlfet器件及其制备方法
CN114284334A (zh) * 2021-06-02 2022-04-05 青岛昇瑞光电科技有限公司 具有超结结构的高压无结FinFET器件及其制备方法
WO2024021336A1 (zh) * 2022-07-26 2024-02-01 苏州大学 非均匀掺杂场效应晶体管器件
WO2024032108A1 (zh) * 2022-08-12 2024-02-15 长鑫存储技术有限公司 半导体结构、半导体结构的制备方法和半导体存储器

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
KR20130126036A (ko) * 2012-05-10 2013-11-20 삼성전자주식회사 트랜지스터를 구비한 반도체 소자
US9368628B2 (en) * 2012-07-05 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8878251B2 (en) * 2012-10-17 2014-11-04 Seoul National University R&Db Foundation Silicon-compatible compound junctionless field effect transistor
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US8847311B2 (en) * 2012-12-31 2014-09-30 Infineon Technologies Ag Semiconductor device and method of manufacturing a semiconductor device
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9269709B2 (en) 2013-02-25 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. MOS transistor structure and method
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) * 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US9054191B2 (en) * 2013-10-17 2015-06-09 Globalfoundries Singapore Pte. Ltd. High ion and low sub-threshold swing tunneling transistor
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
KR102135303B1 (ko) 2013-12-27 2020-07-17 인텔 코포레이션 확산된 팁 연장 트랜지스터
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9331204B2 (en) * 2014-03-13 2016-05-03 Macronix International Co., Ltd. High voltage field effect transistors and circuits utilizing the same
CN106716133B (zh) * 2014-07-11 2019-07-30 爱科谱迅病理研究公司 针对肿瘤坏死因子受体超家族成员8(cd30)蛋白质的srm/mrm测定
EP3167289B1 (en) * 2014-07-11 2020-03-11 Expression Pathology, Inc. Srm/mrm assay for the serine/threonine-protein kinase b-raf (braf)
KR20190080973A (ko) * 2014-07-11 2019-07-08 익스프레션 패톨로지, 인크. GTPase KRas 단백질(KRas)에 대한 SRM/MRM 검정
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9245883B1 (en) 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9735256B2 (en) 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9490176B2 (en) 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9496402B2 (en) 2014-10-17 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate with silicon sidewall spacers
JP6547275B2 (ja) * 2014-10-29 2019-07-24 株式会社リコー 情報処理システム、情報処理装置、情報処理方法、及びプログラム
US9502542B2 (en) 2014-12-18 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET transistor with fin back biasing
US9515188B2 (en) 2014-12-22 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors having conformal oxide layers and methods of forming same
US10269921B2 (en) 2014-12-22 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Fin field effect transistors having conformal oxide layers and methods of forming same
US9431304B2 (en) 2014-12-22 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gates
US9601574B2 (en) 2014-12-29 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. V-shaped epitaxially formed semiconductor layer
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9564528B2 (en) 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9397099B1 (en) 2015-01-29 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a plurality of fins and method for fabricating the same
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9899489B2 (en) 2015-02-13 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9761440B2 (en) 2015-03-13 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation on indium-based materials
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9728598B2 (en) 2015-04-07 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having barrier layer to prevent impurity diffusion
US9496259B2 (en) 2015-04-14 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having fins with stronger structural strength
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US9761584B2 (en) * 2015-06-05 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Buried channel semiconductor device and method for manufacturing the same
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9773705B2 (en) 2015-06-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET channel on oxide structures and related methods
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US10050147B2 (en) 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9917189B2 (en) 2015-07-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for detecting presence and location of defects in a substrate
US9472669B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain
US9607838B1 (en) 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9871114B2 (en) 2015-09-30 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10312075B2 (en) 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US10312077B2 (en) 2015-10-08 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming aluminum-containing dielectric layer
US10411019B2 (en) 2015-10-20 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell word line structure with reduced RC effects
US9831242B2 (en) 2015-10-28 2017-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570580B1 (en) 2015-10-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for FinFET
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9728505B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structrues of novel contact feature
US9978868B2 (en) 2015-11-16 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance field effect transistor with charged dielectric material
US10008574B2 (en) 2015-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method of fabricating the same
US10163882B2 (en) 2015-12-16 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and layout thereof
US9735275B2 (en) 2015-12-18 2017-08-15 International Business Machines Corporation Channel replacement and bimodal doping scheme for bulk finFET threshold voltage modulation with reduced performance penalty
US9917017B2 (en) 2015-12-29 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for semiconductor devices
US9653604B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US10062688B2 (en) 2016-01-04 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial source/drain
US10529803B2 (en) 2016-01-04 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial source/drain
US9818846B2 (en) 2016-01-21 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively deposited spacer film for metal gate sidewall protection
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9847425B2 (en) 2016-03-24 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with a semiconductor strip as a base
TWI612674B (zh) 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
US9741821B1 (en) 2016-03-24 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step dummy gate formation
US9773792B1 (en) 2016-03-25 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programming cell
US10269793B2 (en) 2016-04-28 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions in fin field effect transistors (FinFETs) and methods of forming same
US9824969B1 (en) 2016-05-14 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and methods of forming the same
US10516051B2 (en) 2016-05-26 2019-12-24 Taiwan Semiconductor Manufacturing FinFET and method of fabrication thereof
US10283349B2 (en) 2016-05-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Single-crystal rare earth oxide grown on III-V compound
US10038094B2 (en) 2016-05-31 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure and methods thereof
US9679887B1 (en) 2016-06-02 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Over-voltage protection circuit
US9716096B1 (en) 2016-06-28 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with feature spacer and method for manufacturing the same
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9871115B1 (en) 2016-07-01 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Doped poly-silicon for polyCMP planarity improvement
US10276574B2 (en) 2016-07-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10790283B2 (en) 2016-07-15 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10453688B2 (en) 2016-08-31 2019-10-22 National Chiao Tung University Method of manufacturing a semiconductor device including a ternary alloy layer formed by a microwafe anneal process
US9947594B2 (en) 2016-09-19 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9799566B1 (en) 2016-09-22 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9922976B1 (en) 2016-09-22 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10741654B2 (en) 2016-11-17 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10134873B2 (en) 2016-11-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device gate structure and method of fabricating thereof
US10008603B2 (en) 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
US20180151716A1 (en) 2016-11-28 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10269569B2 (en) 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10164042B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10211318B2 (en) 2016-11-29 2019-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10115639B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming the same
US10515818B2 (en) 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10460995B2 (en) 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10522650B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10163626B2 (en) 2016-12-12 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and manufacturing method thereof
WO2018111243A1 (en) * 2016-12-13 2018-06-21 Intel Corporation Finfet based junctionless wrap around structure
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10164063B2 (en) 2016-12-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with protection layer
US10147609B2 (en) 2016-12-15 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor epitaxy bordering isolation structure
US10020198B1 (en) 2016-12-15 2018-07-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having low-k spacer and method of manufacturing the same
US10731653B2 (en) 2017-01-27 2020-08-04 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10830252B2 (en) 2017-01-27 2020-11-10 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10584739B2 (en) 2017-01-27 2020-03-10 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10707331B2 (en) 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
US10629494B2 (en) 2017-06-26 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10170555B1 (en) 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Intermetallic doping film with diffusion in source/drain
WO2019006261A1 (en) * 2017-06-30 2019-01-03 University Of Pittsburgh-Of The Commonwealth System Of Higher Education METHODS OF PREDICTING AND DETERMINING REACTIVITY TO JNK KINASE ACTIVATORS
US10276690B2 (en) 2017-07-31 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10672886B2 (en) 2017-08-31 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high-k metal gate
US10236220B1 (en) 2017-08-31 2019-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10163640B1 (en) 2017-10-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gate isolation plugs structure and method
US10522344B2 (en) 2017-11-06 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with doped gate dielectrics
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10340384B2 (en) 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
WO2019132876A1 (en) * 2017-12-27 2019-07-04 Intel Corporation Finfet based capacitors and resistors and related apparatuses, systems, and methods
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10529629B2 (en) 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gates
US10529572B2 (en) 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10644125B2 (en) 2018-06-14 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates and manufacturing methods thereof
US10510861B1 (en) 2018-06-15 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gaseous spacer and methods of forming same
US10756087B2 (en) 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US11355339B2 (en) 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US10854603B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11398477B2 (en) 2019-05-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10868137B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11101366B2 (en) 2018-07-31 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma oxide layer
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10734227B2 (en) 2018-08-31 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10867864B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10923565B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact air gap formation
US10916477B2 (en) 2018-09-28 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor devices and methods of forming the same
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US10861746B2 (en) 2018-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11088028B2 (en) 2018-11-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102019111297B4 (de) 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11127857B2 (en) 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11257921B2 (en) 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11205647B2 (en) 2019-06-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11380793B2 (en) 2019-07-31 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having hybrid work function layer stack
US11056395B2 (en) 2019-08-23 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor metal gate and method of manufacture
US11201084B2 (en) 2019-08-23 2021-12-14 Taiwan Semicondutor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11508735B2 (en) 2019-08-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell manufacturing
US11217672B2 (en) 2019-08-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain
DE102020100099A1 (de) 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gatestrukturen in halbleitervorrichtungen
US11756832B2 (en) 2019-09-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structures in semiconductor devices
US11522083B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11482421B2 (en) 2019-10-29 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device by a replacement gate process
DE102020115368A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11362006B2 (en) 2019-10-29 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11309403B2 (en) 2019-10-31 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11515403B2 (en) 2019-11-27 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11309190B2 (en) 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11430865B2 (en) 2020-01-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11239330B2 (en) 2020-02-07 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bipolar junction transistor with gate over terminals
US11398384B2 (en) 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer
US11227940B2 (en) 2020-02-27 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11437280B2 (en) 2020-06-12 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11296080B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions of semiconductor devices and methods of forming the same
US11855163B2 (en) 2020-06-23 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11532481B2 (en) 2020-06-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method of forming

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7485532B2 (en) * 2005-04-26 2009-02-03 Fairchild Semiconductor Corporation Method of forming trench gate FETs with reduced gate to drain charge
US7687859B2 (en) * 2007-09-07 2010-03-30 Infineon Technologies Ag Electronic circuit and method of manufacturing an electronic circuit

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NZ535349A (en) * 2002-03-08 2007-01-26 Signal Pharm Inc JNK inhibitors with chemotherapeutic agents in a combination therapy for treating or preventing cancer and other proliferative disorders in refractory patients in particular
DE60237724D1 (de) * 2002-12-19 2010-10-28 Ibm Finfet sram-zelle mit invertierten finfet-dünnschichttransistoren
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US8431529B2 (en) * 2007-07-31 2013-04-30 Sanford-Burnham Medical Research Institute Bi-dentate compounds as kinase inhibitors
WO2009144874A1 (en) * 2008-05-29 2009-12-03 Panasonic Corporation Finfet with impurity blocking portion on an upper surface of fin
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7485532B2 (en) * 2005-04-26 2009-02-03 Fairchild Semiconductor Corporation Method of forming trench gate FETs with reduced gate to drain charge
US7687859B2 (en) * 2007-09-07 2010-03-30 Infineon Technologies Ag Electronic circuit and method of manufacturing an electronic circuit

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102983171B (zh) * 2012-12-11 2015-10-28 哈尔滨工程大学 垂直无结环栅mosfet器件的结构及其制造方法
CN102983171A (zh) * 2012-12-11 2013-03-20 哈尔滨工程大学 垂直无结环栅mosfet器件的结构及其制造方法
CN103915342A (zh) * 2013-01-08 2014-07-09 中芯国际集成电路制造(上海)有限公司 无结晶体管和互补无结晶体管的形成方法
CN103915342B (zh) * 2013-01-08 2016-08-31 中芯国际集成电路制造(上海)有限公司 无结晶体管和互补无结晶体管的形成方法
CN104282558A (zh) * 2013-07-02 2015-01-14 中芯国际集成电路制造(上海)有限公司 一种无结纳米线FinFET及其制作方法
CN104517847B (zh) * 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
CN104517847A (zh) * 2013-09-29 2015-04-15 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
CN104282752A (zh) * 2013-11-20 2015-01-14 沈阳工业大学 高性能高集成度漏电极辅控l形栅型无结晶体管
CN104282752B (zh) * 2013-11-20 2017-05-24 沈阳工业大学 漏电极辅控l形栅型无结晶体管
CN105448718A (zh) * 2014-07-01 2016-03-30 中芯国际集成电路制造(上海)有限公司 无结场效应管及其制作方法
CN106684138A (zh) * 2015-11-06 2017-05-17 上海新昇半导体科技有限公司 高压无结场效应器件及其形成方法
CN106876447A (zh) * 2015-12-11 2017-06-20 上海新昇半导体科技有限公司 具有渐变沟道的高压无结场效应器件及其形成方法
CN106876447B (zh) * 2015-12-11 2020-01-24 上海新昇半导体科技有限公司 具有渐变沟道的高压无结场效应器件及其形成方法
CN111697072A (zh) * 2019-03-13 2020-09-22 联华电子股份有限公司 半导体结构及其制作工艺
CN111697072B (zh) * 2019-03-13 2023-12-12 联华电子股份有限公司 半导体结构及其制作工艺
CN111863967A (zh) * 2020-06-12 2020-10-30 西安电子科技大学 一种具有埋层结构的新型低阈值jlfet器件及其制备方法
CN114284334A (zh) * 2021-06-02 2022-04-05 青岛昇瑞光电科技有限公司 具有超结结构的高压无结FinFET器件及其制备方法
WO2024021336A1 (zh) * 2022-07-26 2024-02-01 苏州大学 非均匀掺杂场效应晶体管器件
WO2024032108A1 (zh) * 2022-08-12 2024-02-15 长鑫存储技术有限公司 半导体结构、半导体结构的制备方法和半导体存储器

Also Published As

Publication number Publication date
US20140024182A1 (en) 2014-01-23
TWI481033B (zh) 2015-04-11
US20120187486A1 (en) 2012-07-26
CN102610642B (zh) 2016-03-30
US8916444B2 (en) 2014-12-23
TW201232780A (en) 2012-08-01
US8487378B2 (en) 2013-07-16
US20140011748A1 (en) 2014-01-09

Similar Documents

Publication Publication Date Title
CN102610642B (zh) 非均匀沟道无结晶体管
US8803242B2 (en) High mobility enhancement mode FET
KR101322040B1 (ko) 터널 fet 및 이의 형성 방법
US9202920B1 (en) Methods for forming vertical and sharp junctions in finFET structures
US10164099B2 (en) Device with diffusion blocking layer in source/drain region
US8354695B2 (en) Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
US7834345B2 (en) Tunnel field-effect transistors with superlattice channels
US8587075B2 (en) Tunnel field-effect transistor with metal source
US20140158990A1 (en) Tunneling Field Effect Transistor (TFET) With Ultra Shallow Pockets Formed By Asymmetric Ion Implantation and Method of Making Same
Solankia et al. A Review paper: A Comprehensive study of Junctionless transistor
CN104465760A (zh) 半导体器件
KR20160083805A (ko) 낮은 턴온 전압을 갖는 터널 전계효과 트랜지스터
US8999805B1 (en) Semiconductor device with reduced gate length
Sung et al. High-performance uniaxial tensile strained n-channel JL SOI FETs and triangular JL bulk FinFETs for nanoscaled applications
Ritzenthaler et al. Isolation of nanowires made on bulk wafers by ground plane doping
CN102315268B (zh) 半导体器件及其制造方法
CN103383965A (zh) 混合鳍式场效应晶体管
KR101902843B1 (ko) 듀얼 게이트를 갖는 무접합 터널링 전계효과 트랜지스터
US11183591B2 (en) Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities
US9966435B2 (en) Body tied intrinsic FET
KR102273935B1 (ko) 음성 트랜스 컨덕턴스 기반의 터널링 트랜지스터
CN104576376A (zh) 一种mosfet结构及其制造方法
Bakar et al. Investigation on effect of tilt angle ion implantations for vertical double gate MOSFET
CN102593177B (zh) 具有水平准同轴电缆结构的隧穿晶体管及其形成方法
CN102544069B (zh) 具有水平准同轴电缆结构的隧穿晶体管及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant