TWI475605B - 具有經均勻矽化之鰭狀端部的多閘極電晶體 - Google Patents

具有經均勻矽化之鰭狀端部的多閘極電晶體 Download PDF

Info

Publication number
TWI475605B
TWI475605B TW098140285A TW98140285A TWI475605B TW I475605 B TWI475605 B TW I475605B TW 098140285 A TW098140285 A TW 098140285A TW 98140285 A TW98140285 A TW 98140285A TW I475605 B TWI475605 B TW I475605B
Authority
TW
Taiwan
Prior art keywords
contact
fins
forming
gate electrode
dielectric material
Prior art date
Application number
TW098140285A
Other languages
English (en)
Other versions
TW201030820A (en
Inventor
Sven Beyer
Patrick Press
Rainer Giedigkeit
Jan Hoentschel
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW201030820A publication Critical patent/TW201030820A/zh
Application granted granted Critical
Publication of TWI475605B publication Critical patent/TWI475605B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Description

具有經均勻矽化之鰭狀端部的多閘極電晶體
一般而言,本揭示發明係關於製造包含具有雙閘極(FinFET)或者三閘極架構之電晶體元件的高度複雜之積體電路。
製造譬如CPU、儲存裝置、ASIC(特定應用積體電路)等先進的積體電路時,係須依照特定的電路佈局形成大量的電路元件於給定的晶片區上,其中場效應電晶體表示實質上決定積體電路之性能之一種重要類型的電路元件。一般而言,目前實施複數種製程技術,其中,對於包含場效應電晶體之許多類型之複雜的電路而言,由於鑑於操作速度和/或電力消耗和/或成本效益之優越的特性,MOS技術是目前其中一種最有前景的方法。在使用例如MOS技術製造複雜的積體電路期間,係將例如N通道電晶體和/或P通道電晶體之數百萬個電晶體形成在包含結晶半導體層之基板上。場效應電晶體,不管係考慮到N通道電晶體或者P通道電晶體,典型地包括了由高度摻雜區域(稱為汲極和源極區域)與配置成鄰接於高度摻雜區域之譬如通道區域之稍微摻雜或者未摻雜的區域之介面所形成之所謂的PN接面。於場效應電晶體中,通道區域之導電率,亦即導電通道之驅動電流能力,係藉由形成為鄰接通道區域並且由薄絕緣層與通道區域分離之閘極電極所控制。在由於施加適當的控制電壓於閘極電極而形成導電通道時,通道區域之導電率係依據摻雜劑濃度、電荷載子之移動率、和對於平面電晶體架構而言之源極和汲極區域之間的距離(其亦稱為通道長度)而定。
目前由於實質上未受限制之可利用性、對於矽和相關材料和製程之特性的完善了解、和聚集了過去50年的經驗,廣大主要的積體電路係以矽為基礎。因此,矽佷可能將維持為針對量產產品所設計之未來電路世代之首選材料。在製造半導體裝置時矽具有首要重要性之一個理由是,矽/二氧化矽介面可以在不同的區域之間彼此允許可靠的電性絕緣的優越特性。該矽/二氧化矽介面在高溫下穩定並且因此當需要時允許後續高溫製程(例如,退火循環以活化摻雜劑和治癒結晶損壞)之性能,而不會犧牲介面之電性特性。
就上述指出之理由而言,於場效應電晶體中,較宜使用二氧化矽作為分離閘極電極(通常由多晶矽或其他的含金屬材料組成)與矽通道區域之基本閘極絕緣層。於穩定地改善場效應電晶體之裝置性能中,係持續縮減通道區域之長度以改善切換速度和驅動電流能力。因為藉由供應至閘極電極之電壓(該電壓係用以反轉通道區域之表面至充分高之電荷密度以針對給定之供應電壓提供所希望之驅動電流)而控制電晶體之性能,因此必須維持由藉由閘極電極、通道區域和配置於其間之二氧化矽所形成之電容器所提供之某種程度之電容性耦接(capacitive coupling)。結果發現,針對平面電晶體組構減少通道長度係需要增加電容性耦接以避免於電晶體操作期間有所謂的為的短通道性質(short channel behavior)。短通道性質也許導致增加的漏電流和臨限電壓對通道長度之相依性。具有相當低之供應電壓和因此減少之臨限電壓之經積極微縮的電晶體裝置可能會承受以指數增加之漏電流,同時亦需要提升之閘極電極至通道區域的電容性耦接。於是,二氧化矽層之厚度必須相應地減少以提供閘極與通道區域之間所需的電容。舉例而言,約0.08μm之通道長度也許需要由約1.2nm之盡可能薄之二氧化矽製成的閘極介電質。雖然典型地可以將具有極短通道之高速電晶體元件之使用限制於高速應用,而具有較長通道之電晶體元件可以使用於較不關鍵之應用,譬如儲存器電晶體元件,惟由於電荷載子直接穿隧過極薄的二氧化矽閘極絕緣層所引起之相當高的漏電流對於1至2nm範圍之氧化物厚度而言可以到達也許不適合於性能驅動電路之要求的值。
因此,已經考慮取代二氧化矽作為用於閘極絕緣層之材料,尤其對於極薄之二氧化矽閘極層而言更是如此。可能替代的材料包含呈現明顯較高介電常數之材料,而使得具有實際較大厚度之相應形成之閘極絕緣層提供將由極薄的二氧化矽層所獲得之電容性耦接。因此,已經建議用譬如具有約25之k值之氧化鉭(Ta2 O5 )、具有約150之k值之氧化鍶鈦(SrTiO3 )、氧化鉿(HfO2 )、HfSiO、氧化鋯(ZrO2 )等具有高介電常數材料取代二氧化矽。
雖然根據上述特定的策略能夠獲得關於複雜之平面電晶體架構之性能和可控制性之顯著的優點,但是鑑於進一步之裝置微縮,已經提出新的電晶體組構,其中,可設置“三維”組構以嘗試獲得所希望之通道寬度而同時維持電流流經通道區域之良好的可控制性。欲達此目的,已經提出所謂的FinFET,於該FinFET中,矽之薄片或者鰭可以形成在絕緣體上覆矽(silicon-on-insulator;SOI)基板之薄主動層中,其中,於兩個側壁上,可以設置閘極介電材料和閘極電極材料,由此實現雙閘極電晶體,該雙閘極電晶體之通道區域可以被完全空乏化。典型地,於複雜的應用中,矽鰭之寬度為10nm之量級,而其高度為30nm之量級。於修正版之基本雙閘極電晶體架構中,閘極介電材料和閘極電極亦可以形成在鰭之上表面,由此實現三閘極電晶體架構。參照第1a至1b圖,可以更詳細說明習知的FinFET之基本組構和相關習知的製造技術之特徵。
第1a圖示意地顯示表示包含了複數個個別電晶體單元150之習知的雙閘極或者FinFET場效應電晶體(FinFET)之半導體裝置100之透視圖。如圖所示,裝置100包括譬如矽基板之基板101,該基板101於其上例如以二氧化矽材料形式形成有埋置絕緣層。而且,各電晶體單元150包括鰭110,該鰭110可以表示最初形成在埋置氧化物層102上之矽層(未顯示)之剩餘部分,由此界定SOI組構。鰭110包括對應於汲極和源極區域之部分111以及通道區域(未顯示),該通道區域由可以包圍各鰭110之中央部分之閘極電極結構120所覆蓋。也就是說,閘極電極結構120可以形成在各鰭110之中央部位之各自側壁110A、110B上,並且可以包括譬如二氧化矽之適當的閘極介電材料,結合譬如多晶矽之電極材料。鰭110之上表面可以藉由由氮化矽、二氧化矽等所組成之蓋層112所覆蓋。應該了解到,若除了側壁表面110A、110B之外,鰭之上表面亦被用作通道區域,則蓋層112亦可以表示閘極介電材料。鰭110可以具有高度110H、寬度110W、和長度(亦即,實質上由閘極電極結構120之寬度定義之有效的通道長度)。
典形地,半導體裝置100包括複數個電晶體單元150,該電晶體單元150係藉由圖案化形成在埋置絕緣層102上之主動矽層並且實施用來設置閘極電極結構120的適當設計之製程而形成。舉例而言,蓋層112可以形成於主動矽層,而為了獲得鰭110,該主動矽層可以根據複雜的微影術(lithography)和蝕刻技術而被後續地圖案化。其後,可以例如藉由氧化作用等形成譬如二氧化矽等之適當的閘極介電材料,接著沉積適當的閘極電極材料,譬如多晶矽等。其次,可以藉由圖案化閘極電極材料,例如使用已建立完善之高度選擇性之蝕刻技術(該技術亦已完善建立用於在平面電晶體架構中製造多晶矽閘極電極)而獲得閘極電極結構120。其後,可以建立用於汲極和源極區域111(可能結合了適當的間隔件結構(未顯示))之適當的摻雜劑輪廓(profile),該摻雜劑輪廓可以藉由對應之離子植入技術完成。
第1b圖示意地顯示於進一步製造階段中裝置100之上視圖。如圖所示,可以藉由以磊晶方式重新生長之矽材料連接個別電晶體單元150之汲極區域和對應之源極區域,藉此分別在汲極側和源極側形成矽層103。典形地,可以藉由選擇性磊晶生長技術形成於汲極側和源極側之矽材料,由此需要對應之間隔件元件104以便提供材料103相對於閘極電極結構120之閘極電極材料所需的偏移(offset)。依據整體製程策略,在形成具有減少之摻雜劑濃度之對應之汲極和源極延伸區域(未顯示)以適當地連接至形成在鰭110之中央部分之側壁上的對應通道區域之後,亦可以使用間隔件結構104來界定對應之重摻雜汲極和源極區。於形成半導體材料103藉此設置裝置100之分離的汲極區與源極區之後,可以根據已建立完善之金屬矽化物製程機制(regime)形成對應之接觸區(未顯示,例如由金屬矽化物組成)於半導體材料103中。其後,可以藉由將裝置100埋置於適當的介電材料中和形成對應之接觸元件而形成適當的接觸結構,以便依照整個電路組構連接汲極和源極區域103和閘極電極結構120。
於操作期間,當施加適當的控制電壓於閘極電極結構120時,為了建立從汲極流至源極之電流,可以施加適當的電壓至裝置100。於是,可以創造導電通道於由閘極電極結構120所覆蓋之鰭110之側壁,其中依據整體裝置尺寸,在鰭110內可以增加完全空乏化之半導體面積。應該了解到,依於蓋層112之特性,由閘極電極結構120所覆蓋之鰭110之上表面亦可以用作為通道區域,由此提供甚至更增加之有效的電晶體寬度,同時亦能夠增加鰭110之高度而仍然維持實質上全部空乏化之狀態。雖然如圖示於第1a至1b圖中之多閘極電晶體裝置100能夠具有關於提供增加之驅動電流能力同時仍然維持流經汲極和源極區103間之電流的高度可控制能力的優點,惟結果發現,也許會產生裝置特性之高度變動性,該變動性可能係(除了別的原因以外)起因於共同汲極和源極區域103之組構。舉例而言,根據已經在較早的製造階段中以磊晶方式形成之半導體層103而實施之矽化作用(silicidation)過程也許會導致有關鄰接通道區域之對應之金屬矽化物區域之某種程度的不一致性,該鄰接之通道區域也許因此造成所得到之整體驅動電流之明顯的變動性。
本揭示發明係針對可以避免、或者至少減少上述提及之一個或多個問題之影響的各種方法和裝置。
下文提出本發明之簡單概述,以便提供本發明一些態樣之基本了解。此概述並非本發明廣泛之詳盡綜論。其無意用來驗證本發明之關鍵或重要元件,或用來描繪本發明之範圍。其唯一目的是以簡化形式呈現一些概念作為稍後更詳細說明之引言。
一般而言,本文中揭示之標的內容係關於諸如雙閘極電晶體和三閘極電晶體之多閘極電晶體,以及對應之製造技術,於此多閘極電晶體中,可以藉由提供增強之接觸機制而減少裝置變動性,以便直接將電晶體元件之一個或多個鰭與接觸元件電性連接,同時避免對應之選擇性磊晶生長技術和磊晶生長之半導體材料之非一致矽化作用製程。為了此目的,依照本文中所揭示之一個例示態樣,可以適當地暴露一個或多個鰭之端面,以便在其中可以用來形成接觸區域,例如根據矽化作用製程,由此產生實質均勻的接觸區域,因此可以提升從接觸元件流入鰭之對應的汲極和源極部分之電流之一致性,其中同時,複數個鰭可以共同地連接至接觸元件。於本文中所揭示之其他的例示態樣中,於用來形成直接連接至鰭之各自端部各者的接觸開口的蝕刻製程期間可以暴露鰭之端部之適當的表面區。於此情況中,亦可以建立從共同接觸元件至鰭之個別汲極和源極區之更有效和一致的導電通路,由此亦提升多閘極電晶體元件之整體操作的穩定度。
本文中所揭示之一個例示方法包括在多閘極電晶體之複數個鰭之端部之上形成介電材料,以及在介電材料中形成開口以便延伸穿過該等端部。該方法復包括於暴露於該開口之各端部之剖面區中形成接觸區域。最後,該方法包括於該開口中形成接觸元件,其中,該接觸元件連接至各接觸區域。
本文中所揭示之另一個例示方法係關於形成連接至多閘極電晶體之接觸元件。該方法包括形成介電材料,以便包圍多閘極電晶體之一個或多個鰭之端部。再者,該方法包括於介電材料中形成接觸開口,其中,該接觸開口暴露一個或多個鰭之至少一部分。最後,該方法包括用含金屬材料填充該接觸開口,以便設置連接至該一個或多個鰭之至少一部分的接觸元件。
本文中所揭示之一個例示半導體裝置包括多閘極電晶體之複數個鰭,和形成在該複數個鰭之中央部位之上之閘極電極結構。再者,介電材料包圍各複數個鰭之端部,而含金屬接觸元件形成在介電材料中並且具有與該複數個鰭之各端部之至少一個表面區介接之介面。
以下敘述本發明之各種例示實施例。為求清楚起見,在此說明書中並未描述實際實作之所有特徵。當然,將了解到在任何此種實際實施例之開發中,必須作出許多特定實作的決定以達成開發者的特定目標,譬如符合系統相關或商業相關的限制,這些決定將依實作而變化。此外,將了解到,此種開發效果可能是複雜且耗時的,不過這對藉助於此揭露之該技術領域中具有通常知識者而言是例行工作。
現將參考附圖來說明本發明。各種結構、系統和裝置係示意地繪示於圖式中僅為了說明之目的,以便不會由熟悉此項技術著已熟知之細部而模糊了本發明。不過,仍包含附圖以說明與解釋本發明之例示範例。在本文使用的字彙與詞應被了解與解釋為具有與熟悉該項技藝者所認定之字彙與詞一致的意義。本文前後一致使用的術語以及詞彙並無暗示特別的定義,特別的定義係指與熟悉該項技藝者認知之普通慣用的定義所不同之定義。如果一個術語或詞彙具有特別的定義,亦即非為熟悉該項技藝者所了解之意義時,本說明書將會直接且明確地提供其定義。
一般而言,本揭示發明提供下述之技術和多閘極電晶體,於該多閘極電晶體中,能夠提升汲極和源極終端相對於個別汲極和源極部分和連接至該汲極和源極區域之通道區域之接觸電阻,以減少整體之產品變動性。為了此目的,於一些例示實施例中,可以於多閘極電晶體之對應鰭之各個端部形成接觸區域,以便可以提升關於在不同的通道區域中之接觸電阻之均勻性(homogeneity)的程度。欲達此目的,於一個例示實施例中,金屬矽化物可以形成在各該等鰭之對應端面之中和之上,由此提供關於不同的通道區域之實質相同的矽化作用狀況。此情形於一些例示實施例中可以藉由埋置個別的鰭於介電材料中並且在該介電材料中形成對應之開口以便“切”穿該等鰭而完成,由此暴露在該開口內鰭之各自的端面。結果,可以在暴露的剖面區內或者各鰭之端面實施非常均勻之矽化作用製程,如此可以提升遍及對應之鰭中各通道區域之整個“通道寬度”之接觸電阻的一致性。於一些例示實施例中,對應之開口亦可以使用為接觸開口,該接觸開口通常可以用適當的接觸金屬填滿,藉此連接個別鰭與接觸元件之各接觸區域,而使得可以建立鰭之所有端部之間的低電阻電性連接。
又於另一個例示實施例中,鰭之端部之至少側壁表面可以暴露於接觸開口內,該接觸開口能夠後續地用適當的含金屬材料填滿,由此建立均勻的電性連接至各對應之通道區域,同時亦電性短路鰭之對應之端部以建立共同的汲極端和/或源極端。結果,可以使用已建立完善之矽化作用技術、鑲嵌(inlaid)技術之沉積製程等,同時由於減少對應之電晶體變動性,故仍然提供明顯提升之產品穩定性,其中該電晶體變動性一般由在矽化作用過程之前使用磊晶生長之習知的接觸機制所引起。
參照第2a至2r圖,現在將詳細說明進一步之例示實施例,其中若適當的話,亦可以參照第1a至1b圖。
第2a圖示意地顯示半導體裝置200之透視圖,因為可以藉由閘極電極之各自的部分控制至少二個分離之通道區域,因此其亦可以稱為多閘極電晶體,如上述亦參照半導體裝置100之說明。於所示之製造階段中,裝置200可以包括譬如矽基板或者任何其他適當的載體材料之基板201,在此基板201上可以形成適當的基層202,於一些例示實施例中,該基層202可以代表譬如二氧化矽層、氮化矽層、氧氮化矽層等絕緣層。舉例而言,若基板201可以由實質結晶半導體材料組成,則基層202(若以絕緣材料之形式設置)、和基板201可以界定SOI組構。而且,複數個鰭210形成在層202上並且包括各自的端部210E和由閘極電極結構220所覆蓋之中央部分210C。再者,閘極絕緣材料可以形成至少於鰭210之側壁上(第2a圖中未顯示),同時若考慮到三閘極電晶體架構的話則對應之閘極絕緣層能夠形成在鰭210之上表面。於其他的情況中,可以藉由蓋層(未顯示)覆蓋鰭210,該蓋層也許導致與鰭210的電容性耦接不足,而使得其上表面也許實際上不作用為通道區域。關於鰭210之任何材料組合物,依據整體裝置需求,應該了解到,可以使用任何適當的材料,譬如矽、矽/鍺、鍺、或者任何其他適當的半導體組合物。相似地,可依照所考慮之對應技術節點之設計準則,選擇鰭210之對應尺寸。
第2b圖示意地顯示沿著第2a圖之剖線IIb之剖面圖。如圖所示,譬如氮化矽層等之蓋層212可以形成在鰭210上,而可以由譬如多晶矽、含金屬材料等之任何適當材料組成之閘極電極結構220可以形成在蓋層212上,並且亦可以沿著鰭210之對應的側壁(於第2b圖之剖面圖中未顯示)向下延伸至層202。可以根據已建立完善之製程技術形成如第2a和2b圖中所示之半導體裝置200,例如亦如上述參照裝置100之說明。
第2c圖示意地顯示於進一步製造階段中電晶體200之透視圖。如圖所示,閘極電極結構220可以包括側壁間隔件結構221,該側壁間隔件結構221可以由譬如氮化矽、二氧化矽等任何適當材料組成。可以根據已建立完善之沉積和蝕刻技術而形成間隔件結構,其中可以依照於鰭210之各端部210E所希望之摻雜劑輪廓而選擇間隔件結構220之寬度。也就是說,可藉由使用偏移間隔件元件(未顯示)而於鄰接閘極電極結構220之端部210E建立適當高之摻雜劑濃度,其後可以設置一個或多個間隔件元件之結構221,並且於一個或多個後續植入步驟期間用作為植入遮罩以於端部210E以離閘極電極結構220之閘極電極材料222具有一側向距離之方式提供所希望之高摻雜劑濃度。應該了解到,可以藉由在結構221中適當地形成對應數目之間隔件元件而建立從電極材料222延伸之任何適當的濃度輪廓。應該進一步了解到,可以執行任何其他的植入製程,例如關於在表示實際通道區域之中央部分210C之附近界定反摻雜區。汲極和源極區域211可以形成於相對於中央部分210C位在相反位置並具有所希望之摻雜劑濃度和濃度梯度的端部210E。
第2d圖示意地顯示於進一步製造階段中之半導體裝置200。如圖所示,譬如二氧化矽、氮化矽、碳化矽等介電材料230可以形成在鰭210和閘極電極結構220之上。於一些例示實施例中,為了調適材料特性使其適於裝置200之進一步製程,介電材料230可以由二個或更多個材料層所組成。舉例而言,可以形成蝕刻終止襯墊(liner),而後可以沉積具有所希望材料特性之實際填充材料。於其他的例示實施例中,可以至少於介電材料230之上部提供適當的抗反射塗層(anti-reflective coating;ARC)材料、硬遮罩材料等。可以依於所需要的材料特性,根據已建立完善之沉積技術,譬如電漿輔助化學氣相沉積(CVD)、熱活化式CVD、旋塗(spin-on)技術,完成材料230之沉積。舉例而言,若認為將形成於裝置200上之額外的接線層級(wiring level)所相關之增加之邊緣電容(fringing capacitance)或者任何其他的寄生電容為不適當,則可以選擇減少相對介電常數之材料。
第2e圖示意地顯示第2d圖之裝置200之剖面圖。如圖所示,材料230可以包圍閘極電極結構220和鰭210之端部210E。於沉積介電材料230後,可以實施微影製程,以提供用以界定待形成於介電材料230中之對應開口之側向位置和尺寸之蝕刻遮罩。
第2f圖示意地顯示裝置200之透視圖,其中蝕刻遮罩205形成在材料230之上。
第2g圖示意地顯示於製造階段中裝置之剖面圖。如圖所示,裝置200可以暴露於蝕刻環境206中,該蝕刻環境206設計成非等向性方式蝕刻穿過介電材料230,而於所示實施例中,亦蝕刻穿過鰭210之端部210E。也就是說,於一個例示實施例中,可以根據蝕刻化學反應和對應之蝕刻參數執行蝕刻製程206,該等蝕刻化學反應和蝕刻參數可以針對層230之材料和鰭210之材料導致非等向性蝕刻性質。舉例而言,複數種電漿輔助蝕刻配方可以用來蝕刻穿過二氧化矽材料和矽材料而沒有顯著的選擇性,其中亦可以有效地去除蓋層212之材料。於其他的情況中,當以可具有較材料230明顯為低之蝕刻率的材料形式提供蓋層212時,在暴露蓋層212後,可以實施適當的中間蝕刻步驟。於其他的例示實施例中,可以根據已建立完善之選擇性蝕刻配方實施蝕刻製程206,由此有效地去除層230之材料,其中依於選擇性之程度可以保持鰭210。其後,可以適當地修正蝕刻化學反應,以便有效地蝕穿端部210E。如前面之說明,若於蝕刻製程206期間所形成之開口230A之底部處之層202之明顯的材料去除被認為是不適當,則對應之蝕刻終止襯墊可以形成為層230之第一材料層。結果,端部210E之剖面區或者端面210F可暴露於開口230A內,該端面210F因此可以用來形成於該端部210E中之均勻的接觸區域。
第2h圖示意地顯示於去除蝕刻遮罩205後之半導體裝置200之透視圖,該去除蝕刻遮罩205可以例如包含任何清潔製程,以使暴露之表面部分(譬如端面或者橫剖面區210F)準備好用來在其上形成適當的接觸區域。於一個例示實施例中,當鰭210包括能夠供產生譬如矽化鎳、矽化鎳/鉑、矽化鈷等金屬矽化物材料之明顯數量之矽時,可以使端面210F準備好用來在其中形成金屬矽化物。為了此目的,可以使用已建立完善之濕化學清潔和表面製備製程,同時可以藉由介電材料230保護其他的裝置區。
第2i圖示意地顯示於進一步製造階段中之半導體裝置200,於此階段中可以沉積適當的金屬,譬如鎳、鉑、鈷、鈦、或者他們的任何組合,以便至少覆蓋開口230A之側壁,如由層231所表示。
第2j圖示意地顯示於進一步製造階段中裝置200之剖面圖。如圖所示,於所示實施例中,裝置200可以暴露於熱處理232,該熱處理232係經設計成用來起始層231之材料與端面210F之間之化學反應,該等端面210F於開口230A內係由層231覆蓋。當欲形成金屬矽化物於端面210F中和端面210F上時,可以依照已建立完善之矽化作用製程而實施熱處理232。於是,於熱處理232期間,可以發生實質一致之擴散遍及端面210F之整區,由此亦提供於處理232期間形成之金屬矽化物材料之實質均勻的分佈。應該了解到,可以根據開口230A之寬度或者一般而言根據開口230A相對於中央部分210C之距離,而調整端面210F相對於中央區域210C(其亦稱為通道區域)之側向距離210D。於是,可以藉由端面210F之側向位置並藉由對應之製程參數(亦即,熱處理232之參數結合層231之材料的擴散特性)而決定形成在端面210F中和端面210F上之金屬矽化物材料之最後距離。因為於此技術中用於複數種矽化作用製程和材料之對應的參數為已熟知,因此可以有效地調整端部和中央區域210C之間之對應的接觸電阻,同時可以達成遍及端面210F之整區之對應的均勻性,因而可以延著中央區域或者通道區域210C之整個垂直延伸獲得關於接觸電阻率之實質相似之狀況。
於熱處理232後,為了去除層231之任何未反應的材料,可以例如藉由選擇性蝕刻製程而繼續進一步之製程,其中可利用已建立完善之濕化學蝕刻化學反應。其後,如果需要,可以實施任何進一步的處理(譬如熱處理),以提供對應金屬矽化物材料所希望之材料特性和/或提升其熱穩定度。
第2k圖示意地顯示於上述製程順序後半導體裝置200之透視圖。此處,已經在半導體裝置200上和半導體裝置200中形成可由適當的金屬矽化物材料組成之端部210E。
第21圖示意地顯示第2k圖之裝置之剖面圖,其中接觸區域235可以沿著端部210E依照長度235L延伸,該長度235L可以藉由製程參數和所使用之金屬的金屬特性決定,如上述之說明。應該了解到,依據前面矽化作用反應之特性,接觸區域235亦可以延伸入開口230A中(未顯示)。結果,接觸區域235可以提供可供用來連接待形成於開口230A中之接觸金屬的表面區,由此提升關於各鰭210之通道區域210C之接觸電阻率的均勻性,同時亦能夠使各鰭210有效地電性短路。
第2m圖示意地顯示根據一進一步例示實施例之半導體裝置200,其中裝置200可以暴露於設計成可以獲得關於層230之材料之等向性蝕刻性質之另一蝕刻環境207中。舉例而言,於製程207期間可以建立稀釋的氫硫酸或者任何其他的濕化學或者電漿輔助等向性蝕刻環境,以相對於接觸區域235選擇性地去除層230的材料。於是,如虛線230D所顯示,對應之材料去除除了暴露前表面235E之外,尚可以暴露接觸區域235之額外的表面區。舉例而言,可以部分地暴露上表面235T並亦暴露對應之側壁表面235S,而使得於此情況中,可以取得甚至更增強之全部表面區,用來連接仍然待形成在開口230A中之接觸元件。於是,依照第21圖中所示之製造階段或者第2m圖中所示之製造階段,為了形成對應之接觸元件,可以藉由用含金屬材料填滿開口230A而繼續進一步的製程。
第2n圖示意地顯示具有形成在裝置200之上之含金屬材料240之半導體裝置200之透視圖,藉此提供電性接觸至對應之接觸區域235(參看第21和2m圖)。
第2o圖示意地顯示第2n圖之裝置200之剖面圖。於所示實施例中,含金屬材料240可以由導電阻障材料241和具優越導電性之金屬242所組成。舉例而言,於一些例示實施例中,可以用已建立完善之阻障材料之形式(譬如鈦、氮化鈦等)設置導電阻障材料241並結合鎢做為材料242。於其他例示實施例中,可依據關於導電率及與裝置200之進一步製程之相容能力之整體需求而以銅、銅合金、鋁等之形式設置高導電之材料242。舉例而言,導電阻障材料241可以提供考慮到譬如銅之敏感金屬時所需的擴散阻障效果,由此實質地抑止不適當的銅原子擴散入敏感裝置區,譬如鰭210。於此情況中,可以藉由已建立完善之電化學沉積製程提供銅材料或者銅合金,其中,如果需要,例如銅等形式之晶種(seed)材料可以形成在導電阻障材料241上。於其他情況中,可以直接沉積材料242在導電阻障材料241上而沒有任何晶種材料。
應該了解到,導電阻障材料241、因而材料242可以至少經由前表面235E(第2m圖)而電性連接至接觸區域235,同時於其他情況中,額外的表面區235T和235S(第2m圖)亦可以直接與材料241接觸,由此定義對應之介面241J。結果,可以經由接觸區域235建立均勻和有效的電性接觸至汲極和源極區211。應該了解到,依據開口230A之側向位置和其寬度,端部210E可能已由開口230A所“橫斷(intersect)”,由此亦遺留剩餘的鰭部分210R,而因為對應之接觸區域於前面步驟期間也可能形成在剩餘的端部210R中,因此該剩餘的鰭部分210R亦可能會連接至導電阻障材料241。應該注意的是,這些剩餘的端部210R不會不利地影響裝置200之全部性能,因此在決定開口230A之尺寸和位置時,可提供高度的彈性,而不問鰭210之最初長度為何。
第2p圖示意地顯示於進一步製造階段中之半導體裝置200,其中裝置200可以暴露於去除製程208,於此去除製程208中,為了能夠調整裝置200之整體高度位準,可以去除層240、241(第2o圖)之過量材料,並可能同時去除層230之材料。去除製程208可以包括化學機械研磨(CMP)製程等,於此等製程中可以獲得對應之接觸元件243作為電性隔離金屬區域,該電性隔離金屬區域經由介面241J連接至各鰭210。如前面之說明,若接觸區域235需要對應之增加暴露,則介面241J亦可以形成於上表面和各自的側壁表面,譬如表面235T、235S(第2m圖)。此外,於一些例示實施例中,可以繼續去除製程208以便暴露閘極電極材料222,該閘極電極材料222因此可依據整體裝置需求用來連接待形成於裝置200之上之進一步的金屬化層。因此,於此製造階段中可以使用用於閘極電極結構220之任何適當的接觸機制。
參照第2q至2r圖,現在將說明進一步的例示實施例,其中接觸元件243和用於閘極電極結構220之對應的接觸元件可以形成於共同的製造順序中。
第2q圖示意地顯示相似於前面參照第2g圖所討論之製造階段之於製造階段中裝置200之剖面圖。如圖所示,裝置200可以在其上已經形成有於蝕刻製程206期間所使用之蝕刻遮罩205A,其中蝕刻遮罩205A亦可以界定材料230中的開口230B,該開口230B暴露至少部分之閘極電極結構220。舉例而言,可以根據選擇性非等向性蝕刻配方實施蝕刻製程206,其中可相對於閘極電極結構220和鰭210之材料選擇性地去除層230A之材料。舉例而言,可相對於矽材料選擇性地去除二氧化矽,同時於其他的情況中,可以根據蓋層212和間隔件結構221控制蝕刻製程206,以便避免閘極電極材料222和鰭210之明顯的材料去除。又於其他的例示實施例中,可以根據包括有適當厚之上層221T的間隔件結構221而控制蝕刻製程206,由此避免閘極電極222之明顯的材料去除,同時能夠蝕刻穿透鰭210之端部,如前面之說明。對應之蝕刻行為由虛線210F示意地說明。其後,於蝕刻製程206之最後階段中可以去除剩餘的蓋層221T,由此暴露閘極電極222。又於其他的例示實施例中,可以實施蝕刻製程206以便蝕刻穿透端部210E,如由虛線210F所表示,同時亦蝕刻入閘極電極材料222中,由此形成對應之凹部,如由虛線222R所表示。結果,於蝕刻製程206之後,端部210E之表面區可有效用來與適當的金屬接觸,同時至少部分之閘極電極材料222亦可有效用來在其中和其上形成接觸元件。舉例而言,於去除蝕刻遮罩205A之後,可以將暴露之表面部分準備好用於矽化作用製程,亦如前面之說明。於其他的情況中,若認為用來連接至鰭210和至閘極電極材料222之可用的表面區為適當,則可以用導電阻障材料和高導電金屬直接填滿對應之開口230A、230B。
第2r圖示意地顯示於進一步製造階段中之半導體裝置200。如圖所示,接觸元件243可以形成於開口230A中並且可以由任何適當的材料構成,亦如前面之說明。再者,於所示實施例中,如果認為適當的話,各自的接觸區域235可以形成於端部210E。於其他的情況中(未顯示),可以不設置接觸區域235。應該進一步了解到,取決於是否端部210E之部分於前面蝕刻製程206期間已經被移除或者被蝕穿(第2q圖),該端部210E可以延伸“進入”接觸元件243。而且,裝置200可以包括連接至閘極電極材料222之進一步的接觸元件244,其中,依於所希望之製程策略,例如由金屬矽化物所構成之對應的接觸區域236可以設置於材料222中。可以根據如前面參照第2n至2p圖說明之相似的製程技術形成如第2r圖中所例示之裝置200,然而,於此情況中,亦可以獲得接觸元件244,並可能結合接觸區域236。因此,相較於上述之順序,可以接觸閘極電極結構220而沒有任何額外的製程,其中亦可達成接觸元件243與對應之汲極和源極區211之間均勻的電性連接。於是,當整體電路佈局需要時,可以藉由沉積適當的介電材料和在其中形成金屬線或者連接至接觸元件243、244之金屬區域而形成進一步的金屬化層級。
結果,本揭示發明提供了多閘極電晶體和各自的製造技術,其中可以提升用於對應鰭之各複數個汲極和源極區域之接觸電阻率的一致性,同時與已建立完善之接觸製程技術仍然維持著高度的相容性。舉例而言,已建立完善之矽化作用過程可以應用於對應鰭之暴露的端面,由此提供對應之矽化物區域之高度的均勻性,而同時藉由形成共同的接觸元件而使得能夠透過金屬矽化物區域有效地電性短路鰭端部。於其他的實施例中,可以形成具有提升一致性之接觸元件用於多閘極電晶體之汲極和源極區域,而同時設置接觸元件至閘極電極結構。
以上所揭示之特定實施例僅作例示用,因為對於熟悉該技術領域者而言,藉助此處之教示而能以不同但等效之方式修改及實施本發明是顯而易見的。例如,以上所提出之製程步驟可以不同順序執行。再者,除了以下申請專利範圍所敘述者之外,在此所示之架構或設計的細節並非意欲限制。因此,很明顯的是,可在本發明之範圍和精神內改變或修改以上所揭示之特定實施例及所思及之所有此等變化。由此,本發明所要求保護者係如以下申請專利範圍所提出者。
100...半導體裝置(多閘極電晶體裝置)
101...基板
102...埋置氧化物層(埋置絕緣層)
103...矽層(半導體材料)(汲極和源極區域)
104...間隔件元件
110...鰭
110A、110B...側壁
110H...高度
110W...寬度
111...部分(汲極和源極區域)
112...蓋層
120...閘極電極結構
150...電晶體單元
200...半導體裝置
201...基板
202...基層
205、205A...蝕刻遮罩
206...蝕刻環境(蝕刻製程)
207...蝕刻環境
208...去除製程
210...鰭
210C...中央部分(中央區域)(通道區域)
210D...側向距離
210E...端部
210F...端面
210R...鰭部分
211...汲極和源極區域
212...蓋層
220...閘極電極結構
221...側壁間隔件(結構)
221T...上層(蓋層)
222...閘極電極材料
222R...虛線
230...介電材料
230A、230B...開口
230D...虛線
231...層
232...熱處理
235、236...接觸區域
235E...前表面
235L...長度
235S...側壁表面
235T...上表面
240...含金屬材料
241...導電阻障材料
241J...介面
242...金屬(高導電材料)
243、244...接觸元件
藉由參照以上敘述結合隨附圖式可以了解本揭示發明,其中相似之元件符號識別相似之元件,且其中:
第1a至1b圖分別示意地顯示習知之多閘極電晶體元件依照習知之策略於設置磊晶生長共同汲極和源極區以電性連接複數個鰭端部和連接至接觸元件之各種製造階段期間之透視圖和上視圖;
第2a至2b圖分別示意地顯示依照例示實施例之於初始製造階段中多閘極電晶體之透視圖和剖面圖;
第2c至2d圖示意地顯示依照進一步例示實施例之於進一步製造階段中多閘極電晶體之透視圖;
第2e圖示意地顯示第2d圖之裝置之剖面圖;
第2f至2g圖分別示意地顯示依照例示實施例於接觸開口形成於介電材料中之製造階段中之透視圖和剖面圖;
第2h至2i圖示意地顯示依照例示實施例之於進一步製造階段中半導體裝置之透視圖;
第2j圖示意地顯示第2i圖之裝置之剖面圖;
第2k至2l圖分別示意地顯示於進一步製造階段中裝置之透視圖和剖面圖;
第2m圖示意地顯示依照進一步例示實施例之剖面圖,於此實施例中,可以暴露各自鰭之接觸區域之增加部分;
第2n至2o圖分別示意地顯示依照例示實施例於進一步製造階段中之透視圖和剖面圖;以及
第2p至2r圖示意地顯示依照又進一步例示實施例之於各種製造階段中多閘極電晶體元件之剖面圖。
雖然此處所揭示之標的內容容易受到各種修改和替代形式的影響,然該等標的內容之特定實施例已藉由圖式中實例之方式顯示和予以詳細說明。然而,應了解到,此處特定實施例之說明並不欲限制本發明於所揭示之特定形式,反之,本發明將涵蓋所有落於由所附之申請專利範圍所界定之本發明的精神和範圍內之所有的修飾、等效、和改變。
200...半導體裝置
201...基板
202...基層
210...鰭
210C...中央部分(中央區域)(通道區域)
210E...端部
220...閘極電極結構

Claims (19)

  1. 一種製造半導體裝置的方法,包括下列步驟:在複數個鰭上形成多閘極電晶體的閘極電極結構,其中,該閘極電極結構包括閘極電極材料,且其中,該複數個鰭的各者的端部係自該閘極電極結構側向延伸;在該閘極電極結構和該多閘極電晶體之該複數個鰭的至少該等端部之上形成介電材料;在該介電材料中形成開口以便延伸穿過且暴露該等端部各者之剖面區;形成金屬層以至少覆蓋該開口的側壁;在形成該開口後,藉由熱處理於暴露於該開口之該等端部各者之該剖面區中形成接觸區域;於該開口中形成接觸元件,該接觸元件連接至該等接觸區域各者;以及於形成該等接觸區域之前,去除該介電材料之一部分,以便暴露該閘極電極材料之一部分。
  2. 如申請專利範圍第1項之方法,其中,於該等端部各者之剖面區形成該接觸區域之步驟包括沉積金屬以及起始該金屬與該剖面區之材料的化學反應。
  3. 如申請專利範圍第2項之方法,其中,該化學反應產生金屬矽化物。
  4. 如申請專利範圍第1項之方法,復包括下述步驟:在形成該開口之前於該等端部中形成汲極和源極區域至少 其中一者。
  5. 如申請專利範圍第1項之方法,其中,於該等端部之上形成該介電材料之步驟包括沉積介電材料層並且平坦化該介電材料層。
  6. 如申請專利範圍第1項之方法,復包括下述步驟:於形成該等接觸區域之後去除該介電材料之材料,以便暴露該等接觸區域之增加部分。
  7. 如申請專利範圍第6項之方法,其中,該等接觸區域之增加部分藉由實施濕化學蝕刻製程而暴露。
  8. 如申請專利範圍第1項之方法,復包括下述步驟:於共同製造程序中形成閘極接觸區域和該等端部之該等接觸區域。
  9. 一種形成連接至多閘極電晶體之接觸元件之方法,該方法包括下列步驟:形成介電材料,以便包圍該多閘極電晶體之一個或多個鰭之端部;去除該介電材料之一部分,以便暴露閘極電極結構之閘極電極材料之一部分;於該介電材料中形成接觸開口,該接觸開口暴露該一個或多個鰭之至少一部分;形成金屬層以至少覆蓋該接觸開口的側壁;藉由熱處理起始化學反應,以於該金屬層與該等鰭之端面之間形成接觸區域;以及用含金屬材料填充該接觸開口,以便設置連接至該 一個或多個鰭之該至少一部分的接觸元件。
  10. 如申請專利範圍第9項之方法,其中,係將該接觸開口形成為延伸穿過該端部並且暴露其剖面區。
  11. 如申請專利範圍第10項之方法,其中,該接觸區域形成於該暴露之剖面區上。
  12. 如申請專利範圍第11項之方法,其中,根據矽化作用製程形成該接觸區域。
  13. 如申請專利範圍第12項之方法,復包括下述步驟:藉由實施等向性蝕刻製程暴露該接觸區域之增加部分。
  14. 如申請專利範圍第9項之方法,其中,形成該接觸開口之步驟包括暴露該端部之至少一部分的至少側壁表面。
  15. 如申請專利範圍第9項之方法,復包括下述步驟:藉由去除該介電材料之材料和該接觸元件而暴露該閘極電極結構之閘極電極材料。
  16. 一種半導體裝置,包括:多閘極電晶體之複數個鰭;閘極電極結構,形成在該複數個鰭之中央部位之上;介電材料,包圍該複數個鰭各者之端部;接觸區域,係藉由與金屬層進行熱處理而形成,其中,該金屬層在該熱處理後被去除;以及含金屬接觸元件,形成在該介電材料中,並且具有與該複數個鰭之各端部之至少一個表面區介接的介面。
  17. 如申請專利範圍第16項之半導體裝置,復包括金屬矽 化物材料,其係形成在該端部之端面中,其中,該端面形成該介面並且為該端部於該等鰭之長度方向的終端面。
  18. 如申請專利範圍第17項之半導體裝置,其中,該接觸元件具有以形成在該端部之該等表面之一部分上之金屬矽化物材料所形成的第二介面。
  19. 如申請專利範圍第16項之半導體裝置,復包括鰭部分,其係相較於該端部在相反側連接至該接觸元件。
TW098140285A 2008-11-28 2009-11-26 具有經均勻矽化之鰭狀端部的多閘極電晶體 TWI475605B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102008059500A DE102008059500B4 (de) 2008-11-28 2008-11-28 Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
US12/620,083 US8791509B2 (en) 2008-11-28 2009-11-17 Multiple gate transistor having homogenously silicided fin end portions

Publications (2)

Publication Number Publication Date
TW201030820A TW201030820A (en) 2010-08-16
TWI475605B true TWI475605B (zh) 2015-03-01

Family

ID=42193960

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098140285A TWI475605B (zh) 2008-11-28 2009-11-26 具有經均勻矽化之鰭狀端部的多閘極電晶體

Country Status (6)

Country Link
US (1) US8791509B2 (zh)
KR (1) KR101528880B1 (zh)
CN (2) CN102292799A (zh)
DE (1) DE102008059500B4 (zh)
TW (1) TWI475605B (zh)
WO (1) WO2010062385A1 (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8362568B2 (en) * 2009-08-28 2013-01-29 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US9385050B2 (en) 2011-01-06 2016-07-05 Globalfoundries Inc. Structure and method to fabricate resistor on finFET processes
US9637810B2 (en) 2011-09-30 2017-05-02 Intel Corporation Tungsten gates for non-planar transistors
EP3174106A1 (en) * 2011-09-30 2017-05-31 Intel Corporation Tungsten gates for non-planar transistors
CN107039527A (zh) 2011-09-30 2017-08-11 英特尔公司 用于晶体管栅极的帽盖介电结构
DE112011105702T5 (de) 2011-10-01 2014-07-17 Intel Corporation Source-/Drain-Kontakte für nicht planare Transistoren
DE112011105925B4 (de) 2011-12-06 2023-02-09 Tahoe Research, Ltd. Mikroelektronischer Transistor und Verfahren zum Herstellen desselben
US8759184B2 (en) * 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8609499B2 (en) 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8802513B2 (en) * 2012-11-01 2014-08-12 International Business Machines Corporation Fin field effect transistors having a nitride containing spacer to reduce lateral growth of epitaxially deposited semiconductor materials
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US20140167162A1 (en) 2012-12-13 2014-06-19 International Business Machines Corporation Finfet with merge-free fins
US20140239395A1 (en) * 2013-02-25 2014-08-28 International Business Machines Corporation Contact resistance reduction in finfets
CN105027291A (zh) 2013-03-29 2015-11-04 英特尔公司 具有延伸凹陷的间隔体和源极/漏极区域的晶体管架构及其制造方法
CN112447711B (zh) * 2013-06-18 2023-08-22 联华电子股份有限公司 半导体整合装置
US9508712B2 (en) * 2014-01-02 2016-11-29 Globalfoundries Inc. Semiconductor device with a multiple nanowire channel structure and methods of variably connecting such nanowires for current density modulation
US9793268B2 (en) * 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
US9466718B2 (en) 2014-03-31 2016-10-11 Stmicroelectronics, Inc. Semiconductor device with fin and related methods
US9525069B2 (en) 2014-04-21 2016-12-20 Globalfoundries Inc. Structure and method to form a FinFET device
US9385201B2 (en) * 2014-06-06 2016-07-05 Stmicroelectronics, Inc. Buried source-drain contact for integrated circuit transistor devices and method of making same
US9496179B2 (en) 2014-08-25 2016-11-15 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices
US9324623B1 (en) * 2014-11-26 2016-04-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having active fins
KR102310080B1 (ko) 2015-03-02 2021-10-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102307207B1 (ko) 2015-03-25 2021-10-05 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
KR102318410B1 (ko) 2015-04-01 2021-10-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102311929B1 (ko) 2015-04-01 2021-10-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9876074B2 (en) 2015-05-22 2018-01-23 International Business Machines Corporation Structure and process to tuck fin tips self-aligned to gates
US9691897B2 (en) 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9929271B2 (en) * 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN107706114A (zh) * 2016-08-08 2018-02-16 中芯国际集成电路制造(天津)有限公司 鳍式场效应晶体管及其制备方法
US10886268B2 (en) 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
US10607893B2 (en) * 2018-02-17 2020-03-31 Globalfoundries Inc. Middle of line structures

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030008450A1 (en) * 2001-03-16 2003-01-09 Taiwan Semiconductor Manufacturing Company Self-aligned process for a stacked gate RF MOSFET device
TW200417034A (en) * 2003-02-27 2004-09-01 Taiwan Semiconductor Mfg Contacts to semiconductor fin device and method for manufacturing the same
US20060084232A1 (en) * 2002-08-12 2006-04-20 Grupp Daniel E Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20070099414A1 (en) * 2005-10-31 2007-05-03 Kai Frohberg Semiconductor device comprising a contact structure based on copper and tungsten
US20070161170A1 (en) * 2005-12-16 2007-07-12 Orlowski Marius K Transistor with immersed contacts and methods of forming thereof

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09283751A (ja) * 1996-04-11 1997-10-31 Toshiba Corp 半導体装置およびその製造方法
JP3941133B2 (ja) * 1996-07-18 2007-07-04 富士通株式会社 半導体装置およびその製造方法
US6544850B1 (en) * 2000-04-19 2003-04-08 Infineon Technologies Ag Dynamic random access memory
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6541327B1 (en) * 2001-01-16 2003-04-01 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned source/drain CMOS device on insulated staircase oxide
DE10137217A1 (de) * 2001-07-30 2003-02-27 Infineon Technologies Ag Steg-Feldeffekttransistor und Verfahren zum Herstellen eines Steg-Feldeffekttransistors
US6780691B2 (en) * 2002-08-16 2004-08-24 Chartered Semiconductor Manufacturing Ltd. Method to fabricate elevated source/drain transistor with large area for silicidation
US6818952B2 (en) * 2002-10-01 2004-11-16 International Business Machines Corporation Damascene gate multi-mesa MOSFET
JP2005086024A (ja) * 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
EP1711966B1 (en) * 2004-01-22 2012-02-22 International Business Machines Corporation Vertical fin-fet mos devices
KR100625175B1 (ko) * 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
JP2006012898A (ja) 2004-06-22 2006-01-12 Toshiba Corp 半導体装置及びその製造方法
US7026689B2 (en) * 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7282766B2 (en) * 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
KR100585178B1 (ko) * 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
JP4825526B2 (ja) 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
KR100683867B1 (ko) 2006-02-09 2007-02-15 삼성전자주식회사 반도체 소자 및 그 형성 방법
US8026553B2 (en) * 2007-05-10 2011-09-27 Kabushiki Kaisha Toshiba Semiconductor memory device and manufacturing method thereof
US7732859B2 (en) * 2007-07-16 2010-06-08 International Business Machines Corporation Graphene-based transistor
US7910994B2 (en) * 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
US7872303B2 (en) * 2008-08-14 2011-01-18 International Business Machines Corporation FinFET with longitudinal stress in a channel
US8435845B2 (en) * 2011-04-06 2013-05-07 International Business Machines Corporation Junction field effect transistor with an epitaxially grown gate structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030008450A1 (en) * 2001-03-16 2003-01-09 Taiwan Semiconductor Manufacturing Company Self-aligned process for a stacked gate RF MOSFET device
US20060084232A1 (en) * 2002-08-12 2006-04-20 Grupp Daniel E Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
TW200417034A (en) * 2003-02-27 2004-09-01 Taiwan Semiconductor Mfg Contacts to semiconductor fin device and method for manufacturing the same
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20070099414A1 (en) * 2005-10-31 2007-05-03 Kai Frohberg Semiconductor device comprising a contact structure based on copper and tungsten
US20070161170A1 (en) * 2005-12-16 2007-07-12 Orlowski Marius K Transistor with immersed contacts and methods of forming thereof

Also Published As

Publication number Publication date
CN105428239A (zh) 2016-03-23
KR101528880B1 (ko) 2015-06-22
WO2010062385A1 (en) 2010-06-03
TW201030820A (en) 2010-08-16
CN102292799A (zh) 2011-12-21
DE102008059500B4 (de) 2010-08-26
DE102008059500A1 (de) 2010-06-24
KR20110097886A (ko) 2011-08-31
US20100133614A1 (en) 2010-06-03
US8791509B2 (en) 2014-07-29

Similar Documents

Publication Publication Date Title
TWI475605B (zh) 具有經均勻矽化之鰭狀端部的多閘極電晶體
US11515418B2 (en) Vertical tunneling FinFET
TWI495018B (zh) 藉由晚期鰭部蝕刻以在圖案化的淺溝槽隔離區域上形成鰭部電晶體
TWI541874B (zh) 在塊體基底上形成之自動對準多閘極電晶體
TWI495106B (zh) 鰭式場效電晶體及其製造方法
TWI527237B (zh) 半導體裝置及其製造方法
US20150179524A1 (en) Fin-Like Field Effect Transistor (FINFET) Based, Metal-Semiconductor Alloy Fuse Device And Method Of Manufacturing Same
TWI517405B (zh) 半導體裝置及其製造方法
CN102315224B (zh) 使用FinFET的非易失性存储器件及其制造方法
CN110088906B (zh) 三维存储器件中的高k电介质层及其形成方法
TW201436323A (zh) 電阻式隨機存取記憶體結構及其製造方法
KR20200055148A (ko) 반도체 장치
US20090267125A1 (en) Semiconductor device and method of manufacturing the same
TW201332112A (zh) 於三維電晶體中基於應變隔離材料之應變工程
TW201931445A (zh) 閘極電極結構中的負電容匹配
CN112687682A (zh) 集成电路装置
US10177039B2 (en) Shallow trench isolation structures and contact patterning
TW201909420A (zh) 半導體元件結構及其製造方法
US10923575B2 (en) Low resistance contact for transistors
TW202147461A (zh) 具有圖案化閘極的半導電金屬氧化物電晶體及其形成方法
TW202201789A (zh) 電晶體、半導體裝置及形成方法
US10056378B2 (en) Silicon nitride fill for PC gap regions to increase cell density
TW202310414A (zh) 半導體結構
TW202249123A (zh) 半導體裝置
TW202238996A (zh) 半導體裝置結構

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees