TWI446414B - 具有在接觸區域中之局部設置金屬矽化物區的電晶體以及形成該電晶體之方法 - Google Patents

具有在接觸區域中之局部設置金屬矽化物區的電晶體以及形成該電晶體之方法 Download PDF

Info

Publication number
TWI446414B
TWI446414B TW096131775A TW96131775A TWI446414B TW I446414 B TWI446414 B TW I446414B TW 096131775 A TW096131775 A TW 096131775A TW 96131775 A TW96131775 A TW 96131775A TW I446414 B TWI446414 B TW I446414B
Authority
TW
Taiwan
Prior art keywords
metal
contact
layer
region
forming
Prior art date
Application number
TW096131775A
Other languages
English (en)
Other versions
TW200832526A (en
Inventor
Sven Beyer
Patrick Press
Thomas Feudel
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW200832526A publication Critical patent/TW200832526A/zh
Application granted granted Critical
Publication of TWI446414B publication Critical patent/TWI446414B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823443MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

具有在接觸區域中之局部設置金屬矽化物區的電晶體以及形成該電晶體之方法
本揭示內容大致上係關於積體電路的形成,而且更特別的是,係關於有低接觸電阻的高效能電晶體的形成,可能與應變控制機構(strain control mechanism)結合以調整MOS電晶體之通道區中的應變用以增強該通道區中的電荷載子移動率(charge carrier mobility)。
積體電路通常包含極大數量的電路元件,例如電晶體、電容器、電阻器等,而且基於提供於合適基板上方的適當半導體材料來形成該等電路元件。在現代超高密度積體電路中,裝置特徵一直一步一步地減少以增強元件效能及功能。然而,縮減特徵尺寸會帶來某些問題而可能會部份抵消減少特徵尺寸所得到的優點。一般而言,例如減少場效電晶體元件的特徵尺寸可能造成電晶體元件中之給定電晶體寬度的通道電阻減少因而導致有較高的電流驅動能力(drive current capability)以及提高該電晶體的切換速度(switching speed)。不過,當電晶體元件的特徵尺寸減少時,導線(例如,閘極電極或其他的多晶矽線(polysilicon line)及接觸區,亦即,使諸如汲極區及源極區之類的電晶體區連接的區域)電阻增加以及電晶體元件的外圍會變成首要問題,因為該等導線及區域的剖面面積也會隨著特徵尺寸減少而減少。然而,剖面面積以及構成導線及接觸區之材料的特性會決定導線及接觸區的電阻。
關於此一方面,可用典型的關鍵性特徵尺寸(也被稱作關鍵尺寸(CD))為例說明上述問題,例如場效電晶體的通道(其係在閘極下方形成於電晶體之源極區與汲極區之間)長度。當以切換模式(switched mode)操作電晶體元件時,減少通道長度由於減少閘極電極與通道之間的電容而且較短通道的電阻也會減少,以致於可顯著改善與下降及上升時間有關的裝置效能。然而,縮減通道長度也會帶來減少任何導線尺寸的問題,例如,場效電晶體的閘極電極(它一般是由多晶矽形成)以及讓電晶體中之汲極區、源極區電氣接觸的接觸區。結果,用於傳輸電荷載子的有效剖面會減少。因此,該等導線及接觸區會呈現較高的電阻,除非藉由改善形成導線及接觸區(例如,閘極電極以及汲極和源極接觸區)之材料的電氣特性來補償減少的剖面。
因此,改善大體由半導體材料(例如,矽)構成之導電區的特性是特別重要的。例如,在現代積體電路中,個別的半導體裝置,例如場效電晶體、電容器及其類似物目前以及在預見的未來主要是以矽為基礎,其中個別的裝置都用矽線及金屬線連接。儘管使用例如銅、鎢、銀、金屬合金及其類似物之高導電金屬可改善金屬線的電阻率,但是在需要改善含矽半導體線與半導體接觸區的電氣特性時,製程工程師所面對的仍是極具挑戰性的工作。因此,高度導電金屬矽化物通常是由多晶矽線形成,例如閘極電極以及汲極區與源極區,因為與即使是高度摻雜的多晶矽、結晶矽(crystalline silicon)相比,該金屬矽化物通常會有明顯較高的導電率。在習知技術中,使用多種高度複雜的矽化方案(silicidation scheme)以便提供想要的電氣特性。例如,耐火金屬(例如,鎳、鉑、鈷、鈦、鎢及其類似物)或彼等之組合物可用來增加多晶矽線及接觸區的導電率。不管所使用的特定矽化機制(silicidation regime)為何,該製程通常是在完成電晶體裝置後以及在形成層間電介質材料之前進行,亦即,在進行任何用於活化汲極區與源極區中之摻雜物以及減少植入引發之晶格損傷的高溫退火製程之後,因為導電金屬矽化物材料通常處於用以活化摻雜物時所需要的加高溫度中會不穩定。之後,在層間電介質材料中形成各別接觸孔栓(contact plug)以提供電氣接觸給各別電路區(例如,汲極區與源極區、閘極電極、電容器電極及其類似物),其中由於是提供金屬矽化物而可得到中低的接觸電阻。
一般而言,目前實施了多種製程技術,其中,對於複雜的電路,例如微處理器、儲存晶片及其類似物,CMOS技術是目前最有前途的方法之一,因為由操作速度及/或耗電量及/或成本效益看來,它具有優異的特性。在使用CMOS技術製造複雜的積體電路期間,會在包含結晶半導體層的基板上形成數百萬個電晶體,亦即,N型通道電晶體與P型通道電晶體。不論是N型通道電晶體還是P型通道電晶體,場效電晶體都包含所謂的PN接面,其係由高度摻雜的汲極和源極區和配置於該汲極區與該源極區之間的反向摻雜通道區(inversely doped channel region)的界面形成。
形成於該通道區附近並且藉由細薄絕緣層而與該通道區隔開的閘極電極可用來控制通道區的導電率(亦即,導電通道的電流驅動能力)。在因施加適當的控制電壓至閘極電極而形成導電通道後,該通道區的導電率會取決於摻雜物濃度、多數電荷載子的移動率、以及汲極區與源極區之間的距離(就通道區在電晶體寬度方向的給定延伸而言,此一距離也被稱作通道長度)。
因此,用以增加電晶體效能的有效機構之一是包含藉由修改通道區的晶格結構來增加電荷載子移動率,例如藉由在通道區附近產生拉伸或壓縮應力(tensile or compressive stress)以便在該通道區中產生對應的應變,這可各自修改電洞與電子的移動率。例如,就標準矽層而言,通道區的壓縮應變可增加電洞的移動率,從而提供增強P型電晶體效能的潛力。另一方面,在N型通道電晶體的通道區中產生拉伸應變可增加電子移動率。結果,可使用多種應變引發機構(strain-inducing mechanism),譬如在矽基材(silicon base material)內的應變或鬆弛之半導體材料,以便在通道區中提供想要類型及大小的應變。在其他的情形下,可提供帶應力的材料層(例如,上覆電介質材料、間隔件元件及其類似物),可能與應變或鬆弛之半導體材料結合,以在通道區中得到均勻增加的應變效應。其他的應變引發源對於最後達成的電晶體效能也有貢獻,例如帶應力的隔離結構及其類似物。再者,形成於閘極電極和汲極區與源極區上的金屬矽化物區對於產生於各別通道區的總應變可能會有顯著的影響。例如,典型使用的金屬矽化物材料(例如,矽化鈷與矽化鎳)會展現實質的拉伸應力,它對於例如由埋置之矽/鍺材料、帶壓縮應力襯裡及其類似物所提供的應變引發機構會有負面影響。結果,預期電晶體的整體效能增益可能會因使用複雜的應變來源而減少。
本揭示內容係針對可避免或至少減少上述一或更多問題之影響的各種方法及裝置。
為了提供對本發明的一些態樣的基本理解,茲提出以下的簡化概要。此概要並非本發明廣泛之詳盡綜論。它不是想要確認本發明的關鍵或重要元件或者是描繪本發明的範疇。其唯一的目的是要以簡要的形式提出一些概念作為以下更詳細之說明的前言。
大致而言,本發明係針對一種用於形成包含含矽區之半導體裝置的技術,其係以提供金屬矽化物來至少部份地增加該等含矽區的導電率,其中提供增強程度之彈性是在於可以空間局部化的方式(spatially localized manner)形成該金屬矽化物以便考慮到可能會受提供於各別裝置區之金屬矽化物數量影響的其他裝置要求,例如應變引發機構。為此目的,電路元件之接觸區的金屬矽化物可基於“遮罩(mask)”而形成,使得各別金屬矽化物與各別接觸元件(例如,接觸孔栓(contact plug))“自行對齊(self-aligned)”,該等接觸元件是將要形成於介電層中以便用配線結構(wiring structure)連接電路元件的接觸區。因此,在有些示範具體實施例中,基於介電層中的開孔可進行各自的矽化製程(silicidation process),在該介電層中隨後可形成各別接觸元件。由於是以高度局部化的方式提供自動與各別接觸元件對齊的金屬矽化物,所以可根據其他的裝置要求(例如,應變引發機構)來調整金屬矽化物在電路元件中的總量,同時使在電路元件的各別接觸區與連接至配線結構的接觸元件之間仍然保持低接觸電阻。
根據本文所揭示之一個示範具體實施例,一種方法包括:在覆蓋半導體裝置之電路元件的層間電介質材料中形成接觸開孔,其中該接觸開孔係與該電路元件的含矽接觸區連接。此外,在該接觸區的一部份中形成金屬矽化物,其中該金屬矽化物係延伸進入該部份的接觸區。最後,在該接觸開孔中形成接觸元件,其中該接觸元件係與該金屬矽化物連接。
根據本文所揭示之另一示範具體實施例,一種方法包括:在電路元件的含矽接觸區之一部份上形成耐火金屬(refractory metal),其中係藉由形成於介電層之中的開孔暴露該部份。此外,起始該耐火金屬與在該部份中之矽之間的化學反應以便形成金屬矽化物。
根據本文所揭示之另一示範具體實施例,一種半導體裝置包括:電路元件,其係埋置於電介質材料中且具有至少一個包括累積金屬矽化物部份的含矽區。此外,該半導體裝置包括接觸元件,該接觸元件形成於該電介質材料中而且與該至少一個含矽區的接觸區對齊,其中該累積金屬矽化物部份係以該接觸區為中心。
以下描述本發明的各種示範具體實施例。為了清楚說明,本專利說明書沒有描述實際具體實作的所有特徵。當然,應瞭解,在開發任一此類的實際具體實施例時,必須做許多與具體實作有關的決策以達成開發人員的特定目標,例如遵循與系統相關及商務有關的限制,這些都會隨著每一個具體實作而有所不同。此外,應瞭解,此類開發既複雜又花時間,但是熟悉本技藝之一般技術人員在閱讀本揭示內容後即可例行實作。
現參照附圖來描述本發明。各種結構、系統及裝置以示意方式描繪於附圖中僅供解釋用以及避免熟諳此藝者所習知的細節混淆了本發明。儘管如此,仍納入附圖用來描述及解釋本揭示內容的示範實施例。應使用與相關技藝技術人員所熟悉之意思一致的方式理解及解釋用於本文的字彙及片語。本文沒有特別定義的術語或片語(亦即,與熟諳此藝者所理解之普通慣用意思不同的定義)是想要用術語或片語的一致用法來暗示。在這個意義上,希望術語或片語具有特定的意思時(亦即,不同於熟諳此藝者所理解的意思),則會在本專利說明書中以直接明白地提供特定定義的方式清楚地陳述用於該術語或片語的特定定義。
如先前所述,在精密的半導體裝置(例如,複雜的CPU、ASIC(特殊應用積體電路)、儲存晶片及其類似物)中,持續進行的裝置尺寸縮放提供功能及效能增加。不過,需要高包裝密度(亦即,減少元件尺寸)以及高導電率的各別裝置區(例如,半導體裝置的接觸區)用習知技術可能不能適當滿足。為此目的,揭示於本文的專利標的提供一種有增加之設計彈性可用來在半導體裝置的各別接觸區中形成金屬矽化物區的技術,其中,在一方面,可得到低接觸電阻,同時在另一方面,可減少金屬矽化物對於增加半導體元件效能用之其他機構的負面影響。要實現對應地“減少”金屬矽化物的任何不利影響,可藉由適當地調整金屬矽化物形成於半導體裝置各別接觸區的總量藉此在各別需要低接觸電阻之接觸區內的特定位置提供大量金屬矽化物,同時可讓接觸區的其他區域有數量明顯減少的金屬矽化物,在有些示範具體實施例中,可大體完全不提供金屬矽化物於接觸區的指定區域。因此,要達成使指定元件區中有高度局部或“累積”金屬矽化物,可藉由在待形成之各別累積金屬矽化物部份的四周,起始基於各別“矽化遮罩(silicidation mask)”(其係暴露各別接觸區中之想要部份)的各別金屬矽化物形成。在有些示範具體實施例中,是要使各別金屬矽化物部份大體以使半導體裝置與上覆金屬化結構連接的接觸孔栓或接觸元件為中心,其中數量累積或局部增加的金屬矽化物提供想要的低接觸電阻,同時與習知策略相比,可顯著減少其他區域中的各別金屬矽化物數量或可大體為零。以此方式,根據其他裝置要求,例如應變引發機構,可減少金屬矽化物的數量,以便得到整體的效能增益。
例如,矽化鈷與矽化鎳為常用來減少半導體裝置(例如,場效電晶體及其類似物)之接觸電阻的材料,其中通常是在各別接觸區(例如,汲極區與源極區、閘極電極等)的表面部份上形成該等材料。不過,該等金屬矽化物可能具有數量相對高的固有拉伸應力,其係可轉移到場效電晶體的各別通道區,從而有可能使它的效能劣化,特別是當提供額外的應變引發機構用來產生類型不同、由帶有拉伸應力之金屬矽化物引發的應變。例如,對於基底矽材料的給定標準結晶組構,P型電晶體中各別汲極和源極區的拉伸應力可能導致各別通道區的電洞移動率減少,從而顯著減少電晶體的驅動電流能力。在先進的技術中,例如附加的磊晶成長技術等,可能要大費工夫才能在製造順序期間提供各別應變引發機構(例如,帶應力的覆蓋層、帶應變的半導體材料等)。結果,該等應變引發機構的效率減少可能導致效率減少同時也會增加生產成本。因此,揭示於本文的專利標的可高度有效地併入各種應變操縱技術以增加各種技術的應變引發效率,其中,在有些示範具體實施例中,可達成高度的彈性,因為用於在各別接觸區中局部提供金屬矽化物的技術本身可以空間局部化的方式予以應用以便以總量減少的方式金屬矽化物提供於指定晶片區,甚至於不同類型的電晶體,例如P型通道電晶體與N型通道電晶體。
因此,應瞭解揭示於本文之專利標的的原理利於應用到包含附加應變引發源的電晶體元件,例如位於適當裝置區的帶應變或鬆弛化半導體材料、用於間隔件元件及其類似物的帶應力覆蓋層,因為如上述所指出的,可增加整體的應變引發效率。不過,揭示於本文之專利標的也可應用於不包含任何“故意”加上之應變引發源的電路元件,因為即使減少由金屬矽化物本身所引發之各別應變仍能導致對應效能增加。此外,局部地形成金屬矽化物可增加用於形成各別半導體裝置之設計及製程的彈性,因為,例如,可以高度局部化的方式形成不同類型的金屬矽化物材料,其中藉由基於暴露各別元件區的電介質遮罩來在待形成累積金屬矽化物部份處形成至少一部份的金屬矽化物,可顯著減少一矽化製程對於其他矽化製程的影響。
第1a圖示意地圖示半導體裝置100的剖面圖,它可包括基於半導體的電路元件150。電路元件150可為任何包含含矽半導體區的電路元件,它可能需要(至少局部)金屬矽化物材料以便減少各別的接觸電阻。在一個示範具體實施例中,電路元件150可為場效電晶體,如圖示,該場效電晶體可包括形成於各別閘極絕緣層104上的閘極電極103,該閘極絕緣層104則隔開閘極電極103與含矽通道區107。通道區107可位於各自汲極區與源極區106之間,其中可在包括某一數量之矽的適當半導體層102中形成通道區107與汲極區和源極區106。例如,半導體層102可為矽基材料,它可包含附加成分,例如鍺、碳或任何其他用來調整半導體層102之想要特性(例如,關於導電率、應變、結晶取向等)的原子種類。此外,在半導體層102內建立各自的摻雜物濃度及分布(profile)以界定汲極和源極區106與通道區107。可在基板101上方形成半導體層102,該基板101可包括任何適合用來形成上述半導體層102的載體材料。在有些示範具體實施例中,基板101可為塊體半導體基板,例如矽基板,其中半導體層102可為它的上部分。在其他的示範具體實施例中,基板101可為已有絕緣層(例如,絕緣層上覆矽(SOI)組構)形成於其上的載體材料,或基板101可由半導體層102可形成於其上的絕緣材料構成。在圖示於第1a圖的製造階段中,電路元件150可埋置於介電層109中,在圖示的示範具體實施例中,它可視為層間電介質材料,其係常用於先進的積體電路供鈍化各別電路元件並且提供大體上平坦的表面組構用來在其上形成適當的金屬化結構以便使各別電路元件互連。
在有些示範具體實施例中,因而介電層109可包括兩種或更多不同的材料,例如第一介電層110,它本身可包括一或更多種不同的材料層,同時可提供一或更多層108,其中至少一層可用作適當的蝕刻中止層用來圖樣化層110以便得到各別開孔109A,如圖示。例如,層110可由二氧化矽組成,而至少一個蝕刻中止層108可由氮化矽組成,其中,如先前所述,當通道區107中也要有各別的應變時,層108及/或層110可具有高的本質應力(intrinsic stress)。例如,如果電路元件150要為P型通道電晶體,則層108可呈現高本質壓縮應力以便在通道區107中提供各別壓縮應變,其中,就矽基半導體層102的標準結晶組構而言,通道區107的各別單軸壓縮應變分量可在其中提供增加的電洞移動率。同樣,另外或替換地,可提供其他的應變引發源(例如,側壁間隔件結構105)給其他的應變引發源,例如蝕刻中止層108。
可根據以下的製程形成如第1a圖所示的半導體裝置100。在提供已有含矽半導體層102形成於其上的基板101後,基於適當的隔離結構(未圖示),結合在各別區形成各自的摻雜物分布,可基於公認有效之技術來完成用於界定電路元件(例如,元件150)之各別作用區的適當製程。之後,可基於公認有效之製程技術來形成閘極電極103與閘極絕緣層104,該等製程技術包含先進的氧化及/或沉積製程以及各別圖樣化順序以形成如圖示之閘極電極103與閘極絕緣層104。接下來,基於側壁間隔件結構105,藉由用以加上想要的摻雜物分布供界定區域106用的離子植入法或其他方法,可界定汲極和源極區106。應瞭解,取決於裝置要求,可進行其他的中間製程步驟以例如在汲極和源極區106及/或通道區107中或下面提供帶應變的半導體材料。例如,就P型通道電晶體而言,經常基於公認有效之選擇性磊晶成長技術,在汲極和源極區106中可加上帶應變的埋置之矽/鍺材料以便在通道區107中提供各自的應變。
在完成汲極和源極區106(可包含各自的退火製程)後,可形成各自的金屬矽化物,然而它有減少的厚度,這在描述第2a至2d圖時會有更詳細的說明。在其他的示範具體實施例中,如第1a圖所示,例如,基於用以形成至少一個蝕刻中止層108的電漿增強式化學氣相沉積(PECVD),可形成介電層109,其中,如先前所述,基於適當地調整各別製程參數,可提供有想要程度的本質應力。接下來,例如,取決於裝置要求及製程要求,基於任何適當技術(例如,高密度電漿化學氣相沉積、次大氣壓(sub-atmospheric)式化學氣相沉積、電漿增強式化學氣相沉積等),可形成層110。接下來,基於公認有效之微影技術,可形成開孔109A,其中蝕刻中止層108可用來控制對應的非等向性蝕刻製程(anisotropic etch process)。該等開孔109A在後續製程順序中可用作矽化遮罩,其中在各自與開孔109A對齊的接觸區中形成各別局部增加或累積的金屬矽化物部份。因此,在有些示範具體實施例中,除了增加與擴散有關的尺寸以外,可選定開孔109A的位置與大小以便得到空間上受限於大體上與各別開孔109A對應的面積而各別有尺寸的累積之金屬矽化物部份。在其他的示範具體實施例中,開孔109A可同時為用於在其中形成接觸元件的各別開孔藉此累積金屬矽化物部份在電路元件150的對應個數可對應至仍待形成的各別接觸元件或孔栓。
第1b圖示意地圖示處於又下一個製造階段的半導體裝置100。在此,提供深度增加的各別開孔109A以便向下延伸到各別含矽半導體區,亦即,在圖示具體實施例中,是延伸到閘極電極103和汲極和源極區106,藉此分別暴露在汲極和源極區106和在閘極103中各別的接觸區106C與103C。此外,半導體裝置100經受用於製備暴露接觸區106C、103C以便在其上接受耐火金屬的處理111,其中該處理111可為任何合適的清洗製程,例如濺鍍蝕刻製程(sputter etch process)、濕式化學製程(wet chemical process)、電漿蝕刻製程(plasma etch process)或彼等之任何組合。例如,基於濺鍍沉積製程,可在暴露接觸區106C、103C上沉積耐火金屬,其中沉積製程的初始階段可用來適當地移除在前面用於蝕刻蝕刻中止層108的製程順序中已產生的污染物質。接下來,可進行合適的沉積製程,以基於可在接觸區106C、103C中形成各別金屬矽化物的製程來提供適當的耐火金屬。
第1c圖示意地圖示處於又下一個製造階段的半導體裝置100。如圖示,各別開孔109A可填滿適當的耐火金屬(refractory metal)112,例如鎳、鈷、鈦、鎢、鉑及其類似物、或彼等的任何組合。在圖示具體實施例中,可提供耐火金屬112藉此大體上完全填滿各別開孔109A,從而也在介電層109表面上形成各別層112A。在其他的示範具體實施例中,可提供材料112以便只有部份充填各別開孔109A,然而,其中在各別開孔109A中可提供至少最低量的材料112以便形成有適當尺寸的金屬矽化物區113,彼等大體上與各別開孔109A對齊,而且此時可界定各別接觸區106C與103C(第1b圖)。取決於材料特性以及用於考慮到之材料112的各別沉積程序的可用性,基於任何公認有效之技術,例如濺鍍沉積、化學氣相沉積(CVD)等,可實現耐火金屬112在各別開孔109A中的填入。例如,用於上述耐火金屬的各種濺鍍沉積技術在本技藝是公認有效的而且可用來在開孔109A內形成材料112。
之後,可進行退火製程114以起始耐火金屬112與內含於接觸區106C、103C之矽的化學反應。可基於溫度與持續時間來控制退火製程114以便決定各別金屬矽化物部份113的最終得到的尺寸。亦即,取決於材料特性,對於指定的製程溫度可決定矽轉變成各別金屬矽化物的轉變速率(conversion rate),藉此,然後基於製程114的持續時間,可控制部份113的大小。例如,用於多個製程溫度的各別轉變速率對於上述耐火金屬是公認有效的。在其他的情形下,基於試驗測量值等,可輕易得到各別資料。因此,在退火製程114期間,可形成有適當大小的累積(亦即,空間局部化)金屬矽化物區113以便基於裝置要求,提供想要的接觸特性同時仍限制金屬矽化物在汲極和源極區106及閘極電極103內的總量至想要的低水準(low fraction)。
在退火製程114後,基於選擇性蝕刻製程(這也是本技藝公認有效的習知矽化方案),可移除材料112的未反應部份(non-reacted portion)。在移除多餘材料後,如有必要,可繼續退火製程114以便根據裝置要求來調整金屬矽化物部份113的材料特性。例如,退火順序114可包括任何用於熱穩定化金屬矽化物113的適當製程及/或例如藉由形成二矽化物(disilicide)及其類似物可調整金屬矽化物113的最終想要組構。不過,應瞭解,在其他的示範具體實施例中,可將製程114組構成為單一步驟的退火製程用來提供想要的金屬矽化物組構以及用來調整它的熱穩定性(thermal stability)。
在其他的示範具體實施例中,基於任何合適的製程,可保留開孔109A內的材料112,同時可移除層112A,例如化學機械研磨(CMP)及其類似者,如果材料是用作各別待形成之接觸元件或孔栓的導電材料以便使電路元件150與待形成於介電層109上方的配線結構連接。在有些示範具體實施例中,在沉積耐火金屬112之前,可在開孔109A的側壁加上阻障層(未圖示)以便在用作各別接觸元件的導電材料時防止層109的電介質材料與耐火金屬112發生不恰當的相互作用。為此目的,在處理111(第1b圖)之前,例如基於濺鍍沉積,可形成適當的導電阻障層,其中基於製程111可移除在開孔109A底部的各別部份,例如藉由再濺鍍該材料以暴露各別接觸區106C、103C。之後,如以前所描述的,可沉積耐火金屬112而且可如上述繼續其他的加工。以此方式,可在各別含矽區106、103中提供穩定且高度導電的矽化物113,同時耐火金屬112可提供想要的接觸元件。
第1d圖係根據如第1c圖所示之製造階段示意地圖示半導體裝置100的上視圖,其中,如果仍以接觸元件的形式提供,為了方便,未圖示介電層109與耐火金屬112。因此,取決於呈圖案化介電層109之形式的“矽化遮罩”,可在閘極103與汲極和源極區106內的各別位置中,提供各別累積金屬矽化物部份113。由第1d圖清楚可見,可配置數量增加的金屬矽化物,例如部份113,以便與各別接觸元件對齊,從而提供低接觸電阻,而可顯著減少金屬矽化物的總量(然而習知策略會形成於遍及汲極和源極區106與閘極103的整個表面部份),藉此也可減少金屬矽化物對於其他裝置特性的影響,例如通道區107中的應變。
第1e圖係根據示範具體實施例示意地圖示處於又下一個製造階段的半導體裝置100,其中耐火金屬112已被移除且換成不同類型的導電材料以便按需要形成各別接觸元件115或接觸孔栓。為此目的,可應用任何公認有效的製程技術,如有必要,這可包含形成導電阻障層(未圖示),以及隨後沉積任何合適的導電材料,例如鎢、銅、銅合金、鋁及其類似物。之後,藉由形成一個或更多用以連接至各別接觸元件115的金屬化層,可繼續其他的加工。
結果,電路元件150藉由局部金屬矽化物部份113之方式來提供低接觸電阻,其中甚至可降到比習知策略低的接觸電阻,因為與習知設計相比,各別金屬矽化物部份113可更深地延伸進入含矽區106、103,因為與提供於習知裝置的大體上連續金屬矽化物層相比,因為是以高度局部的方式配置各別金屬矽化物部份,所以可顯著減少各別PN接面在區域106中不足的風險。
請參考第2a圖至第2c圖,此時描述其他的示範具體實施例,其中在如上述形成各別局部累積金屬矽化物部份之前,可形成大體上連續層的金屬矽化物。不過,與習知設計相反,該大體上連續金屬矽化物被提供明顯減少的厚度以便根據裝置要求來調整金屬矽化物的總量,如上述。
第2a圖示意地圖示包含電路元件250的半導體裝置200,它的組構大體與先前關於半導體裝置100及電路元件150所描述的組構相同。結果,電路元件250的各別組件都用相同的元件符號表示,除了第一個數字為“2”而不是“1”以外。因此,為了方便,不再詳述該等組件。
與裝置100相反,在此製造階段中,裝置200可包括橫向大體上連續金屬矽化物層220,層220可形成於各別含矽區206、203(它可為各別的汲極和源極區與閘極電極)的上半部,如先前在說明裝置100時所述。應瞭解,橫向大體上連續金屬矽化物層為至少在裝置寬度方向(亦即,與第2a圖圖面垂直的方向)延伸越過整個區域206的金屬矽化物層,而在場效電晶體的汲極和源極區及閘極電極中包含各自金屬矽化物區的習知先進半導體裝置通常就是這樣。不過,與習知策略相比,金屬矽化物層220可選定顯著減少的厚度(以220T表示),其中金屬矽化物層220可為用於界定指定基底導電率的基底金屬矽化物。如以上所解釋的,由於隨後可藉由各別累積或局部金屬矽化物部份來界定實際的接觸電阻,與習知設計相比,可顯著減少金屬矽化物在層220中的總量,因而結果也減少對於其他裝置特性的影響,例如於通道區207中的應變等。例如,在先進的應用中,對於有閘極長度(亦即,第2a圖中閘極電極203的水平延伸)約50奈米及以下的電晶體元件,基底金屬矽化物220的減少之厚度220T可在約1至10奈米的範圍內。此外,在此製造階段中,裝置200可包括其中已形成各別開孔209A的介電層209,如先前所述,各別開孔209A可為各別接觸開孔,或可決定在含矽區206、203內待藉由提供數量增加之金屬矽化物於其中來形成各別接觸區的各別部份。
關於第2a圖中所示用於形成裝置200的製造順序,可使用的製程大體與先前在解釋裝置100時的相同。不過,與裝置100相反,在基於經適當調整過之矽化技術來形成介電層209之前,可形成基底金屬矽化物層220以便形成有想要厚度220T的材料220。為此目的,在提供汲極區與源極區206(如有必要,可包含各別應變引發源)之後,可沉積及處理耐火金屬,例如鈷、鎳、鉑、鈦以及彼等之組合,以起始與底下矽基材料的化學反應以形成層220。為此目的,可適當控制各別退火製程的溫度及/或持續時間及/或經初始沉積之耐火金屬的層厚以得到想要的目標厚度220T。基於裝置要求,例如區域206、203表面部份的最低必要導電率增加量及其類似者,可選定目標厚度200T。在有些示範具體實施例中,與仍基於開孔209A形成的金屬矽化物相比,層220的金屬矽化物可有不同的特性。亦即,在有些示範具體實施例中,基於特性,例如界面均勻度、熱穩定性等,除了提供想要的基底導電率以外,還可選定層220的材料特性。例如,層220可由矽化鈷製成,它可與區域206、203的剩餘含矽材料形成大體上明確的界定,從而最小化任何形成在汲極和源極區206中之PN接面不足的風險。就此情形而言,若需要,可形成與通道區207有減少之偏移的各別層220,而大體上不會危及PN接面整體性。另一方面,基於提供增加之導電率的金屬矽化物(例如,矽化鎳),仍可在由開孔209A界定的各別接觸區中形成待形成之各別累積金屬矽化物部份,其中各別界面增加的不一致性會比較不要緊。
因此,在形成有想要的組合物及厚度的金屬矽化物層220後,可以先前在說明裝置100時所解釋的方式形成介電層209。此外,在此製造階段中,裝置200可經受處理214,可將它設計成可製備各別接觸區206C、203C的暴露表面部份用來接受耐火金屬以便進一步產生金屬矽化物。在一個示範具體實施例中,處理214可設計成可移除層220之暴露金屬矽化物的實質部份,其中,在一個具體實施例中,可進行材料移除藉此暴露出含矽區206。以此方式,可大幅減少先前形成之金屬矽化物220對於在後續用於形成附加金屬矽化物部份之製程順序期間的影響。
第2b圖示意地圖示處於已完成處理214以便大體完全暴露接觸區206C、203C之製造階段的半導體裝置200。此外,如先前所解釋的,處理214可包括用於製備接觸區206C、203C之暴露表面供接受可轉變成適當金屬矽化物之耐火金屬的任何適當製程。
第2c圖示意地圖示處於又下一個製造階段的裝置200,其中各別開孔209A係填滿(至少一部份)適當的耐火金屬212,例如上述方法中之一個,其中如先前所述,耐火金屬212可與用於形成金屬矽化物層220的金屬不同。例如,材料212可包含鎳、鉑及其類似物,它可提供高度導電金屬矽化物。此外,在此製造階段中,裝置200可經受退火製程214用來起始耐火金屬212與內含於區域203、206之矽之間的化學反應。在一個示範具體實施例中,可把退火順序214組構成藉此可另外提供有必要組構的金屬矽化物220,同時形成各別部份213。例如,在有些示範具體實施例中,金屬矽化物220的熱穩定化與轉變可能還沒進行就基於退火製程214完成,其中也可形成金屬矽化物213。在其他的示範具體實施例中,金屬矽化物220可由對於在用退火製程214形成部份213時使用之溫度有高熱穩定性的金屬矽化物形成。例如,矽化鈷對於達500至600℃甚至更高的溫度可有中高穩定性,然而以明顯較低的溫度可有效形成矽化鎳,例如200至500℃。結果,可以高度局部化的方式形成金屬矽化物213同時仍可保持或得到金屬矽化物層220的想要特性。至於累積金屬矽化物部份213的大小(會在含矽區206、203中大體界定各別接觸區),可用先前說明部份113時所使用的相同準則。此外,如先前所述,如果認為耐火金屬212也適合作為各別接觸元件的導電材料,則可由介電層209的表面部份移除掉多餘的材料以便形成各別接觸元件。在其他具體實施例中,如前述,基於公認有效有製程,相對於層209的電介質材料以及相對於金屬矽化物材料220、213,可選擇性移除材料212。之後,如有必要,如先前所述,可進行另一步驟的退火順序214。然後,如先前所述,可繼續其他的加工,例如在各別開孔209A中填入適當的導電材料以提供各別接觸元件或孔栓用來使區域206、203與任何仍待形成的金屬化結構電氣連接。
結果,上述與裝置200有關的具體實施例提供一種增強技術,其中可實現有高度導電率的各別含矽區,同時仍然可以局部化的方式提供高度導電金屬矽化物,藉此使各別接觸電阻保持於低位準,同時仍可大幅減少金屬矽化物的總量。因此,如以上所解釋的,可顯著減少金屬矽化物在電路元件250中產生的應變以便增加裝置效能。
請參考第3a圖至第3d圖與第4a圖至第4e圖,此時描述其他的示範具體實施例,其中對於不同的電路元件可以高度選擇性的方式可實現金屬矽化物的減少。亦即,可一起形成需要大幅減少金屬矽化物的電路元件和需要中高數量之金屬矽化物的電路元件。
第3a圖示意地圖示包含第一電路元件350與第二電路元件350A的半導體裝置300,其中第一電路元件350是要接受數量比第二電路元件350A減少的金屬矽化物。可在已有適當半導體層302(它可包括某一數量的矽)形成於其上的適當基板301上方形成各別電路元件350、350A。關於基板301與半導體層302,可用先前說明相關裝置100、200時所使用的相同準則。此外,電路元件350、350A可包括在先前說明電路元件150、250時所提及的各別組件,因此,為了方便,不再詳述各別組件。不過,應瞭解,取決於裝置要求,該等各別組件(例如,各別汲極和源極區306可具有不同的特性,例如內含摻雜物種的類型、大小及其類似者。例如,電路元件350、350A可為不同類型的電晶體元件,例如P型通道電晶體與N型通道電晶體,它可能需要配合不同總量的金屬矽化物以便增強它的裝置效能。在其他的情形下,電路元件350、350A可為晶片區(例如,記憶體區)中的不同元件區,其中應力減少可供增加可靠性,同時電路元件350A可為應力較少的關鍵區,或其中由金屬矽化物產生的各別增加應力有利於用來增加它的元件效能。因此,在一個示範具體實施例中,電路元件350、350A可為各別場效電晶體,其中,在電晶體350的通道區307中,與電晶體350A的通道區307相比,減少於應變性能的影響是合乎需要的。此外,在此製造階段中,可在電晶體元件350、350A上形成耐火金屬(例如,鈷、鎳、鉑、彼等的組合等)的層321。此外,可形成遮罩322(例如,阻劑遮罩及其類似物)以暴露電晶體350同時覆蓋電晶體350A。
用於形成如第3a圖所示之半導體裝置300的典型製造流程可包括大體與先前在說明裝置100及200時提及之製程相同的製程。因此,可基於公認有效的技術來形成各別組件303、304、306及305。之後,基於任何合適的技術,可沉積耐火金屬321,同時,基於公認有效的微影技術,可形成遮罩322。例如,如果電路元件350、350A為不同導電型的電晶體,基於各別微影光罩可建立遮罩322,該等微影光罩也可用來使適當的摻雜物種加入各別汲極和源極區306。如果需要另一空間組構用來提供有高度局部化部份的金屬矽化物,基於已予適當設計的光罩或任何其他用於覆蓋電路元件350A的微影技術,可形成遮罩322。之後,裝置300可經受製程323用來調整要在不同電路元件350、350A中形成之金屬矽化物的數量。在一個示範具體實施例中,處理323可包含蝕刻製程用來由層321的暴露部份移除掉材料,藉此使得可用於後續化學反應之耐火金屬的數量減少。在其他的示範具體實施例中,處理323可包含附加的沉積製程用來增加在電路元件350上方的層厚度,同時在遮罩322上可沉積各別材料於與電路元件350A對應的區域,然後各別材料可與遮罩322一起移除。在其他的示範具體實施例中,處理323可包含在基於雷射或基於閃電(flash-based)的退火製程期間選擇性改變用於耐火金屬321與底下矽之間之後續化學反應的光學特性。例如,各別層可沉積於材料321的暴露部份上方,彼等可具有反射或吸收特性,這取決於在後續基於閃電或基於雷射的退火製程中是否要增加或減少要在電路元件350內產生的退火溫度。應瞭解,在其他的示範具體實施例中,在電路元件350、350A兩者上方可沉積各別層而且隨後基於遮罩322來選擇性地移除各別層。
第3b圖示意地圖示處於又下一個製造階段的裝置300,其中係移除在電晶體350上方的部份耐火金屬層321,以及其中可進行已予適當設計的退火製程324以便使層321的耐火金屬轉變成各別金屬矽化物,如先前所述。在圖示於第3b圖的實施例中,可選定退火製程324的各別溫度及持續時間藉此可消耗掉裝置350A中大體整個的耐火金屬,同時各別矽化製程可導致電路元件350中的金屬矽化物數量大幅減少。如先前所述,在其他的示範具體實施例中,可應用其他的控制機構以在電路元件350、350A中提供不同數量的金屬矽化物。
第3c圖示意地圖示處於又下一個製造階段的半導體裝置300,其中係於電路元件350中形成具有減少之厚度320T的個別金屬矽化物區320,也如先前在說明裝置250時所描述的,同時可在電路元件350A中提供各別數量增加的金屬矽化物(如320A所示)。例如,如果電路元件350A為N型通道電晶體且提供金屬矽化物320A成為有拉伸應力的矽化鈷或矽化鎳,則可選定層320A的各別厚度以便得到高導電率與高拉伸應力,同時可確保各別汲極和源極區306仍有高度的整體性。另一方面,基於考慮到各別金屬矽化物之影響減少的裝置要求,可選定電路元件350中的厚度320T,其中應瞭解,在認為適當的時候,也可選定大體為零的厚度320T。亦即,在以上圖解說明的順序中,在退火製程324之前,可大體上完全移除金屬層321的各別暴露部份。
之後,可繼續進行基於以上在說明裝置100與200時所描述的製程。亦即,可形成及圖樣化各別介電層以便得到有適當位置的開孔(例如,用於待形成之接觸元件的開孔),其中接著可進行對應的第二矽化製程,它可導致裝置350中的金屬矽化物部份顯著增加,同時由於各別含矽區中看不同的擴散行為,而可顯著減少各別矽化製程的影響。例如,在各別製程順序期間,各別含矽區306可暴露於裝置350,如第2b圖例子所示,同時在電路元件350A中有厚度增加的材料320A可防止各別含矽部份的暴露。因此,在起始各別矽化製程後,擴散行為可能不相同,藉此有可能導致電晶體350的矽化速率增加。
第3d圖示意地圖示處於又下一個製造階段的半導體裝置300,其中係於電路元件350中提供各別累積或局部形成的金屬矽化物部份313,藉此得到低接觸電阻同時減少金屬矽化物的總量。在電路元件350A中,也可形成各別部份313A,由於矽化製程期間有不同的開始條件,因此可能會有顯著減少的尺寸,藉此甚至進一步減少接觸電阻,同時也提供高數量的金屬矽化物。此外,可形成各別接觸元件315以便與部份313對齊,其中該等接觸元件315可包括用來形成部份313的耐火金屬或可包括其他材料,如先前所解釋的。
第4a圖的剖面圖係示意地圖示包含第一電路元件450與第二電路元件450A的半導體裝置400。關於電路元件450、450A的組件,可用先前說明裝置300時所使用的相同準則。結果,各別組件是用相同的元件符號表示,除了第一個數字為“4”而不是“3”以外,而且各別組件不再加以描述。應瞭解,在第4a圖中,裝置450A可包括有根據裝置要求而增加之厚度的金屬矽化物420A,同時裝置450可具有厚度顯著減少的金屬矽化物或可缺乏任何金屬矽化物,其取決於裝置策略。此外,在此製造階段中,裝置400可包括含有例如蝕刻中止層408及電介質材料410的介電層409,其中充填材料(例如,聚合物材料及其類似物)可填入各別開孔,彼之熱特性係適合忍受用來起始化學反應以在電路元件450中形成金屬矽化物的後續退火製程。例如,可固化聚合物材料可作為充填材料430,它可加熱至大約450℃而不會損失機械完整性。此外,可形成適當的遮罩431以暴露在電路元件450上方的裝置區,同時覆蓋在電路元件450A上方的區域。
如先前在說明裝置100、200及300時所述,基於適當的製程技術,可形成如第4a圖所示的半導體裝置400。然後,在基於公認有效的技術圖樣化介電層409後,基於任何合適的沉積技術,例如,旋塗法(spin-on method)、CVD等,可沉積充填材料430。之後,在有些示範具體實施例中,如有必要,可做平坦化(planarization),而在其他的示範具體實施例中,沉積可提供充分平坦的表面以便形成遮罩431而不需另一平坦化製程。接下來,基於遮罩431,可進行選擇性蝕刻製程432以便從第一電路元件450移除充填材料430。之後,可移除遮罩431並且可藉由沉積耐火金屬以及起始化學反應而繼續其他加工以便形成各別累積金屬矽化物部份,如先前在說明裝置100、200及200時所解釋的。因此,只在第一電路元件450中形成各別金屬矽化物部份,同時用充填材料430可靠地覆蓋著電路元件450A。在其他的示範具體實施例中,在沉積對應的耐火金屬後以及在進行任何退火循環以起始矽化製程之前,可移除電路元件450A的充填材料430。就此情形而言,充填材料430不必為有高熱穩定性的材料,因此可使用任何適當的材料,例如光阻劑等。就此情形而言,可圖樣化充填材料430而不提供遮罩431。
第4b圖示意地圖示處於又下一個製造階段的半導體裝置400。因此,在第一電路元件450的汲極和源極區與閘極電極406、403中形成各別局部金屬矽化物部份413作為各別接觸區,同時第二電路元件450A的各別金屬矽化物區420A大體不會被前面用於形成部份413的矽化製程所影響。此外,提供各別接觸元件415以便連接至各別金屬矽化物區403、420A。結果,裝置400可具有其中金屬矽化物數量減少的電路元件450,同時仍可提供低接觸電阻,其中裝置450A可具有想要的高數量金屬矽化物420A,其中用於形成金屬矽化物413、420A的製造順序可大體相互去耦合(decouple)。亦即,如有必要,與用於形成材料420A的矽化製程相比,可基於不同的材料來形成用於形成部份413的矽化製程,藉此甚至可進一步增加對於半導體裝置400可個別調整不同區域之裝置特性的彈性程度。也應瞭解,藉由另外提供基底金屬矽化物,可以任何合適的方式來調整金屬矽化物在裝置450A中的總量,例如在說明裝置200與300時所描述的。
結果,揭示於本文之專利標的提供一種用於以高度局部化的方式、以累積金屬矽化物部份的形式形成金屬矽化物的技術,該等累積金屬矽化物部份可高度精密地與各別接觸元件大體上對齊,藉此在需要低接觸電阻的含矽區中提供金屬矽化物,同時在其他橫向相鄰的區域中可提供數量大幅減少的金屬矽化物。以此方式,可基於裝置要求來調整金屬矽化物對於其他裝置特性的影響。在數個示範具體實施例中,調整金屬矽化物在各別含矽半導體區中的總量可基於各別通道區的應變特性,其中可減少金屬矽化物的數量,至少是金屬矽化物的對應應力對於各別通道區的整體應變特性會有負面影響的電路元件。結果,在此情形下,可使通常用於精密場效電晶體的應變引發機構的效率增加,同時仍可保持低接觸電阻。此外,當以有選擇性的方式應用矽化機制時,金屬矽化物可被認為是有效的附加應變引發源,可應用它以便增強整個電晶體的效能。例如,在各別通道區中需要高度壓縮應變的各別場效電晶體中可提供數量明顯減少的拉伸金屬矽化物,同時在電路元件中可保持數量增加的金屬矽化物,其中該金屬矽化物的拉伸應力對於彼等的效能特性有正面影響。此外,在形成金屬矽化物方面,可提高彈性,因為在兩個矽化製程時可有效地組合不同的材料,亦即,組合在形成電介質蓋層之前的矽化製程以及基於圖案化之介電層的矽化製程。
以上所揭示的特定具體實施例均僅供圖解說明,因為熟諳此藝者在受益於本文的教導後顯然可以不同但均等的方式來修改及實施本發明。例如,可用不同的順序完成以上所提出的製程步驟。此外,除非在以下申請專利範圍有提及,否則本發明不受限於本文所示之構造或設計的細節。因此,顯然可改變或修改以上所揭示的特定具體實施例而所有此類改變都被認為仍然是在本發明的範疇與精神內。因此,本文提出以下的申請專利範圍尋求保護。
100、200、300、400...半導體裝置
101、301...基板
102、302...半導體層
103、403...閘極電極
103C、106C、203C、206C...接觸區
104...閘極絕緣層
105...側壁間隔件結構
106、306、406...汲極和源極區
107...含矽通道區
108...材料層、蝕刻中止層
109、209、409...介電層
109A、209A...開孔
110...第一介電層
111...處理
112、212...耐火金屬
112A...層
113、320...金屬矽化物區
114、324...退火製程
115、315...接觸元件
150、250...電路元件
203、206...含矽區
207...通道區
213、313A...部份
214...處理/退火順序
220...金屬矽化物層
220T、320T...厚度
303、304、305...組件
307...通道區
313...金屬矽化物部份
320A、420A...金屬矽化物
321...金屬層
322、431...遮罩
323...製程
350、450...第一電路元件
350A、450A...第二電路元件
408...蝕刻中止層
410...電介質材料
413...局部金屬矽化物部份
430...充填材料
參考以上說明結合附圖可瞭解本揭示內容,其中類似的元件用類似的元件符號表示。
第1a圖至第1c圖示意地圖示根據揭示於本文之示範具體實施例之在電路元件中形成局部金屬矽化物部份期間的半導體裝置的剖面圖;第1d圖示意地圖示第1c圖中之裝置的上視圖;第1e圖示意地圖示處於又下一個製造階段中的半導體裝置的剖面圖,其係包含與各別累積金屬矽化物部份對齊的接觸孔栓;第2a圖至第2c圖示意地圖示根據其他揭示於本文的示範具體實施例之在形成與厚度減少之大體上連續金屬矽化物層一起的累積金屬矽化物部份期間的半導體裝置的剖面圖;第3a圖至第3d圖示意地圖示根據另外其他揭示於本文的示範具體實施例之在選擇性地形成與各別接觸元件對齊的金屬矽化物部份之前,處於形成厚度不同之各別基底金屬矽化物層的不同製造階段期間的半導體裝置的剖面圖;以及第4a圖至第4b圖示意地圖示根據又其他揭示於本文的示範具體實施例之處於用來選擇性地形成局部(亦即,累積)金屬矽化物部份之不同製造階段期間的半導體裝置的剖面圖。
儘管本發明容易做成各種修改及替代形式,本文仍以附圖為例圖示幾個本發明的特定具體實施例且詳述其中的細節。不過,應瞭解本文所描述的特定具體實施例不是想要把本發明限定成本文所揭示的特定形式,反而是,本發明是要涵蓋落入依照附上申請專利範圍界定之本發明精神及範疇內的所有修改、等效及替代性陳述。
100...半導體裝置
101...基板
103...閘極電極
104...閘極絕緣層
105...側壁間隔件結構
108...材料層、蝕刻中止層
110...第一介電層
113...金屬矽化物區
115...接觸元件

Claims (25)

  1. 一種形成具有在接觸區域中之局部設置金屬矽化物區的電晶體之方法,包括:在覆蓋半導體裝置之電路元件的電介質層中形成接觸開孔,該接觸開孔係與該電路元件的含矽接觸區連接;在該接觸開孔中形成接觸元件,其中形成該接觸元件的步驟包括:於該接觸開孔完全填充耐火金屬至充滿深度,以及該耐火金屬之一部份覆蓋該含矽接觸區;以及在該含矽接觸區中形成金屬矽化物,其中形成該金屬矽化物的步驟包括:退火覆蓋該含矽接觸區之該耐火金屬之該部份,該接觸元件係連接至該金屬矽化物。
  2. 如申請專利範圍第1項之方法,復包括:在形成該金屬矽化物之前,在該含矽接觸區中形成基底金屬矽化物。
  3. 如申請專利範圍第1項之方法,復包括:移除該耐火金屬的未反應材料。
  4. 如申請專利範圍第1項之方法,其中,基於該耐火金屬而形成該接觸元件。
  5. 如申請專利範圍第1項之方法,其中,在該接觸開孔中形成該金屬矽化物的同時,遮罩形成於該電介質層中的第二接觸開孔以大體上防止該金屬矽化物在該第二接觸開孔中形成。
  6. 如申請專利範圍第2項之方法,其中,該基底金屬矽化物的材料組成與該金屬矽化物的材料組成不同。
  7. 如申請專利範圍第2項之方法,復包括:形成連接至包 括第二基底金屬矽化物之第二接觸區的第二接觸開孔,該第二基底金屬矽化物與形成於該接觸區中的該基底金屬矽化物至少有一項性質不同。
  8. 如申請專利範圍第7項之方法,其中,該基底金屬矽化物與該第二基底金屬矽化物係形成有不同厚度。
  9. 如申請專利範圍第1項之方法,其中,該電介質層包括複數電介質材料層,至少該等複數電介質材料層其中之一用為蝕刻中止層,用以圖樣化該電介質層以形成該接觸開孔。
  10. 如申請專利範圍第1項之方法,其中,該電介質層包括形成於該電路元件上之第一電介質材料層及形成於該第一電介質材料層之上之第二電介質材料層,該第一電介質材料層之材料組成相異於該第二電介質材料層之材料組成。
  11. 如申請專利範圍第10項之方法,其中,該第一電介質材料層係為蝕刻中止層。
  12. 如申請專利範圍第11項之方法,其中,在該電介質層中形成該接觸開孔的步驟包括:基於該蝕刻中止層而圖樣化該第二電介質材料層。
  13. 如申請專利範圍第12項之方法,其中,該第一電介質材料層包括複數材料層,至少該等複數材料層其中之一用為蝕刻中止層。
  14. 如申請專利範圍第13項之方法,其中,該第二電介質材料層包括複數材料層。
  15. 一種形成具有在接觸區域中之局部設置金屬矽化物區的電晶體之方法,包括:在電路元件的含矽接觸區之一部份上形成包括耐火金屬之接觸元件,用形成於介電層中的接觸開孔暴露該含矽接觸區之該部份且該耐火金屬完全填充該接觸開孔至充滿深度;以及起始該耐火金屬與在該含矽接觸區之該部份中之矽之間的化學反應以形成金屬矽化物,該接觸元件係連接至該金屬矽化物。
  16. 如申請專利範圍第15項之方法,復包括:在該接觸開孔中形成導電元件,該導電元件係連接至該金屬矽化物。
  17. 如申請專利範圍第16項之方法,其中,形成該導電元件的步驟包括:移除該耐火金屬的多餘材料以及用導電材料填滿該接觸開孔。
  18. 如申請專利範圍第15項之方法,復包括:形成至少一個用於該電路元件之通道區的應變引發源。
  19. 如申請專利範圍第18項之方法,復包括:在該接觸開孔暴露該部份之前,在該接觸區中形成第一基底金屬矽化物。
  20. 如申請專利範圍第19項之方法,其中,當該應變引發源在該通道區中產生不同類型的應變時,與第二基底金屬矽化物所引發的應變相比,形成厚度比第二電路元件之該第二基底金屬矽化物薄的該第一基底金屬矽化物。
  21. 一種半導體裝置,包括: 電路元件,埋置於電介質材料中,該電路元件具有至少一個包括累積金屬矽化物部份的含矽區,其中,該金屬矽化物為耐火金屬之矽化物,且該電介質材料至少包括形成於該電路元件之至少最上表面之上之第一電介質材料層及第二電介質材料層,該第一電介質材料層之組成相異於形成於其上之該第二電介質材料層之組成;以及包括該耐火金屬之接觸元件,形成於該電介質材料中且大體上與該至少一個含矽區的接觸區對齊,其中,該累積金屬矽化物部份大體上以該接觸區為中心。
  22. 如申請專利範圍第21項之半導體裝置,復包括大體上連續地形成於該至少一個含矽區之表面區域中的金屬矽化物層,該金屬矽化物層的厚度係小於該金屬矽化物之累積部份。
  23. 如申請專利範圍第21項之半導體裝置,其中,該至少一個含矽層為第一場效電晶體的汲極區、源極區及閘極電極之其中一者。
  24. 如申請專利範圍第23項之半導體裝置,復包括至少一個在該第一場效電晶體之通道區中產生應變的應變引發源。
  25. 如申請專利範圍第24項之半導體裝置,復包括連接至第二場效電晶體的第二接觸元件,該第二場效電晶體包括數量比該第一場效電晶體高的金屬矽化物。
TW096131775A 2006-08-31 2007-08-28 具有在接觸區域中之局部設置金屬矽化物區的電晶體以及形成該電晶體之方法 TWI446414B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102006040764A DE102006040764B4 (de) 2006-08-31 2006-08-31 Halbleiterbauelement mit einem lokal vorgesehenem Metallsilizidgebiet in Kontaktbereichen und Herstellung desselben
US11/697,890 US7799682B2 (en) 2006-08-31 2007-04-09 Transistor having a locally provided metal silicide region in contact areas and a method of forming the transistor

Publications (2)

Publication Number Publication Date
TW200832526A TW200832526A (en) 2008-08-01
TWI446414B true TWI446414B (zh) 2014-07-21

Family

ID=39078815

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096131775A TWI446414B (zh) 2006-08-31 2007-08-28 具有在接觸區域中之局部設置金屬矽化物區的電晶體以及形成該電晶體之方法

Country Status (8)

Country Link
US (1) US7799682B2 (zh)
EP (1) EP2070112A2 (zh)
JP (1) JP2010503213A (zh)
KR (1) KR101366201B1 (zh)
CN (1) CN101536176B (zh)
DE (1) DE102006040764B4 (zh)
TW (1) TWI446414B (zh)
WO (1) WO2008027473A2 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090050972A1 (en) * 2007-08-20 2009-02-26 Richard Lindsay Strained Semiconductor Device and Method of Making Same
CN102074479B (zh) * 2009-11-24 2012-08-29 中国科学院微电子研究所 半导体器件及其制造方法
CN102110612B (zh) * 2009-12-29 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法
WO2012006890A1 (zh) * 2010-07-15 2012-01-19 电子科技大学 一种利用应力集中效应增强沟道应力的mos晶体管
US8460981B2 (en) * 2010-09-28 2013-06-11 International Business Machines Corporation Use of contacts to create differential stresses on devices
CN102487014B (zh) * 2010-12-03 2014-03-05 中国科学院微电子研究所 一种半导体结构及其制造方法
CN102487048B (zh) * 2010-12-03 2013-10-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
JP5705593B2 (ja) * 2011-03-08 2015-04-22 セイコーインスツル株式会社 半導体装置および半導体装置の製造方法
FR2976122A1 (fr) * 2011-05-31 2012-12-07 St Microelectronics Crolles 2 Transistor mosfet, composant incluant plusieurs tels transistors et procede de fabrication
US8524564B2 (en) * 2011-08-05 2013-09-03 Globalfoundries Inc. Full silicidation prevention via dual nickel deposition approach
CN103137457A (zh) * 2011-12-05 2013-06-05 中芯国际集成电路制造(上海)有限公司 FinFET接触结构的制造方法
US9698229B2 (en) * 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8772159B2 (en) 2012-02-01 2014-07-08 United Microelectronics Corp. Method of fabricating electrical contact
US20130270614A1 (en) * 2012-04-17 2013-10-17 Toshiba America Electronic Components, Inc. Formation of a trench silicide
US20140048888A1 (en) * 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US20140306290A1 (en) * 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate
US9177810B2 (en) 2014-01-29 2015-11-03 International Business Machines Corporation Dual silicide regions and method for forming the same
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US9484205B2 (en) * 2014-04-07 2016-11-01 International Business Machines Corporation Semiconductor device having self-aligned gate contacts
US9219117B2 (en) * 2014-04-22 2015-12-22 Infineon Technologies Ag Semiconductor structure and a method for processing a carrier
US20150372100A1 (en) * 2014-06-19 2015-12-24 GlobalFoundries, Inc. Integrated circuits having improved contacts and methods for fabricating same
US9698179B2 (en) 2015-08-03 2017-07-04 Globalfoundries Inc. Capacitor structure and method of forming a capacitor structure
US9768130B2 (en) * 2015-10-26 2017-09-19 Texas Instruments Incorporated Integrated power package
US20170194454A1 (en) * 2016-01-06 2017-07-06 International Business Machines Corporation NiPt AND Ti INTERSECTING SILICIDE PROCESS AND STRUCTURE
KR102600998B1 (ko) 2016-09-28 2023-11-13 삼성전자주식회사 반도체 장치
US10763207B2 (en) 2017-11-21 2020-09-01 Samsung Electronics Co., Ltd. Interconnects having long grains and methods of manufacturing the same
US11527609B2 (en) * 2019-10-31 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Increasing device density and reducing cross-talk spacer structures
CN116805623A (zh) * 2022-03-18 2023-09-26 联华电子股份有限公司 静电放电保护装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2980057B2 (ja) * 1997-04-30 1999-11-22 日本電気株式会社 半導体装置の製造方法
US5930671A (en) * 1997-10-20 1999-07-27 Industrial Technology Research Institute CVD titanium silicide for contract hole plugs
US6329681B1 (en) * 1997-12-18 2001-12-11 Yoshitaka Nakamura Semiconductor integrated circuit device and method of manufacturing the same
US6686274B1 (en) * 1998-09-22 2004-02-03 Renesas Technology Corporation Semiconductor device having cobalt silicide film in which diffusion of cobalt atoms is inhibited and its production process
JP2000223568A (ja) 1999-02-02 2000-08-11 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6271122B1 (en) * 1999-07-12 2001-08-07 Advanced Micro Devices, Inc. Method of compensating for material loss in a metal silicone layer in contacts of integrated circuit devices
JP2003100659A (ja) * 2001-09-27 2003-04-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
DE10208714B4 (de) * 2002-02-28 2006-08-31 Infineon Technologies Ag Herstellungsverfahren für einen Kontakt für eine integrierte Schaltung
DE10208728B4 (de) * 2002-02-28 2009-05-07 Advanced Micro Devices, Inc., Sunnyvale Ein Verfahren zur Herstellung eines Halbleiterelements mit unterschiedlichen Metallsilizidbereichen
JP3803631B2 (ja) * 2002-11-07 2006-08-02 株式会社東芝 半導体装置及びその製造方法
JP2004165317A (ja) * 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
US6869866B1 (en) * 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
JP2005109347A (ja) * 2003-10-01 2005-04-21 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US7226834B2 (en) * 2004-04-19 2007-06-05 Texas Instruments Incorporated PMD liner nitride films and fabrication methods for improved NMOS performance
US7448395B2 (en) * 2004-07-19 2008-11-11 Texas Instruments Incorporated Process method to facilitate silicidation
DE102004057762B4 (de) 2004-11-30 2010-11-11 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer Halbleiterstruktur mit Ausbilden eines Feldeffekttransistors mit einem verspannten Kanalgebiet
JP4833544B2 (ja) * 2004-12-17 2011-12-07 パナソニック株式会社 半導体装置
JP4738178B2 (ja) * 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
TW200832526A (en) 2008-08-01
US7799682B2 (en) 2010-09-21
EP2070112A2 (en) 2009-06-17
JP2010503213A (ja) 2010-01-28
CN101536176A (zh) 2009-09-16
DE102006040764A1 (de) 2008-03-20
CN101536176B (zh) 2011-09-21
KR20090048514A (ko) 2009-05-13
US20080054371A1 (en) 2008-03-06
WO2008027473A2 (en) 2008-03-06
KR101366201B1 (ko) 2014-02-21
WO2008027473A3 (en) 2008-04-17
DE102006040764B4 (de) 2010-11-11

Similar Documents

Publication Publication Date Title
TWI446414B (zh) 具有在接觸區域中之局部設置金屬矽化物區的電晶體以及形成該電晶體之方法
KR101989273B1 (ko) 단일 게이트 비휘발성 메모리 장치의 구조 및 방법
TWI443750B (zh) 以高效率轉移應力之形成接觸絕緣層之技術
TWI525794B (zh) 包含金屬閘極及形成在隔離結構上之含矽電阻器的半導體裝置
TWI471944B (zh) 以凹入汲極及源極區降低電晶體接面電容值
JP4937253B2 (ja) コンタクト絶縁層および異なる特性を有するシリサイド領域を形成するための技法
US8846513B2 (en) Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
TWI483343B (zh) 含有具有製程容限組構之基板二極體之soi裝置以及形成該soi裝置之方法
JP5544367B2 (ja) トランジスタにおいて進歩したシリサイド形成と組み合わされる凹型のドレイン及びソース区域
US9646838B2 (en) Method of forming a semiconductor structure including silicided and non-silicided circuit elements
US7122410B2 (en) Polysilicon line having a metal silicide region enabling linewidth scaling including forming a second metal silicide region on the substrate
US8536052B2 (en) Semiconductor device comprising contact elements with silicided sidewall regions
KR20080036679A (ko) 불 휘발성 메모리 소자의 형성 방법
TWI582841B (zh) 製造電晶體閘極之方法及包含電晶體閘極之半導體裝置
TWI511286B (zh) 具有縮減長度之汲極和源極區及與其毗鄰之受力介電材料的soi電晶體
US20120025318A1 (en) Reduced Topography in Isolation Regions of a Semiconductor Device by Applying a Deposition/Etch Sequence Prior to Forming the Interlayer Dielectric
JP2010177690A (ja) 電気的にプログラムされたソース/ドレイン直列抵抗を有するmosトランジスタ
TWI512906B (zh) 在基板窗區域上具有減少形貌的soi半導體裝置
US20100025782A1 (en) Technique for reducing silicide non-uniformities in polysilicon gate electrodes by an intermediate diffusion blocking layer
KR100645839B1 (ko) 반도체 소자 및 그 제조 방법
JP2004179301A (ja) 半導体集積回路装置の製造方法
KR100790451B1 (ko) 반도체 소자의 제조방법
JP2009105340A (ja) 半導体装置、及び半導体装置の製造方法
JP2012099530A (ja) 半導体装置およびその製造方法
WO2010049086A2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees