TWI419224B - 提供特徵結構於蝕刻層上的方法和裝置 - Google Patents

提供特徵結構於蝕刻層上的方法和裝置 Download PDF

Info

Publication number
TWI419224B
TWI419224B TW096116156A TW96116156A TWI419224B TW I419224 B TWI419224 B TW I419224B TW 096116156 A TW096116156 A TW 096116156A TW 96116156 A TW96116156 A TW 96116156A TW I419224 B TWI419224 B TW I419224B
Authority
TW
Taiwan
Prior art keywords
layer
forming
sacrificial
etch
sidewall
Prior art date
Application number
TW096116156A
Other languages
English (en)
Other versions
TW200746296A (en
Inventor
Zhisong Huang
Jeffrey Marks
S M Reza Sadjadi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200746296A publication Critical patent/TW200746296A/zh
Application granted granted Critical
Publication of TWI419224B publication Critical patent/TWI419224B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Claims (20)

  1. 一種用來形成特徵結構於蝕刻層上的方法,其包含:形成一具有犧牲特徵結構的犧牲圖案層於一蝕刻層上;形成保形側壁於犧牲特徵結構中,其包含一側壁形成處理的至少兩個循環,其中每一循環都包含:一側壁沉積階段;及一側壁輪廓形塑階段,其將該側壁的輪廓形塑成垂直的輪廓;選擇性地去除掉該犧牲圖案層之介於保形側壁之間的部分,留下具有間隙的保形側壁,間隙係介於保形側壁之犧牲圖案層被選擇性地去除掉的部分之間;及使用保形側壁作為一蝕刻罩幕來將特徵結構蝕刻於蝕刻層上,其中在蝕刻層上的特徵結構係透過介於保形側壁之間的間隙而被蝕刻的,該間隙為犧牲圖案層被選擇性地去除掉的部分。
  2. 如申請專利範圍第1項之形成特徵結構於蝕刻層上的方法,其中該側壁沉積階段包含:提供一沉積氣體;由該沉積氣體形成一電漿;及停止該沉積氣體流。
  3. 如申請專利範圍第2項之形成特徵結構於蝕刻層上的方法,其中該側壁輪廓形塑階段包含: 提供一不同於該沉積氣體之輪廓形塑氣體;由該輪廓形塑氣體形成一電漿;及停止該輪廓形塑氣體流。
  4. 如申請專利範圍第3項之形成特徵結構於蝕刻層上的方法,其中形成該犧牲圖案層包含:形成一犧牲層於該蝕刻層上;形成一圖案罩幕於該犧牲層上;及將犧牲特徵結構蝕刻至該犧牲層中。
  5. 如申請專利範圍第4項之形成特徵結構於蝕刻層上的方法,其中該圖案罩幕為一光阻罩幕,及其中形成該犧牲圖案層更包含修剪(trimming)該光阻罩幕。
  6. 如申請專利範圍第5項之形成特徵結構於蝕刻層上的方法,其中形成該犧牲圖案層更包含在將該犧牲特徵結構蝕刻到該犧牲層中之後去除掉該光阻罩幕。
  7. 如申請專利範圍第6項之形成特徵結構於蝕刻層上的方法,其中該犧牲圖案層界定一陣列區及一邏輯區,更包含在形成該犧牲圖案層之後覆蓋該犧牲圖案層的邏輯區,其中選擇性地去除該犧牲圖案層的一部分係去除掉該犧牲圖案層之未被覆蓋的部分。
  8. 如申請專利範圍第7項之形成特徵結構於蝕刻層上的方法,其更包含在選擇性地去除該犧牲圖案層的一部分之後去除該犧牲圖案層的邏輯區的覆蓋。
  9. 如申請專利範圍第8項之形成特徵結構於蝕刻層上的方法,其更包含去除掉側壁及去除掉該犧牲圖案層 之剩下來的部分。
  10. 如申請專利範圍第9項之形成特徵結構於蝕刻層上的方法,其中側壁是被沉積的矽。
  11. 如申請專利範圍第10項之形成特徵結構於蝕刻層上的方法,其中側壁具有實質垂直的斜度。
  12. 如申請專利範圍第1項之形成特徵結構於蝕刻層上的方法,其中形成該犧牲圖案層包含:形成一光阻層於該蝕刻層上;將該光阻層圖案化以形成一圖案光阻罩幕,其中該圖案光阻罩幕為該犧牲圖案層及其中保形側壁被形成在該光阻罩幕上。
  13. 如申請專利範圍第3項之形成特徵結構於蝕刻層上的方法,其中形成該犧牲圖案層包含:形成一第一犧牲層,一第二犧牲層及蝕刻停止堆疊(stack),其包含:將該第二犧牲層形成在該蝕刻層上;形成一蝕刻停止層於該第二犧牲層上;及將該第一犧牲層形成在該蝕刻停止層上;形成一圖案罩幕於該第一犧牲層上;將犧牲特徵結構蝕刻到該第一犧牲層中;形成保形側壁形成於該第一犧牲層的犧牲特徵結構中;選擇性地去除掉該第一犧牲層介於保形側壁之間的部分,留下具有間隙的保形側壁,間隙係介於保形側壁 之第一犧牲層被去除掉的部分之間;將特徵結構蝕刻至該第二犧牲層中用以形成該犧牲圖案層;及去除掉保形側壁。
  14. 如申請專利範圍第1項之形成特徵結構於蝕刻層上的方法,其中形成該犧牲圖案層包含:形形成一光阻罩幕於該犧牲層上;及修剪該光阻罩幕;其中該光阻罩幕是該犧牲層,及其中保形側壁被形成在該光阻罩幕上。
  15. 如申請專利範圍第1項之形成特徵結構於蝕刻層上的方法,其中該犧牲圖案層界定一陣列區及一邏輯區,更包含:在形成該犧牲圖案層之後覆蓋該犧牲圖案層的邏輯區,其中選擇性地去除該犧牲圖案層的一部分係去除掉該犧牲圖案層之未被覆蓋的部分;及在選擇性地去除掉一部分的犧牲圖案層之後,揭除該犧牲圖案層的邏輯區的覆蓋。
  16. 如申請專利範圍第1項之形成特徵結構於蝕刻層上的方法,其中形成保形側壁並不形成沿著水平表面的沉積。
  17. 如申請專利範圍第11項之形成特徵結構於蝕刻層上的方法,其中該等保形壁從下到上形成一相對於該犧牲層特徵結構的底部介於88°至90°的角度。
  18. 如申請專利範圍第1項之形成特徵結構於蝕刻層上的方法,其中該等保形側壁係在低於100℃的溫度下形成。
  19. 一種用來形成特徵結構於蝕刻層上的方法,其包含:形成具有犧牲特徵結構的犧牲圖案層於一蝕刻層上,其中該犧牲圖案層界定一陣列區及一邏輯區,此步驟包含:提供一光阻層;及用該光阻層形成一光阻罩幕,其中該犧牲圖案層是該光阻罩幕;覆蓋該犧牲圖案層的邏輯區,其中該犧牲圖案層之界定該陣列區的部分被揭露;形成保形側壁於該犧牲特徵結構中,其中該等側壁是在該光阻罩幕上,此步驟包含一側壁形成處理的至少兩個循環,其中每一循環都包含:一側壁沉積階段,其包含:提供一沉積氣體;由該沉積氣體形成一電漿;及停止該沉積氣體流;及一側壁輪廓形塑階段,其將測壁的輪廓形塑成垂直的輪廓,此步驟包含:提供一不同於該沉積氣體之輪廓形塑氣體;由該輪廓形塑氣體形成一電漿;及 停止該輪廓形塑氣體流;及選擇性地去除掉該犧牲圖案層之介於保形側壁之間未被覆蓋的部分,留下具有間隙的保形側壁,間隙係介於保形側壁之犧牲圖案層被選擇性地去除掉的部分之間;揭露該犧牲圖案層之邏輯區;及使用保形側壁作為一蝕刻罩幕來將特徵結構蝕刻於蝕刻層上,其中在蝕刻層上的該特徵結構係透過介於保形側壁之間的間隙而被蝕刻的,該間隙為該犧牲圖案層被選擇性地去除掉的部分。
  20. 如申請專利範圍第19項之形成特徵結構於蝕刻層上的方法,其中形成該犧牲圖案層更包含:修剪該光阻罩幕。
TW096116156A 2006-05-10 2007-05-07 提供特徵結構於蝕刻層上的方法和裝置 TWI419224B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/432,194 US7429533B2 (en) 2006-05-10 2006-05-10 Pitch reduction

Publications (2)

Publication Number Publication Date
TW200746296A TW200746296A (en) 2007-12-16
TWI419224B true TWI419224B (zh) 2013-12-11

Family

ID=38596323

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096116156A TWI419224B (zh) 2006-05-10 2007-05-07 提供特徵結構於蝕刻層上的方法和裝置

Country Status (7)

Country Link
US (1) US7429533B2 (zh)
EP (1) EP2018661A1 (zh)
JP (1) JP5048055B2 (zh)
KR (1) KR101353239B1 (zh)
CN (1) CN101496141B (zh)
TW (1) TWI419224B (zh)
WO (1) WO2007133442A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR20080012055A (ko) * 2006-08-02 2008-02-11 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US20080152823A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Self-limiting plating method
US7794530B2 (en) * 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
WO2009085598A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Photoresist double patterning
KR20100106501A (ko) * 2007-12-21 2010-10-01 램 리써치 코포레이션 고 식각율 레지스트 마스크를 이용한 식각
WO2009085694A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Protective layer for implant photoresist
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP5224919B2 (ja) * 2008-06-10 2013-07-03 株式会社東芝 半導体装置の製造方法
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
US8409457B2 (en) * 2008-08-29 2013-04-02 Micron Technology, Inc. Methods of forming a photoresist-comprising pattern on a substrate
JP2010087298A (ja) * 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
KR101045090B1 (ko) 2008-11-13 2011-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
KR20110064661A (ko) * 2009-12-08 2011-06-15 삼성전자주식회사 반도체소자의 제조방법
US8222140B2 (en) * 2009-12-23 2012-07-17 Intel Corporation Pitch division patterning techniques
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
JP5330440B2 (ja) * 2011-03-23 2013-10-30 株式会社東芝 半導体装置の製造方法
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8802571B2 (en) * 2011-07-28 2014-08-12 Lam Research Corporation Method of hard mask CD control by Ar sputtering
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
CN103839781B (zh) * 2012-11-21 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体精细图案的形成方法
TWI487004B (zh) * 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9437479B2 (en) * 2013-11-19 2016-09-06 Applied Materials, Inc. Methods for forming an interconnect pattern on a substrate
JP6151215B2 (ja) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 プラズマエッチング方法
KR102365159B1 (ko) * 2014-07-15 2022-02-18 삼성전자주식회사 커브된 터치 패널 및 이를 포함하는 표시 장치
US9184060B1 (en) * 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
KR102420150B1 (ko) 2015-08-19 2022-07-13 삼성전자주식회사 반도체 소자의 제조 방법
JP6748354B2 (ja) * 2015-09-18 2020-09-02 セントラル硝子株式会社 ドライエッチング方法及びドライエッチング剤
US10529617B2 (en) 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
DE102017127124B4 (de) * 2017-09-29 2023-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Metallbearbeitung mit flexiblen Zwischenräumen, gebildet unter Verwendung einer Strukturierung mit selbstjustierenden Spacern
CN109860041B (zh) * 2018-12-28 2020-12-29 芯创智(北京)微电子有限公司 一种集成电路精密图形制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040087114A1 (en) * 2002-10-24 2004-05-06 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US20060068596A1 (en) * 2004-09-30 2006-03-30 International Business Machines Corporation Formation of Controlled Sublithographic Structures

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237A (en) * 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
JPS6435916A (en) * 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
WO2002056358A2 (en) 2000-11-13 2002-07-18 Vram Technologies Llc Sidewalls as semiconductor etch stop and diffusion barrier
US6835665B2 (en) * 2002-03-06 2004-12-28 Hitachi High-Technologies Corporation Etching method of hardly-etched material and semiconductor fabricating method and apparatus using the method
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US20040161946A1 (en) * 2002-06-24 2004-08-19 Hsin-Yi Tsai Method for fluorocarbon film depositing
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
JP2004193400A (ja) * 2002-12-12 2004-07-08 Toshiba Corp 半導体装置の製造方法及びフォトマスク
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US20060249784A1 (en) * 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7390749B2 (en) 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040087114A1 (en) * 2002-10-24 2004-05-06 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US20060068596A1 (en) * 2004-09-30 2006-03-30 International Business Machines Corporation Formation of Controlled Sublithographic Structures

Also Published As

Publication number Publication date
KR101353239B1 (ko) 2014-01-17
JP2009536787A (ja) 2009-10-15
WO2007133442A1 (en) 2007-11-22
EP2018661A1 (en) 2009-01-28
KR20090009312A (ko) 2009-01-22
US20070264830A1 (en) 2007-11-15
CN101496141A (zh) 2009-07-29
TW200746296A (en) 2007-12-16
US7429533B2 (en) 2008-09-30
CN101496141B (zh) 2011-04-06
JP5048055B2 (ja) 2012-10-17

Similar Documents

Publication Publication Date Title
TWI419224B (zh) 提供特徵結構於蝕刻層上的方法和裝置
TWI576897B (zh) 製造方法與圖案化方法
TWI447440B (zh) 光柵之製備方法
WO2010033434A3 (en) Sidewall forming processes
WO2009085564A3 (en) Etch with high etch rate resist mask
KR20110115101A (ko) 반도체 소자의 미세 패턴 형성 방법
JP2010516059A5 (zh)
JP2007531054A5 (zh)
CN102315158A (zh) 形成半导体器件的接触孔的方法
KR20080100690A (ko) 나노 임프린트 리소그라피 공정용 템플릿 및 이를 이용한 반도체 소자 제조 방법
TWI646598B (zh) Microscopic three-dimensional structure forming method and microscopic three-dimensional structure
TW201841221A (zh) 用以降低微影瑕疵之方法與圖案轉移之方法
JP2019526927A5 (ja) 基板をパターニングするための構造を形成する方法、基板をパターニングする方法及びマスクを形成する方法
JP2012138570A5 (zh)
JP2018524814A5 (zh)
TW201633407A (zh) 半導體裝置之圖案化結構的製作方法
JP5537400B2 (ja) パターン形成方法及び装置
JP2007133153A5 (zh)
JP2011102001A5 (zh)
TWI448741B (zh) 光柵之製備方法
JP2012086553A5 (zh)
CN106298507B (zh) 图案化方法
TW201317639A (zh) 光柵
CN107403719B (zh) 在半导体器件中形成图形的方法
TW201505071A (zh) 半導體自對準圖案化之方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees