TWI261313B - A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof - Google Patents

A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof Download PDF

Info

Publication number
TWI261313B
TWI261313B TW094125879A TW94125879A TWI261313B TW I261313 B TWI261313 B TW I261313B TW 094125879 A TW094125879 A TW 094125879A TW 94125879 A TW94125879 A TW 94125879A TW I261313 B TWI261313 B TW I261313B
Authority
TW
Taiwan
Prior art keywords
atomic layer
layer deposition
gas
valve
signal
Prior art date
Application number
TW094125879A
Other languages
English (en)
Other versions
TW200705550A (en
Inventor
Hung-Wen Wei
Hung-Che Ting
Original Assignee
Ind Tech Res Inst
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ind Tech Res Inst filed Critical Ind Tech Res Inst
Priority to TW094125879A priority Critical patent/TWI261313B/zh
Priority to US11/244,040 priority patent/US8097083B2/en
Application granted granted Critical
Publication of TWI261313B publication Critical patent/TWI261313B/zh
Publication of TW200705550A publication Critical patent/TW200705550A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Description

l26l3l3 九 發明說明 【發明所屬之技術領域】 ^發明係為—種運作方法及其裝置,尤指—種大 "漿辅助原子層沉積腔體之運作方法及其裝置。 、 【先前技術】 目可在薄膜電晶體的閘極氧化層以 =學氣相沉積⑴asmaEnhanGe㈣歧熱處理化^ ^相、f積(Τ—⑽)的方式來進行,㈣未來的平面 步不為越來越大、製程溫度越來越低且其電晶體元件會越 ^ ^ ^ Si〇2閘極氧化層越來越薄,所承受的驅動電壓會 故來越南其導致高穿隧漏電流和元件可靠度的問題未來勢 p須要面對,以電漿辅助奈米薄膜沉積(nasma enhance tmoic L=er Deposition ; PEALD)的方式製作高介電材 λ斗原子層薄膜,以朝向奈米級的厚度均勻的覆篕在大面積 勺基板上、低的製程溫度、低污染物及完全精準原子級戶 a才工制’儿積南介電材料奈米薄膜。在有機發 ― ⑴rganic Lighi: Emissi〇n Diode ; 0LED)方面未來伴^古 欢率化材料的開發、低電壓化元件結構,主要是以電 面為考量重點,其緩衝層都是數原子層厚度的材料,=二 用原子層沉積的方式來進行薄膜沉積。 而 電漿輔助原子層沉積是利用一個連續的雙化學 應’,化學前驅物(precursor)❸沉積會自我:: I self-limiting),緊接著藉由利用從電漿產生的離子和/ 5 1261313 或原刊來進订配位子(llgand)的摘除或表面活化 < ria^r雨tlGn)的步驟。在第—個半反應,氣相 匕子則驅物(卯⑽1*·)和表面機能群(surface fu㈣卿丨group)仙,並且反應姻進行,直到所有 應並且被取代此時反應不再進行,這就 疋原子層沉積自我限制(self_llmiting)的特性。接著下 -個步驟包含來自錢的反麟子和 職易揮^子移除表面的配位子(ugand),而訂= 當原子團的自由基可使表面反應活化,離 子的效應可使沉積的薄膜緻密化和結晶化。因此電㈣助 原子層沉積-般可在低溫環境下比熱處理的原子層沉積 (atomic layer deposition · at m 制/ 率和增力賴成物的:’ALD)製程能夠增加反應速 因為第-半反應是自我限制,假如離子或原子團並沒 有發生沉積反應物的細丨,期望每—個週期是—層原子層 的沉積。對於微電子製程,電浆輔助原子層沉積可被廣二 的使用在沉積高介電常數的閘極氧化層材料(high—kgate metal)、當擴散阻障層(diffusion barrier)、種子 (seed)、相互連結用的吸附層(adhesi〇n iayers)的氮 化金屬(metal nitrides)。 原子層沉積的原理就是將欲沉積薄膜的基板表面曝 露在交互替換的多種前驅物氣體(precurs〇rs)和淨化氣 體(purge gas)内’薄膜的沉積速率主要受到前驅物氣體 1261313 乂互替換週期數的影響,在單位時間内交互替換速度越 =’、溥膜沉積速率越快。為了能夠有效的增進在大面積電 甫助原子層/儿和$又備其多種前驅物氣體的切換速度,、在 大面積腔體内加設多種前驅物和淨化氣體的氣體分佈管路 - 、(gas distributing plping),且每支氣體分佈管路的快 、 ^閥門(high speed ValVe)都安裝在靠近腔體處,以利 笔水輔助原子層〉儿積没備能夠快速進行前驅物氣體的切 換加快薄膜沉積速率。並且由於電漿需在一定的壓力下 > 才能有效的將RF功率吸收,讓氣體轉為電漿的型式,為了 成夠有效的控制大面積電漿源輔助原子層沉積腔體内的壓 力,減少通入别驅物氣體量,並又能夠在短時間内將抽氣 官路的氣導快速的增加使未完成反應的氣體能迅速抽離, 所以傳統用來進行壓力控制的節流閥並無法滿足如此短時 間的快速增加或減少抽氣管路的氣導,因此需對此一功能 進行結構設計才能滿足需求。 在習知加快薄膜沉積速率的技術中,以Angstron Systems公司所提出之美國專利前案第6, 428, 859號「由 調整離子引發原子層沉積以沉積薄膜之連續方法 (Sequential method for deposting a film by modulated ion-induced atomic layer deposition (Mil-ALD)」,係 ’ 利用一喷氣頭(showerhead)的隔板將電漿腔體和製程腔體 隔開,需電漿解離的前驅物氣體通入電漿腔體内,經電漿 解離後再經由喷氣頭由真空幫浦抽氣,擴散到製程腔體的 基板表面上。不需電漿解離的前驅物氣體則直接通入製程 7 1261313 腔體内和基板表面產生反應。由於前驅物氣體需佈滿電聚 腔體和製程腔體内和基板表面產生反應。去 〜 田丞板面積越 大,腔體體積也越來越大,前驅物氣體佈滿腔體所需的時 間也會變長。又,以APPlledMaterial公司所提二華 民國專利前«圆76號「㈣原子層沉積之氣 設 備」,為了使W驅物氣體能夠在很短的時間内报你 個製程腔體,因此製程腔體的空間报小。 、勺佈滿正 口再,以ASM公司所提出之中華民國專利前案第 號「原子層沉積反應器」,貝彳 解、™方式,而電激=;=== 耦合電漿源和電感式耦合電漿源,卷一 ’、有电奋式 板是否浸潤在電漿内而分遠端電漿_近ΓίΐΓί基 論何種腔體設計都只有一個進氣口,從製程腔體:二j =外-端’當體積變大時都會使氣體佈滿腔體= 拉長,降低氣體的切換速率。 工體的牯間 在習知壓力控制的節流閥技術中,者 時’腔體内的壓力可以由電容式;曰:产力控制 =器’將依照設定的*力值與從電計,力 整”閥的位置,讓腔體壓力達= 二樣。即k閥目錢常用的有兩種動作方式 :: 閘閥(邮e valve卜樣的動作,閥門是# ^像 動來控制管路的氣導,此種節流閥可用在大口押白^面運 路’且其密閉性佳’當整個閱門完全關閉時,:同;管 另
广此-設計最大 設氣鎮的管路和 w衣置間早’只f在抽氣管路加 低,使化學前驅相,就可達到抽氣管路氣導的降 抽氣管路的氣導,^積效率增加,又能於短時間内恢復 需額外消耗惰性氣體缺點’―是每次進行沉積製程 的控制’對電t的使用11乳’二是腔體内的壓力無法有效 功率就無法有效輪人,^說,壓力如果無法有效控制,RF 電漿就不能順利點燃。三在電漿的 1261313 樣不會有漏氣的情況,利用伺服馬達或是步進馬達 (servomotor or step motor)控制閥門的位置。 種郎流閥的結構有如蝴蝶翅膀的擺動來調整閥 門的位置,所以又稱為蝴蝶閥(Butterfly valve),其結構 是由一個旋轉式閥門及用來調整閥門位置的伺服馬達或是 步進馬達所組成,藉由輸入適當的電壓訊號,伺服馬達自 動調整閥門的位置來改變節流閥的傳導度 (conductance) ’控制系統整體的有效抽氣速率,以達到壓 ^ it = ί 目的。另外,SundeW technol〇gies 公司為 於抽^二刚驅物的消耗量’在通入化學前驅物的同時, 量,月?^氮氣或惰性氣體,減低抽氣管路的抽氣 學前驅物力學前驅物的壓力报快的增加,而使化 ⑽1咖gas)〇i=,通入抽氣管路的氣體稱之為氣鎮 氣體如氮氣奸腔體⑽行淨㈣,通人淨化用的 此時抽氣管路^ ’此時抽氣管路的氣鎮予以關閉, 抽走,達到淨化的目^力σ,腔體内的氣體則能夠快速的被 1261313 中有通入氣氣,有可能會在薄膜 二而影響到原子層薄膜的特性,因此,此—方式 非吊適合用在電漿輔助原子層沉積的設備上。 疋 【發明内容】 本發明之目的在於能夠有效的控制大面 助原子層沉積腔體内的壓力,、水源輔 氣管路的氣導快速辦加1〜、’月匕土 S守曰内又可以將抽 — 日喊體抽離腔體,在抽氣管政― :⑽1式閥門’並可移動旋轉式閥門軸承的位置二?力: 刖驅物氣體沉積時抽氣管路氣導的控制。 J母一人 輔助成上述之目的,本發明係提供一種大面产♦將 f助原子層沉積腔體之運作方 :大面,漿 積腔體内;輸出=====電賴助原子層沉 生-閥位訊號及-旋轉頻率:疋::流閥控制器以產 填充一第一氣,於、羊^唬,關閉该旋轉式節流閥且 輸入一氣動闕頻==積電浆辅助原子層沉積腔體内; 裝辅助原子層沉積腔 ,制器以產生一閥位訊 層沉積腔體内,輸入4=方玄。亥大面積電漿輔助原子 進入該大面積電:ς助:::頻率訊號使-淨化氣體得: 號至一旋轉式節流閥控制心=腔=内;輪出1力訊 率訊號,藉由#m 生閥仇訊號及一旋轉相 亥闕位喊及«轉頻 10 1261313 節流閥將該大面積電漿輔助原子層沉積腔體内之該淨化氣 體排出。 本發明亦提供一種大面積電漿輔助原子層沉積腔體 之裝置,用以達成上述之方法。 【實施方式】 為了使貴審查委員能更進一步瞭解本發明為達成 既定目的所採取之技術、方法及功效,請參閱以下有關本 丨發明之詳細說明與附圖,相信本發明之目的、特徵與特點, 當可由此得一深入且具體之暸解,然而所附圖式僅提供參 考與說明用,並非用來對本發明加以限制者。 請參考第一圖係為本發明之大面積電漿輔助原子層 沉積腔體之裝置示意圖,係包括一大面積電漿:輔助原子層 沉積腔體10,定義出一反應空間,一第一氣體供應源12, 係相通與該大面積電漿輔助原子層沉積腔體10之一侧,用 以提供該第一氣體12, 一第二氣體供應源14,係相通與該 ( 大面積電漿輔助原子層沉積腔體10之一侧,用以提供該第 二氣體14,一淨化氣體供應源16,係相通與該大面積電漿 _· 輔助原子層沉積腔體10之一侧,用以提供該淨化氣體16, 一感測器18,設置於該大面積電漿輔助原子層沉積腔體10 之一侧且與該反應空間相通,用以偵測該反應空間内室之 壓力。 一旋轉式節流閥20,設置於該大面積電漿輔助原子層 沉積腔體10之一侧且與該反應空間相通,其中該旋轉式節 11 1261313 流閥20係用以排出該反應空間内之該第一氣體1〇、該第 —氣體12及該淨化氣體14,一旋轉式節流閥控制器22, 接收由該感測器18所輪出之一壓力訊號⑽,而產生一閥 位汛唬34及一旋轉頻率汛號36控制該旋轉式節流閥2〇, 其中該壓力訊號38係由一感測器18所發出 動闕頻率訊號32、關㈣魏及該旋轉頻率訊號^係 由一訊號產生器訊號30所產生者。
請參考第二圖係為本發明之大面積電襞輔助原子層 ,積腔體之運作方法流程圖,係包括輸人_氣動閥頻率訊 就使-淨化氣體得以進人該大面積電㈣助原子層沉積腔 體内(S100),輸出-壓力訊號至一旋轉式節流閥控制器 士生-閥位訊號及-旋轉頻率訊號(S1〇2),關閉該 即流閥且填充-第-氣體於該大面積電漿辅助原子二 =體内(S1G4) ’輸人-氣動閥頻率訊號使—淨化氣▲得二 :入戎大面積電浆輔助原子層沉積腔體内⑻⑽) 壓力訊號至-旋轉式節流閥控制器以產生—閥 二 號则,關閉該旋轉式節流閥且填二 氣動閥頻率訊號使—淨化氣 輪入 助原子層沉積腔體内(SU2/、仏山 以大面積電漿輔 μ ⑸2),輸出—壓力訊號至-旋鲑斗 =將;及該旋轉頻率訊號開啟二旋;4 二崎面積議助原子層沉積腔體 二 12 1261313 請參考第三圖係為本發明之快速充氣體之大面積電 漿輔助原子層沉積腔體第一實施例示意圖,係包括一上電 極板40及一下電極板42,其中該下電極板42係連結於 地,至少一氣體管道44,係設置於該上電極板42上,至 少一快速氣體閥46,係設置於該些氣體管道44上,至少 一絕緣物48,係設置於該些氣體管道44下、該上電極板 40上及該下電極板42周圍,當作隔離作用,其中該些絕 緣物可為陶瓷、石英或其他可作為絕緣之材料,其分佈於 該下電極板42周圍或兩侧之該絕緣物48設置有抽氣的孔 洞,請參考第四圖係為本發明之快速充氣體之大面積電漿 輔助原子層沉積腔體第二實施例示意圖及第五圖係為本發 明之快速充氣體之大面積電漿輔助原子層沉積腔體第三實 施例示意圖,一射頻電源供應器482,係連接於該上電極 板40之一邊。 為了能夠有效的增進在大面積的電漿輔助原子層沉 積設備其多種前驅物氣體的切換速度,在大面積電衆輔助 原子層沉積内加設多種前驅物和淨化氣體的氣體分佈管 路。每支氣體分佈管路的快速閥門都安裝在靠近腔體處, 以利電漿輔助原子層沉積設備能夠快速進行前驅物氣體的 切換,加快薄膜沉積速率。 在製程腔體上電極板加設氣體分佈管路,在進入腔體 前的每支氣體分佈管路都加裝一隻可快速開關動作的氣 閥,讓氣體先佈滿整隻的氣體輸送管路,以減少氣體輸送 分佈的時間,當送氣時同一隻氣體分佈管路上的快速氣閥 13 1261313 同時動作將前驅物氣體送入製程腔體内。 請參考第六圖係為本發明之節流閥第一實施例示意 圖,本實施例係利用磁力帶軸承座作線性運動,旋轉閥門 是由三個葉片所組成,一個葉片50為控制管路戴面導通的 情況,改變抽氣管路的氣導,另外兩個葉片52、54為封住 旋轉閥門56運動的空間,以減少漏氣。若要增加抽氣管路 ’ 的氣導則旋轉180°到另一邊沒有閥門葉片的面,此時抽 氣管路的氣導為最大,旋轉頻率可和化學前驅物送氣時相 > 配合,以達到減少化學前驅物的消耗,並可快速的改變抽 ' 氣管路氣導的功能。轉動機械引入(feedthrough)其軸封 58可用Ο-ring或人字型墊圈來達成,或是以磁力連結的 方式。控制旋轉閥門56其軸承座的位置,以達到旋轉閥門 56處於關閉時,改變抽氣管路導通的截面積。 線性移動機械引入(feedthrough)可用步進馬達60驅 動皮帶、鏈條、齒執牽引用磁力帶動軸承座62位置,或是 如第七圖以步進馬達60驅動螺桿64移動旋轉閥門軸承座 位置,以波紋管66來封真空。配合化學前驅物的氣閥動作 _ 和淨化氣體的氣閥動作的頻率。配合化學前驅物的氣閥動 作和淨化氣體的氣閥動作的頻率。 請參考第八圖係為本發明之另一種節流閥變形實施 例示意圖,本實施例係利用有孔洞70的旋轉盤72作旋轉 運動,旋轉盤72的孔洞70為控制管路截面導通的情況, 當旋轉盤72的孔洞70在抽氣管路位置時為全導通狀態, 當孔洞70轉到其他位置時,此時抽氣管路為閉合狀態,藉 14 1261313 由旋轉盤72的轉動逮率快 率’而了盤72可藉由词服馬達⑽來進行 洞70位置’此種方式可安裝㈣在大 科上 贈⑻咖下,不佔空間,並更適用於二:層 二:Γ:Γΐ.Γ的方式只能控制抽氣管路全開和: 的壓力控制則是改需由氣體輸送管路内有多少: 腔體内進行控制,如果氣體輸送管路的體 f調整如第九圖所示,當腔體的壓力从,訊號 ㈣將管路内的壓力予以管路_ 調壓間位訊號39 =厂堅力過高時,該 低。第十_為不=====壓閥予以降 =上十一圖β和第十一圖C分別為安裝在轉盤 由 =旋轉盤72可以為一個以上的孔洞7〇,作 =杨上被挖一個洞,整個轉盤的轉動慣量會不平衡「 孔洞7。位置的轉盤最外緣增加質量以達到轉 :匕平衡的目標’則不需在孔㈣位置的轉盤最外緣; 程月^ 了達成氣體能夠於最短的時間内快速充滿整個製 “Απ,製程腔體的内容量會降至最小’由於基板的 貝為固义無法縮小,可減少的部份為腔體内容量的高 15
1261313 度,因此腔體内的頂部會扁平貼近基板,如此狹小的空間 將不利機械手臂傳送基板。爲了克服此一問題,傳送的方 式將須有所5周签’將改用氣浮的方式來傳送如第十二圖所 示,在基板承載腔體84和製程腔體10的下電極板42上有 複數個小氣孔80,每個小氣孔80都可冒出氮氣或惰性氣 體82。當基板放置在平台上,平台上每個小氣孔冒出的氮 氣82,就可將基板86給微微撐起,有如在氣墊上,如此 基板86就可任意移動,然後利用機械手臂或活塞推動基板 的侧邊到定位。 本發明確能藉上述所揭露之技術,提供一種迥然不同 於習知者的設計,堪能提高整體之使用價值,又其申請前 未見於刊物或公開使用,誠已符合發明專利之要件,爰依 法提出發明專利申請。 & 惟,上述所揭露之圖式、說明,僅為本發明之實施例 而匕凡精于此項㈣者當可依據上述之朗作其他種種 之改良,而這纽變仍屬於本發明之發 之專利範圍中。 月竹汉从卜界疋 【圖式簡單說明】 第-圖„之大面積電浆辅助原 置不意圖; Ί 弟一圖係為本發明之大面 作方法流程圖; 第三圖係為本發明之快速充氣體之大 體之裴 積電漿辅助原子層沉積 腔體之運 面積電漿輔助原子層 16 1261313 沉積腔體示意圖, 第四圖係為本發明之快速充氣體之大面積電漿辅助原子層 沉積腔體第二貫施例不意圖, 第五圖係為本發明之快速充氣體之大面積電漿輔助原子層 沉積腔體第三實施例示意圖; 第六圖係為本發明之節流閥第一實施例示意圖; 第七圖係為本發明之節流閥第二實施例示意圖; 第八圖係為本發明之節流閥第三實施例示意圖; ► 第九圖係為本發明之節流閥第三實施例各式旋轉盤式意 圖; 第十圖係為本發明之節流閥第三實施例各種訊號控制圖; 第十一 A圖係為本發明之節流閥第三實施例單一孔洞旋轉 盤圖不意圖, 第十一 B圖係為本發明之節流閥第三實施例兩個孔洞旋轉 盤圖示意圖; 第十一 C圖係為本發明之節流閥第三實施例單一非圓形孔 > 洞旋轉盤圖示意圖;及 第十二圖係為本發明之氣浮傳送基板實施例示意圖。 ' 【主要元件符號說明】 大面積電漿輔助原子層沉積腔體10 第一氣體 12 第二氣體供應源 14 17 1261313
淨化氣體 16 感測器 18 旋轉式節流閥 20 旋轉式節流閥控制器 22 訊號產生器訊號 30 氣動閥頻率訊號 32 閥位訊號 34 旋轉頻率訊號 36 壓力訊號 38 調壓閥位訊號 39 上電極板 40 下電極板 42 氣體管道 44 快速氣體閥 46 絕緣物 48 射頻電源供應器 482 葉片 50 、 52 、 54 旋轉閥門 56 軸封 58 步進馬達 60 轴承座 62 螺桿 64 18 1261313 波紋管 66 孔洞 70 旋轉盤 72 微小氣孔 80 氮氣或惰性氣體 82 基板承載腔體 84 基板 86 19

Claims (1)

1261313 十、申請專利範圍: L=種大面積電漿輔助原子層沉積腔體之運作方法,係包括: 號使一淨化氣體得以進入該大面積電漿輔 輪ΐ—ί i訊號至—旋轉式節流閥控制器以產生—閥位訊號 及一紅轉頻率訊號; 關】ΐΐ轉式節娜且填充—第—氣齡敎面積電_助 原子層沉積腔體内; 輪訊號使一淨化氣體得以進入該大面積電漿 補助原子層沉積腔體内; 輪一旋轉式節流閥控制器以產生, 及力疋轉頻率訊號;及 關閥且填充-第二氣體於該大面料漿輔助 原子層沉積腔體内。 範r二:員:述之大面積電_ 产訊號及該旋轉頻率訊號開啟—旋轉式節 i將献面積輔助原子層沉積腔體内之該淨化氣體排 r所述之大面麵輔助原子層沉積腔體 ;其中該關閉該旋轉式節流閥且填充-第二氣體, 輸二員率訊號使一淨化氣體得以進入該大面積· &稀助原子層沉積腔體内;及 輸至一旋轉式節流閥控制器以產生—閥位訊號 率訊號,藉由該閥位訊號及該旋轉頻率訊號開 敬—%轉式節流閥將該大面積電漿輔助原子層沉積腔體 20 1261313 内之該淨化氣體排出。 •如申清專利範圍第1項 之運作方法,盆㈣/lift面積電漿輔助原子層沉積腔體 之U 乳動閥頻率訊號係由—訊號產生器所發出 生器所發出之訊^ 錢轉解域伽—訊號產 之運作t 滎輔助原子層沉積腔體 7.1,辅助軒層^腔體===訊號。 —大面積電漿輔助原子層沉積腔體, 係已括. -第-氣體供應源,係相通▲ ; J空體之-側’用以提供該第:3 助原子層沉積 弟一氣體供應源,係相通與該大面并堂將紐 —腔二二電聚輔助原子層沉積 淨化氣體供應源,係相通與該大面 —腔體之-側,用以提供該淨;f辅助原子層沉積 —感測器,設置於該大面積電 “♦ί專=空=;用以她反^ 之裝置,項所述之大面積電漿辅助原子層沉積腔體 —,轉式節流閥,設置於該大面積電__子 之一側且與該反應空間相通; ㈢/儿積月工體 ^轉式節流閥控制器,接收由該感測器 ΐ閥而產生一閥位訊號及—旋轉頻率訊號4;旋匕; —旋轉盤節流閥,設置於該大面積電漿輔助原子層沉積腔體
TW094125879A 2005-07-29 2005-07-29 A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof TWI261313B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW094125879A TWI261313B (en) 2005-07-29 2005-07-29 A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
US11/244,040 US8097083B2 (en) 2005-07-29 2005-10-06 Operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW094125879A TWI261313B (en) 2005-07-29 2005-07-29 A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof

Publications (2)

Publication Number Publication Date
TWI261313B true TWI261313B (en) 2006-09-01
TW200705550A TW200705550A (en) 2007-02-01

Family

ID=37694654

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094125879A TWI261313B (en) 2005-07-29 2005-07-29 A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof

Country Status (2)

Country Link
US (1) US8097083B2 (zh)
TW (1) TWI261313B (zh)

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4430718B2 (ja) * 2008-03-21 2010-03-10 三井造船株式会社 原子層成膜装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012031192A1 (en) * 2010-09-03 2012-03-08 First Solar, Inc. Deposition system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130203259A1 (en) * 2012-02-07 2013-08-08 Lam Research Corporation Pressure control valve assembly of plasma processing chamber and rapid alternating process
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20150047785A1 (en) * 2013-08-13 2015-02-19 Lam Research Corporation Plasma Processing Devices Having Multi-Port Valve Assemblies
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI608119B (zh) * 2016-11-16 2017-12-11 矽碁科技股份有限公司 原子層沉積設備及其抽氣速率控制方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6749268B2 (ja) * 2017-03-07 2020-09-02 東京エレクトロン株式会社 基板処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5433520A (en) * 1993-12-13 1995-07-18 Michigan Ash Sales Company Method and apparatus for continuously processing particulate cementitious material and fly ash solids and mixing them with a liquid to provide a liquid slurry of consistent proportions
JP2001077108A (ja) * 1999-08-31 2001-03-23 Nec Corp 半導体装置及び複合酸化物薄膜の製造方法
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
TW563176B (en) 2001-10-26 2003-11-21 Applied Materials Inc Gas delivery apparatus for atomic layer deposition
US6739840B2 (en) * 2002-05-22 2004-05-25 Applied Materials Inc Speed control of variable speed pump
US20040217311A1 (en) * 2003-04-29 2004-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Fully-sealing throttle valve
US20050252563A1 (en) * 2004-05-11 2005-11-17 Primary Flow Signal, Inc. Gate valve
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7472581B2 (en) * 2005-03-16 2009-01-06 Tokyo Electron Limited Vacuum apparatus

Also Published As

Publication number Publication date
US20070026162A1 (en) 2007-02-01
US8097083B2 (en) 2012-01-17
TW200705550A (en) 2007-02-01

Similar Documents

Publication Publication Date Title
TWI261313B (en) A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
JP4879245B2 (ja) 金属有機化学気相蒸着装置
JP4879509B2 (ja) 真空成膜装置
TWI433252B (zh) 活化氣體噴射器、成膜裝置及成膜方法
TWI686496B (zh) 沉積無氟/碳保形鎢之方法
JP4629110B2 (ja) 薄膜蒸着装置及び方法
JP4480516B2 (ja) バリア膜の形成方法
JP2007239103A5 (zh)
JP2005502784A (ja) プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
CN207845777U (zh) 一种硅太阳能电池背钝化双层膜工艺制程镀膜设备
JP3935231B2 (ja) スパッタリング装置
TWI777828B (zh) 原子層自對準的基板處理及整合式工具集
US11322365B2 (en) Substrate processing method and substrate processing apparatus
CN101215692B (zh) 多反应腔原子层沉积装置和方法
TW201036099A (en) Substrate treating apparatus and method
TW201213601A (en) Apparatus and control method for plasma enhanced atomic layer deposition
CN108300968A (zh) 成膜方法及真空处理装置
CN109837526A (zh) 一种薄膜沉积设备及清洗方法
CN110047912B (zh) 一种垂直异质结材料的化学气相沉积装置
JP2002180257A (ja) プラズマ処理装置と薄膜形成方法および表面処理方法
JP3453720B2 (ja) コンビナトリアルプラズマcvd装置
US11688585B2 (en) Plasma processing apparatus and plasma processing method
US20150170912A1 (en) Systems and Methods for Forming Semiconductor Devices
JP2003273020A (ja) 基板処理方法
JP2001035798A (ja) 成膜装置