TWI238438B - Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer - Google Patents

Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer Download PDF

Info

Publication number
TWI238438B
TWI238438B TW089106019A TW89106019A TWI238438B TW I238438 B TWI238438 B TW I238438B TW 089106019 A TW089106019 A TW 089106019A TW 89106019 A TW89106019 A TW 89106019A TW I238438 B TWI238438 B TW I238438B
Authority
TW
Taiwan
Prior art keywords
wafer
chamber
processing
vacuum lock
semiconductor wafer
Prior art date
Application number
TW089106019A
Other languages
English (en)
Inventor
Richard Savage
Frank S Menagh
Helder Rodrigues Carvalheira
Philip A Troiani
Dan L Cossentine
Original Assignee
Silicon Valley Group Thermal
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Valley Group Thermal filed Critical Silicon Valley Group Thermal
Application granted granted Critical
Publication of TWI238438B publication Critical patent/TWI238438B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

1238438 A7 __B7___ 五、發明說明(1 ) 相關申請案交互參考 (請先閱讀背面之注意事項再填寫本頁) 本專利申請案先於1 999年4月2日提出之美國臨時專利 申請案 60/1 27,5 32,名稱爲 NEAR ATMOSPHERIC CVD SYSTEM WITH VERTICALLY-STACKED PROCESS CHAMBERS. 本專利申請案也先於1 999年4月2日提出之美國臨時專 利申請案 60/1 27,650,名稱爲 SINGLE-AXIS DUAL-WAFER TRANSFER SYSTEM. 發明背景 發明領域 經濟部智慧財產局員工消費合作社印製 一般而言,本發明與半導體晶圓的處理系統、裝置及 方法有關。更明確地說,本發明與具有直立堆疊的處理室 有關,它使半導體晶圓處理系統的底面積最小,但產量卻 最大化。例如,本發明可以用來在近大氣的化學氣相沈積 (CVD)系統、快速熱氧化系統、或其它類型的晶圓處理系統 中傳送晶圓。本發明也特別與晶圓傳遞裝置與方法有關, 它使用以單旋轉軸爲轉軸的單傳遞臂,在真空鎖室 (loadlock chamber)與處理室間移動半導體晶圓。 _相關技術描述 習用上,在真空鎖室與處理室間,晶圓是由一複雜的 裝置傳遞。複雜的機械致使設備昂貴、晶圓處理速度慢, 且平均故障時間短。 ____-4-__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 -------B7___ 五、發明說明f ) 習用的晶圓處理系統之一是Lmnebach等人的美國專利 4,934,315 ’ 名稱爲 ’’System for Producing Semiconductor (請先閱讀背面之注意事項再填寫本頁)
Layer Structures By Way of Epitaxial Growth1'。此多反應室 系統可容納多片要處理的晶圓,在大氣中分別裝輸入自各 的載具中。載具與晶圓堆疊在裝載室中,在該處,每一個 載具承載它各自的晶圓,沿著直線路徑傳遞,通過多個反 應室。反應室沿著直線路徑水平排列。
Hirayama等人的美國專利4,822,756,名稱爲"Reaction Furnace and Method of Operating the Same’’揭不一反應爐, 包括一晶圓支架,它從升降莢中翻轉通過裝載室並進入處 理室。雖然裝載室與處理室呈垂直方向堆疊,但高壓氣體 系統與真空系統仍是與處理室水平配置,因此有反應爐佔 用面積加大的缺點。 經濟部智慧財產局員工消費合作社印製
Nath等人的美國專利4,423 ,70 1 ,名稱爲"Glow Discharge Deposition Apparatus Including a Non-Horizontally Disposed Cathode",揭示一種多處理室輝光放電沈積裝置, 它具有多個沈積室,處理的晶圓或基底在垂直方向。沈積 室間相互水平。一旋轉臂將基底從室中推出,因此,臂沿 著通道的導引在一個方向推動基底。
Davis等人的美國專利4,816,098,名稱爲"Apparatus for Transferring Workpieces"揭示一系統,其中晶圓裝載在系統 的真空晶圓載具上,在真空下支撐晶圓以降低晶圓的污染 ◦晶圓被送入具有多個處理模組的群組工具,經過一真空 鎖室,以及一雙軸的機械手臂,它一次只能傳遞一片晶圓 -5- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明P ) 〇
Ohkura等人的美國專利5,664,254,名稱爲,,Substrate
Processing Apparatus and Substrate Processing Method”,揭 示一種堆疊配置,用於複數個處理單元。雖然處理單元是 垂直堆疊,僅一個主操作裝置在每一個處理單元間傳遞基 底’因此,每一個處理單元的產量無法最大化。該專利也 揭示一種具有複數個持臂,配置成3_段的結構用以傳遞基 底或晶圓。持臂安裝在主操作裝置上,由一複雜的裝置致 動,包括一垂直的驅動軸以及馬達,與在水平方向傳送的 底座結合,底座具有驅動馬達與皮帶用以致動每一個持臂 〇
Mstsushita等人的美國專利5,05 8,526,名稱爲"Vertical Load Lock Reduced-Pressure Type Chemical Vapor Deposition Apparatus”,揭示一種裝載與卸載室,它與習知群組工具中 所見的真空鎖室很像。在裝載/卸載室的卸載部分有一冷卻 器,包括一冷卻劑循環管,用以冷卻處理的晶圓。
Muka等人的美國專利5,664,925,名稱爲"Batchloader for Load Lock",揭示一種習用的單晶圓剪型的傳遞臂。與 習用單晶圓剪型傳遞臂類似的是Muka等人的美國專利 5,613,821,名稱爲"Cluster Tool Batchloader of Substrate Carner",以及Muka等人的美國專利5,607,276,名稱爲" Batchloader for Substrate Carrier on Load Lock"。
Hendnckson等人的美國專利5,77 8,968,名稱爲"Method for Heating or Cooling Wafer",揭示一種在密閉的真空室中 -6 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) —I----^--------- (請先閱讀背面之注意事項再填寫本頁) 1238438 A7 ---------B7 _ 五、發明說明θ ) (請先閱讀背面之注意事項再填寫本頁) 加熱或冷卻基底的方法,在晶圓上方使用可調整壓力的氣 體°同樣地’ Muka等人的美國專利5,5 8 8,827 "Passive Gas Substrate Thermal Conditioning Apparatus and Method” 揭示 一種傳熱板,位於溫度調節室內,它可以加熱或冷卻以改 變基底的溫度。 發明槪述 經濟部智慧財產局員工消費合作社印製 按照本發明的半導體基底或晶圓處理系統及基底或晶 圓的處理裝置’克服了上述習用系統的缺點。按照本發明 ’半導體晶圓處理系統包括一具有複數個直立堆疊之真空 鎖-處理室總成的多室模組,一個在大氣壓力中的前端單元 ’前端單元具有一在大氣壓力中的前端機器人,用以在晶 圓匣與真空鎖-處理室總成間傳遞半導體晶圓,每一個室總 成堆疊共用的處理化學品分送系統,以及每一個真空鎖-處 理室總成專用的晶圓傳遞裝置。處理系統也可包括2或多個 多室模組,排列成直線陣列。每一個處理室有專用的真空 鎖室,兩者結合在一起成爲一各別的真空鎖-處理室總成。 每一個真空鎖室內配置一冷卻板,位於晶圓傳遞裝置之單_ 軸傳遞臂的下方。冷卻板配置有抬升銷,用以從旋轉的傳 遞臂上取下晶圓。一具有卡盤夾鉗表面及銷的晶圓卡盤總 成,配置在每一個處理室內,用以使晶圓在處理室內定位 。在本發明的一實施例中,晶圓卡盤總成在處理室內帶動 晶圓通過用於處理的化學氣相沈積注射器。 每一個真空鎖-處理室總成具有一晶圓傳遞裝置。每一 -7- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7 五、發明說明f ) (請先閱讀背面之注意事項再填寫本頁) 個晶圓傳遞裝置包括一傳遞臂,適合承載並在真空鎖室與 處理室間傳遞2或多片晶圓。傳遞臂繞一單旋轉軸旋轉以伸 出真空鎖室。傳遞裝置具有在真空鎖室與處理室間同時承 載兩片晶圓的能力。晶圓傳遞裝置也包括一縮回/本位位置 以及一伸展位置,其中的單旋轉軸允許傳遞臂在縮回與伸 展位置間轉動。冷卻板配置在當旋轉軸在縮回位置時的下 方。晶圓傳遞裝置也包括整合在傳遞臂內的一下晶圓架與 一上晶圓架。 本發明的另一態樣是將未處理的晶圓從真空鎖室傳遞 到處理室的方法,未處理的晶圓從上晶圓架傳遞到安裝在 處理室內的半導體晶圓卡盤,將半導體晶圓卡盤從縮回的 位置運送到伸展的位置,晶圓在該處被處理,在真空鎖室 與處理室間同時傳遞已處理的晶圓及第二未處理的晶圓, 以及’將已處理的晶圓從下晶圓架上傳遞到冷卻板上。 經濟部智慧財產局員工消費合作社印製 本發明的目的是提供一種晶圓處理系統,具有多個真 空鎖-處理室總成,每一個總成具有一個真空鎖室,專用於 一個處理室,以及一專用的傳遞臂,它在各別的真空鎖室 與處理室間移動晶圓。 本發明的目的是直立堆疊2或多個真空鎖-處理室總成 ,以構成一多室模組,以減少系統所佔用的面積,並使真 空鎖-處理室總成堆疊的直立排列與配置最佳化。 本發明還有另一目的是按直線的方式排列多室模組, 以一個在大氣壓力中的前端晶圓傳遞系統將基底分送到所 有的真空鎖室,以使處理系統所佔用的面積最佳化。 -8 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 經濟部智慧財產局員工消費合作社印製 A7 B7____ 五、發明說明p ) 本發明還有另一目的是提供每一個多室模組一共用的 處理化學品分送系統及本地的控制系統。 本發明還有另一目的是要使直線陣列、直立堆疊之處 理系統與方法的晶圓流程路徑及處理時間最佳化,以使每 一個處理室及整個處理系統的產量最大化。 本發明還有另一目的是在一佔用面積內使處理室的數 量最佳化,以提高處理系統的產量。 本發明還有另一目的是提供一種新的晶圓傳遞臂以及 晶圓傳遞方法,它從一個在大氣壓力中的前端機器人取得 晶圓,經由一真空鎖室,傳送入晶圓處理室,其中,傳遞 臂繞一單旋轉軸旋轉,一轉軸點位於傳遞臂上,以從側邊 將晶圓載入處理室。 本發明還有另一目的是提供一傳遞臂,具有承載2片晶 圓的能力,以利於及加速真空鎖室與處理室間的晶圓傳送 。更明確地說,本發明的目的是提供一傳遞臂,它可以同 時承載一未處理的晶圓以及一已處理的晶圓,以使系統的 產量最大化。 本發明還有另一目的是提供一晶圓冷卻板,以縮短晶 圓被處理後的冷卻時間,以利於將晶圓從真空鎖中取出放 入晶圓匣中,使處理系統中平行處理的步驟最大化,並因 此提局處理系統的產量。 說明 從以下的說明並配合附圖,將可更明瞭本發明的前述 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) -------------------訂—I— (請先閱讀背面之注意事項再填寫本頁) -9 - 1238438 A7 B7 五、發明說明f ) 及其它目的: 圖1是按照本發明之處理系統的斜視圖。 (請先閱讀背面之注意事項再填寫本頁) 圖2是圖1之處理系統的平面圖。 圖3是按照本發明之多室模組的斜視圖。 圖4的槪圖顯示習用群組工具系統的晶圓流程路徑圖。 圖5的槪圖顯示圖1之處理系統的晶圓流程路徑圖。 圖6是真空鎖室與一傳遞臂在伸展位置並持有兩片晶圓 的斜視圖。 圖7是圖6所不真空鎖室的斜視圖,上蓋打開顯不傳遞 臂收回到原位置。 圖8是與圖6相同之真空鎖室的斜視圖,包括一支撐結 構但沒有晶圓。 圖9是與圖6相同之傳遞臂的斜視圖,其上載有2片晶圓 〇 圖10是圖2之處理室取線1〇_1〇的斜視剖面圖。 圖1 1是圖2之處理室取線1 1 _ 1 1的斜視剖面圖。 圖12是圖2之處理室取線12-12的斜視剖面圖。 經濟部智慧財產局員工消費合作社印製 圖1 3是共用化學分送系統的典型例。 圖1 4是按照本發明之處理系統另一實施例的平面圖。 圖1 5是按照本發明之處理系統另一實施例的斜視圖。 圖16(a)到(1)顯示按照本發明之晶圓傳遞的順序。 圖17顯示按照本發明以200毫米晶圓爲例之全程架構數 種參數的比較分析計算。 ------- 10-__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7 五、發明說明p ) 主要元件對照 經濟部智慧財產局員工消費合作社印製 5 半 導 體 晶 圓 處 理 系 統 6 大 氣 壓 力 "λ 刖 端 40 處 理 室 20 多 室 模 組 21 共 用 控 制 系 統 80 真 空 鎖 室 24 化 學 分 送 系 統 8 AFE 機 器 人 7 晶 圓 匣 9 晶 圓 準 直 器 14 機 架 15 系 統 正 面 13 使 用 者 介 面 面 板 與 顯示器 89 真 空 鎖 室 支 撐 架 10 刖 端 閘 閥 41 處 理 室 狹 縫 閥 95 冷 卻 板 82 傳 遞 臂 83 傳 遞 臂 旋 轉 軸 84 端 操 縱 器 79 傳 遞 臂 驅 動 馬 達 總 成 85 上 晶 圓 架 87 下 晶 圓 架 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -11 - 1238438 A7 _B7 五、發明說明θ ) 經濟部智慧財產局員工消費合作社印製 86 晶 圓 支 撐 架 88 晶 圓 支 撐 架 96 冷 卻 板 抬 升 銷 60 卡 盤 rrrt. 總 成 97 冷 卻 板 抬 升 銷 致 動 器 70 卡 盤 rrrL 抬 升 銷 43 化 學 氣 相 沈 積 直 線 注 射器 62 固 定 表 面 63 卡 盤 ΠΓΠ. 真 空 夾 59 臍 帶 鏈 49 沈 積 微 1¾ 帶 61 密 封 板 64 卡 盤 傳 送 框 架 72 卡 盤 抬升 銷 軛 73 卡 盤 Γττί^ 抬 升 銷 直 線 驅 動 軸 74 抬 升 伺 服 致 動 器 總 成 66 卡 盤 導 軌 67 卡 盤 ΓΤΓί. 移 動 致 動 器 68 卡 盤 nrrt^ 驅 動 螺 54 側 門 55 端 門 69 卡 盤 tiTL 調 整 器 23 維 修 進 入 空 間 71 卡 盤 rfrL 抬 升 銷 致 動 器 _-12- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 1238438 A7 B7 五、發明說明(l〇 ) 75 蛇腹 25 岐管單元 (請先閱讀背面之注意事項再填寫本頁) 26 氧化劑分岐點 1佳實施例說1 現請參閱對本發明之較佳實施例的詳細說明,並以附 圖說明實例。雖然本發明是以較佳實施例說明,但必須瞭 解’這些實施例並非用以限制本發明。反之,本發明可以 涵蓋各種的替換、修改與相等物,都包括在所附申請專利 範圍所定義的發明精神與範圍內。 經濟部智慧財產局員工消費合作社印製 本發明針對一種新的處理系統,用以處理半導體晶圓 或基底。請注意,在本發明中,"晶圓"一詞包括晶圓與基 底。本發明針對一種系統、裝置與方法,它配合各種晶圓 處理使用’包括但不限於近大氣化學蒸氣沈積(c V D)或快速 熱氧化處理。在CVD的例中,CVD處理可以包括沈積處理 壓力一般在大約5托到大約760托之間,更典型的是在大約 100托到大約760托之間,通常是在大約200托到大約600托之 間。有一點須瞭解,本發明的處理室也可以在毫托的較高 真空中操作。例如,附加適當的真空邦浦,允許本發明在 10毫托到200毫托的範圍中操作,更一般的範圍是1〇毫托到 5毫托的範圍。 現請參閱圖1 -3,本發明之半導體晶圓處理系統5包括 在大氣壓力中的前端(AFE)單元6、具有處理室40之直立堆 疊組的多室模組(MCM)20、共用的處理化學品分送系統24、 -13- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7 五、發明說明Ο1 ) (請先閱讀背面之注意事項再填寫本頁) 供處理室40堆疊組共用的共用控制系統2 1、以及真空鎖室 80 ’用以在各別的處理室40與在大氣壓力中的前端單元6間 傳遞半導體晶圓。 在大氣壓力中的前端單元6位於晶圓處理系統5的前端 ,與無塵室的牆(未顯示)共面。AFE單元6包括一或多個晶 圓匣或裝載埠7。此種晶圓匣是習知技術。AFE機器人8在 晶圓匣7、晶圓準直器9(未顯示於圖1-3但顯示於圖14)以及 真空鎖室80間傳遞晶圓。在處理結束後,機器人8將晶圓送 回各別的晶圓匣7。在將晶圓送進真空鎖室80前,晶圓準直 器先將晶圓置於中心位置並對準缺口。這些組件安裝在一 堅固的機架14上,它以適當的環境空氣處理裝置定義一無 塵的環境。AFE單元6的正面與系統的正面15結合,它也支 撐一使用者介面面板與顯示器1 3。 經濟部智慧財產局員工消費合作社印製 現請參閱圖2與12,每一個處理室40配置一個真空鎖室 80。真空鎖室支撐架89(顯示於圖8)將每一個真空鎖室80相 對於各自的處理室40定位。晶圓經由各自的真空鎖室80在 AFE單元6與每一個處理室40間移動。前端閘閥10連接每一 個真空鎖室80與AFE單元6。前端閘閥1 0也密封每一個真空 鎖室80以脫離AFE單元6,使真空鎖室80保持真空。處理室 狹縫閥41也提供真空鎖室80與與各處理室40間的連接。處 理室狹縫閥4 1密封處理室40,以使真空鎖室80可以洩壓於 大氣壓力而不會影響到處理室40的壓力。本發明構想的重 點是每一個處理室配置自已專用的真空鎖室及晶圓傳遞臂 ,以使每一個處理室與整個處理系統的產量最大化。本發 -14- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明02 ) 明在基底處理完後需要時間冷卻晶圓的情況下,進一步確 保處理系統的產量最大化。 典型上,使用真空鎖做爲兩不同環境間的緩衝,例如 ’在室溫、大氣壓力環境與高溫、真空環境間。因此,真 空鎖需要一些非零的操作時間,用以調整真空鎖室的環境 ’真空鎖先與接受基底的環境匹配,接著與第二環境匹配 以便將基底傳送到處理室。在真空鎖中雖然不處理基底, 但基底仍必須”等待",或在真空鎖室中花些非零的時間。 典型上,此時間大約在數十秒,有時可能長達數分鐘。 習知技術的方法如圖4所示,典型上包括一前端機器人 ,它將基底傳遞到一或多個真空鎖。第二機器人,通常是 在處理室的中心,接著在任何一個真空鎖與數個處理室中 任何一個間傳遞基底。在進入真空鎖前,前端機器人先將 基底傳送到準直器,不過,也可以在中央機器人將晶圓送 入處理室前,先從真空鎖傳送到準直器。無論如何,每一 個機器人負責在多模組間分配晶圓。習知處理系統使用軟 體排程使用兩部機器人,且經常用於習知方法中。不過, 如圖4所示的排程太過複雜。 圖4說明對應於習知處理系統的習知晶圓流路徑圖,它 包括 4 個裝載匣 CASS1、CASS2、CASS3、CASS4,一個前 端機器人、4個真空鎖室LL1 UPPER,LL1 LOWER,LL2 UPPER,LL2 LOWER、一個中央機器人,在此系統中可能 的晶圓流路徑總共是64條。例如,"裝載匣/前端機器人"的4 條可能路徑,乘上"前端機器人/真空鎖/中央機器人"的4條 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------訂--------- (請先閱讀背面之注意事項再填寫本頁) -15- 1238438 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(I3 ) 可能路徑,再乘上"中央機器人/處理室"的4條可能路徑,因 此’可能的路徑總共有64條。 在某些應用中,處理過的晶圓在返回裝載匣前要先冷 卻。習知技術典型上包括一或數個冷卻室或是"等待"的位 置’晶圓停在的冷卻位置只有中央機器人可到達。將熱晶 圓的傳遞限制在只有中央機器人可到達的位置,將可使裝 置爲處理熱晶圓所需的特殊材料減至最少,因此而節省成 本。 不過,供晶圓"等待"及冷卻所增加的冷卻室或位置, 使得中央機器人移動晶圓的排程更複雜,且因此也使中央 機器人的排程相對於前端機器人複雜。典型上,前端機器 人與中央機器人可以非常快速地傳遞晶圓。不過,每一個 機器人要配合晶圓之"準備好的狀態”,因此,爲使此種習 知技術之系統的排程最佳化,在時序上必須非常精準,爲 確保系統的最高產量,在各處理室與真空鎖的使用上,需 要大量的回授及/或”前瞻"預測,以使"等待"時間減至最小 。實用上,在晶圓的傳遞中(到處理室或真空鎖)即使發生很 小的暫停,都會使整個系統的晶圓流動中斷。排程者也許 在排程程式中故意加入一些延遲以適應這些可能的些許延 遲,犧牲的代價是無法到達最佳產量。簡言之,兩機器人 間的同步必須在數個取放晶圓的位置間做選擇,包括裝載 匣、真空鎖及處理室,是保持可接受之產量的關鍵,但做 到此等同步非常複雜。 反之,本發明只使用位在系統前端的一個機器人將基 -16- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 1238438 A7 五、發明說明(14 ) 底分配到一或多個真空鎖室,每一個真空鎖室專用於各自 的處理室。每一個真空鎖室包括一專用的傳遞臂,供在專 用的真空鎖室與各自的處理室間傳遞晶圓。圖5說明對應於 本發明之處理系統的流程路徑圖。由於每一個處理室現在 有專用的真空鎖室及傳遞臂,而非如習知技術共用數個真 空鎖室與中央機器人,晶圓流程的排程大幅簡化,因爲晶 圓流程路徑的數量較習知技術大幅減少。 如圖5所不’按照本發明的處理系統,具有與圖4之習 知技術相同數量的處理室。不過,由於在本發明中每一個 處理室都有專用的真空鎖室,因此配置4個真空鎖-處理室 總成 LL/PM1、LL/PM2、LL/PM3、LL/PM4,且不需要中央 機器人。因此,晶圓可能的流程路徑減少,從習知技術的 6 4條路徑減少到本發明的1 6條路徑。例如,”裝載匣/前端機 器人"間的4條可能路徑,乘以”前端機器人/真空鎖—處理室 總成"間的4條可能路徑,等於1 6條可能路徑。由於需要管 理的可選擇路徑很少,因此本發明的排程複雜度低◦前端 機器人將晶圓傳送到4個真空鎖室(在本例中)其中之一,一 旦放入真空鎖室,晶圓進入及離開處理室的路徑即固定, 且與任何其它的晶圓路徑無關。 按照本發明,改變真空鎖環境的冗長處理以及在處理 室中的處理,都是在各自的真空鎖-處理室總成中,每一個 都包括一真空鎖室、一傳遞臂以及一處理室。本發明允許 基底的平行處理,因爲各別的真空鎖與處理室之間建立了 平行的路徑,如圖5中的箭頭ARM所示。排程複雜度降低是 ___- 17- 木紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ——警 (請先閱讀背面之注意事項再填寫本頁) 訂--- # 經濟部智慧財產局員工消費合作社印製 1238438 A7 _______B7__ 五、發明說明(15 ) 因爲額外的專用真空鎖室以及傳遞臂,可做到獨立與平行 的處理。 (請先閱讀背面之注意事項再填寫本頁) 本發明另一重要貢獻是每一個真空鎖-處理室總成的專 用硬體,它的設計簡單,儘管它的重複,但仍符成本效益 。由於每一個處理室擁有各自的真空鎖室,在每一個真空 鎖-處理室總成內所建立的晶圓傳遞平面簡單且較固定。因 此,可以使用單傳遞軸的傳遞臂在每一個真空鎖室與各自 的處理室間傳遞晶圓。此外,當前端機器人具有的垂直跨 距可以到達多個真空鎖-處理室總成之堆疊的傳遞平面,整 個工具所佔用的底面積可以減小。因此,本發明最好是包 括或使用一個具有多軸能力的前端機器人,具有一適當的 垂直跨距,以及多個低價的單軸傳遞臂。 經濟部智慧財產局員工消費合作社印製 本發明的態樣之一是每一個處理室4 0專用的真空鎖室 80內具有一冷卻板95(見圖7)。本發明的另一態樣是提供此 專用的真空鎖室一雙-晶圓單-臂的真空鎖傳遞臂82(見圖6) ,它可同時傳遞2片晶圓,包括一片未處理的晶圓以及一片 已處理的晶圓。本發明的結構使成本大幅降低,因爲每一 個處理室只有一個傳遞軸要由控制系統控制。如圖7及8所 示,傳遞臂82僅能繞傳遞臂旋轉軸83旋轉,因此,控制系 統所要控制的只是傳遞臂82繞旋轉軸83單一不複雜的角移 動。 現請參閱圖7及8 ’晶圓冷卻板95安裝在真空鎖室80的 內部。配置一單體單-軸的真空鎖傳遞臂82(theta-軸旋轉)與 一雙晶圓的端操縱器8 4 ’允許晶圓在端操縱器8 4上垂直同 -18 - 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) 1238438 A7 -— ____B7__ 五、發明說明(ie ) (請先閱讀背面之注意事項再填寫本頁) 軸配置。傳遞臂8 2具有一單伺服軸或旋轉軸8 3,由一單伺 服機構操作,例如傳遞臂驅動馬達總成79。端操縱器84是 一 U形組件’允許一未處理的晶圓放置在端操縱器84的上 晶圓架85,以及另一已處理的晶圓放置在下晶圓架87。晶 圓架由構成晶圓架85、87的水平板定義,並包括在端操縱 器84之開口部上用以支撐晶圓邊緣的支撐架86、88。 傳遞臂82具有一本位,如圖7所示,在該位置,傳遞臂 82完全縮回真空鎖室8〇內,等候從前端機器人8送出的未處 理晶圓,或等候冷卻板提升銷96將已處理的晶圓取出,如 下文中的討論。晶圓冷卻板95與真空鎖室80結合爲一體, 用以在前端機器人8將已處理之晶圓從真空鎖室80中取出前 先將晶圓冷卻,以使因晶圓被熱包圍導致傳遞失敗,以及 高溫後處理之晶圓造成裝載匣材料遭破壞的可能減至最小 。冷卻板95安裝在傳遞臂82的下方,且與架在上及下晶圓 架8 5、8 7上的晶圓同中心。冷卻板9 5可以是液冷或氣冷, 或以惰性氣體冷卻到環境溫度。例如,大約1 8 °C到25 °C的 水循環流過冷卻板95、卡盤總成60及處理室40的壁,用以 調節它們的溫度。 經濟部智慧財產局員工消費合作社印製 冷卻板95配置有冷卻板抬升銷96,當真空鎖傳遞臂82 在本位時,冷卻板抬升銷96可將放置在下晶圓架87上的晶 圓抬起。冷卻板抬升銷96的高度是由冷卻板抬升銷致動器 97控制,如圖8所示。一旦晶圓被抬起’真空鎖傳遞臂82即 可旋轉離開本位到達伸展位置’如圖6及8所示’將晶圓留 在冷卻板抬升銷96上。接著,晶圓被降到冷卻板上進行冷 ____- 19-__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7 五、發明說明Ο7 ) (請先閱讀背面之注意事項再填寫本頁) 卻。或者,晶圓可降到比較冷卻板95稍高的位置,冷卻時 不與板95接觸,以使晶圓上的磨耗與熱應力降至最小。有 一點必須瞭解,各種冷卻方法都可使用,包括傳導、對流 或輻射冷卻。 以相同的方法,冷卻板抬升銷96將晶圓抬升超過上晶 圓架85的高度,接著,在傳遞臂82回到它的本位時,將晶 圓降到晶圓架85上。如前所述,抬升銷96是由冷卻板抬升 銷致動器97控制。冷卻銷致動器97位於真空鎖室的外部, 以使真空鎖室80的體積保持最小。例如,直線螺線圈或其 它習知的直線致動器都可安裝在真空鎖室80的外部。或者 ,如果有需要,冷卻銷致動器也可安裝在真空鎖室的內部 〇 經濟部智慧財產局員工消費合作社印製 至於晶圓架85、87的形狀,上晶圓架85大致上是一 U 型,因此,允許傳遞臂8 2從它的伸展位置收回,同時,尙 未處理的晶圓由升起在上位置的卡盤抬升銷70支撐,如以 下的詳細討論◦特別是,上晶圓架85的U形可避免抬升銷 70與上晶圓架85或傳遞臂82接觸。反之,下晶圓架87僅以 下晶圓支撐架88支撐晶圓的背側,如圖9及12所示。在圖12 中,下晶圓架8 7之U形的底部是開放的,藉以允許傳遞臂 82從它的縮回/本位伸展,同時一處理過的晶圓由升起在上 位置之冷卻板抬升銷96支撐。 現請參閱圖1 〇,圖中顯示處理室40。在此實施例中’ 處理室40與化學氣相沈積(CVD)直線注射器43總成結合,例 如 Scotts Valley, California 之 Silicon Valley Group Thermal -20- 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 1238438 A7 --—__B7__ 五、發明說明〇8 ) (請先閱讀背面之注意事項再填寫本頁)
System公司製造的MultiBlok™直線注射器,進一步的描述 詳見1 998年7月10日提出之美國專利申請案09/ 1 1 3,823,文 中所有的揭示倂入本文參考。處理200毫米晶圓的CVD直線 注射器總成43使用直線注射器44與45。或者,處理300毫米 晶圓的CVD直線注射器總成使用3個直線注射器。不過,應 瞭解’按照本發明,也可使用其它型式的處理室。例如, 也可與在高溫生長膜與退火的處理室及所討論的CVD處理 室結合,或取代之。 半導體晶圓卡盤總成60配置在處理室40的內部。晶圓 卡盤總成60的數項基本功能包括:(1)接收傳遞臂送來的晶 圓或將晶圓釋放給傳遞臂;(2)晶圓在處理室期間固定晶圓 ;(3)提供處理晶圓所需的溫度及均勻的熱環境;以及(4)視 晶圓處理法的需要,在處理室中傳送晶圓。例如,晶圓卡 盤總成60在處理室40內可以提供預熱、固定、以及相對於 C V D直線注射器總成4 3傳送晶圓。或者,卡盤總成6 0也可 用來冷卻晶圓及/或相對於其它類型的處理工具移動晶圓, 如材料去除工具。 經濟部智慧財產局員工消費合作社印製 如圖10所示,晶圓卡盤60包括一放置半導體晶圓的固 定表面62。晶圓卡盤60也包括一加熱單元(未顯示),在以 CVD注射器43處理前,先預熱尙未處理的晶圓。固定夾具 ,如卡盤真空夾63將晶圓固定在卡盤總成60上。此種真空 夾是習知技術,在此不詳細討論。必須瞭解,也可以使用 其它適用的固定裝置,如靜電夾鉗裝置。一般來說,以卡 盤真空夾63將晶圓的背面固定在晶圓卡盤總成60的晶圓卡 •21 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7 五、發明說明Ο9 ) (請先閱讀背面之注意事項再填寫本頁) 盤表面6 2是習知的方法。真空夾經由卡盤總成臍帶鏈5 9連 接到真空源。臍帶鏈5 9提供電力、控制信號及冷卻水給卡 盤總成6 0,同時允許它在處理室4 0中移動。 注射器的氣體含在沈積微區帶或微區域內,以編號49 表示,它是緊靠在直線注射器出口下方的區域。固定在晶 圓卡盤面上之晶圓的上表面與密封板6 1的上表面大致共平 面’兩者可以調整,以與直線注射器44、45之底部出口大 約1毫米的距離通過。晶圓的此種配置,在沈積微區帶4 9與 處理室40的其餘部分間定義了 一低導電的氣體路徑。此路 徑稱爲微處理室絕緣區或半密封區。1毫米的半密封間隙, 可藉由調整卡盤傳送框架64相對於注射器總成43的位置加 以調整,如以下的討論。半密封間隙一旦設定,在系統操 作期間就不再改變。 經濟部智慧財產局員工消費合作社印製 實用上,晶圓是放置在卡盤表面62,同時卡盤是在縮 回或裝塡位置,如圖10-12所示。晶圓被放置在卡盤抬升銷 70上,它將晶圓從上晶圓架85上抬起。傳遞臂82轉回它的 本位,將晶圓留在卡盤抬升銷70上。接下來,晶圓被降到 卡盤表面62。卡盤抬升銷70—同升及降,並連接到卡盤抬 升銷軛72。抬升銷軛72依次再連接到一卡盤抬升銷直線驅 動軸73,它由抬升伺服致動器總成74驅動。須注意’也可 以使用其它可控制的直線移動裝置。例如’蝸桿可以提供 卡盤抬升銷軛7 2及/或卡盤抬升銷7 0的直線移動。 現請參閱圖1 1 ’晶圓被真空吸在卡盤表面6 2 °卡盤總 成6 0沿著卡盤傳送框架6 4的卡盤導軌6 6移動’通過C V D注 ____-22-__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)_ 1238438 A7 ___B7_ 五、發明說明P ) (請先閱讀背面之注意事項再填寫本頁) 射器4 3,到達一伸展的位置。例如,——^盤移動致動器6 7 ,例如一電動伺服馬達,經由一卡盤驅動螺68沿著卡盤導 軌66驅動卡盤總成60。熟悉此方面技術之人士應瞭解,也 可以使用其它的卡盤驅動裝置。因此,固定在晶圓卡盤表 面62的晶圓被帶動從直線注射器44、45之注射器的出口下 方通過。單次的全程可使整個晶圓表面被所有的注射器出 口沈積(即200毫米大小的晶圓使用2個注射器出口,或300毫 米大小的晶圓使用3個注射器出口)。須注意,注射器及/或 注射器出口的數量並非視晶圓大小而定。注射器及/或注射 器出口的數量可改變,以得到處理室40的最佳產量。此全 程的動作可視需要重複多次,以製造出所要的晶圓。卡盤 通過CVD注射器43的移動速度,可以按照需要在晶圓上成 形多厚的Si〇2層加以調整。例如,在本發明的一實施例中 ,移動速度的範圍從大約1毫米/秒到大約60毫米/秒。在沈 積結束後,晶圓移回裝塡位置,在該處,卡盤抬升銷70升 起,將晶圓抬離卡盤表面62,以準備由真空鎖傳遞臂82接 手。 經濟部智慧財產局員工消費合作社印製 處理室或反應室40使用側門54(如圖11及12)及端門55 ( 圖10)以容易進入修理及校正傳送框架64及卡盤總成60,而 不會影響到半密封間隙或沈積微-區帶,或處理室中任何其 它組件,如CVD直線注射器43。如前所述,1毫米的半密封 間隙可經由調整卡盤傳送框架64加以調整。此經由調整卡 盤調整器69達成。如圖2所示,每一個處理室40最好配備3 個卡盤調整器69,其中兩個如圖1 0所示。此種結構允許對 ___-23·__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) " 1238438 A7 B7 五、發明說明0 ) (請先閱讀背面之注意事項再填寫本頁) 卡盤總成60做仰俯、傾斜及高度等精密的調整。卡盤調整 器69可用來調整晶圓與其它處理工具間的相對位置。此種 調整器可以使用調整螺絲或其它習知的調整裝置。須瞭解 ’卡盤總成調整器的數量可比3個多或少。例如,也可以使 用2個調整器,傳送框架64的第3點則相對於處理室固定。 現請參閱圖2,處理室40是成對地垂直配置,以節省工 具所需的樓板面積。直立堆疊的處理室對結合一共用的化 學品分送系統24及共用的控制系統2 1,組合在一起稱爲多 室模組(MCM)20。兩個處理室可以實質上完全相同,例如, 每一個都包含獨立的CVD直線注射器、晶圓卡盤總成及卡 盤傳送框架。此外,每一個處理室都包括各自專用的真空 鎖室及冷卻板。如果兩處理室間需要互換,其間的差異很 容易適應,不會影響到其它。 經濟部智慧財產局員工消費合作社印製 或者,在每一個多室模組中也可包括不同類型的處理 室。例如,直立堆疊中的一個處理室40可以包括CVD直線 注射器43,而此直立堆疊中其它的處理室可以是快速熱氧 化工具(未顯示)。此外,需瞭解,MCM 20也可以包括3個相 互直立堆疊的處理室40,如圖1 5所示。再次說明,處理室 40可以是如前所述的CVD處理室,也可以是其它型式的處 理室,或是它們的組合。 MCM 20之處理室40間的空間視特定的設計限制而定, 例如前端機器人的最大垂直行程,進入處理室之間維修 CVD注射器及化學品分送管路的空間23,以及處理室的總 高度,此高度由卡盤總成及傳送框架的最大高度定義。如 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -24- 1238438 Α7 Β7 五、發明說明) (請先閱讀背面之注意事項再填寫本頁) 圖12所示,本發明的卡盤抬升銷致動器71位於處理室40的 外部’以便能縮小處理室40的整體高度與容積。在卡盤抬 升銷致動器7 1與卡盤抬升銷70之間使用了蛇腹75,以保持 _ S室40中無微粒、近大氣壓力的環境。 經濟部智慧財產局員工消費合作社印製 MCM 20之直立堆疊的處理室40安裝在一共用機架上, 它支撐一共用的處理化學品系統24,以及一共用的本地控 制系統21。分送系統24位於MCM 20的頂端,用以提供及分 送材料到堆疊的處理室40。例如,分送系統24可以包括一 化學品分送系統,用以將化學品分送到MCM 20內2個堆疊 的處理室40。共用控制系統21可以位在MCM內處理室40的 下方。或者,這些組件也可配置在CVD處理系統旁邊的獨 立機架上,視電子組件的數量與包裝要求而定。以一個分 送系統供應每一個MCΜ 20中所有的處理室40,可以大幅降 低成本並節省空間。須瞭解,在本發明之範圍中的處理化 學品分送系統24與共用之控制系統2 1的實際位置可改變。 例如,分送系統24可以位於最下方之處理室的下方,或在 處理室之間。同樣地,共用控制系統2 1也可在最上方之處 理室的上方。 供應3堆疊處理室的處理化學品分送系統24如圖13所示 。分送系統24包括一化學品分送系統,具有一岐管單元25 ,用以將化學品源分送到3個處理室40的CVD直線注射器43 內。或者,岐管單元也可將化學品源分送到多於或少於3個 處理室的注射器內。如此,在MCM 20中所有處理室40中的 化學沈積可同時開始與結束。在個各處理室40間,不必爲 25- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7 五、發明說明θ ) (請先閱讀背面之注意事項再填寫本頁) 晶圓的處理操作而需要個別的儀錶、控制或是平衡化學品 分送的裝置。取而代之的是源-化學品分岐點25與氧化劑分 岐點26,分別將化學品源與臭氧實質均勻地分送到各個 CVD直線注射器43。各處理室40間因化學品配送的變化所 導致之沈積厚度的任何輕微變異,可藉改變晶圓卡盤移動 的速度來補償,因爲每一個處理室40都有各自獨立的卡盤 傳送致動器67。每一個處理室也可配置各自的錶閥或流量 控制器,以分別控制分送到各處理室40的化學品源或臭氧 。因此可各別地操作每一個處理室40。本發明的共用分送 系統使晶圓處理系統的整體成本與複雜度都降低。須瞭解 ,每一個處理室可以使用各自的分送系統,例如各自的流 量控制閥,不過,此種結構會增加成本。 經濟部智慧財產局員工消費合作社印製 每一個MCM 20的每一個分送系統24配置一台臭氧產生 器。不過,對應於多個MCMs 20的多個分送系統24可以共 用一個液體化學品供應槽。相同的方法可應用到無水HF淸 潔系統,當使用時,使用一個HF系統經由連接MCM 20所 有處理室4 0的岐管單元分配。不過,也可以改變設計,如 果使用氟原子產生源產生淸潔的前質,則每一個處理室40 配置一台氟產生源,不過,同時淸潔一台MCM 20中的所有 處理室40將可使處理室40的產量最佳化,並簡化氟產生源 的分送硬體。或者,在其它實施例中也可使用一個氟原子 源供應一台MCM中所有的處理室。 本發明之晶圓處理系統5的平面配置是一連串的MCMs 20,例如2或3或多台MCMs 20以直線的方式相互平行配置 -26- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 1238438 A7 _______B7__ 五、發明說明θ ) 在—起。此種配置的MCMs 20,可以與AFE單元6垂直,如 圖3所示,或與其呈一角度,如圖14所示,視真空鎖室80的 特定設計而定。按此,晶圓處理系統5可稱爲"直線陣列"。 熟悉此方面技術之人士應瞭解,數個MCMs也可以採 用其它的幾何配置圍繞著傳遞系統,如圓形配置圍繞著中 央機器人,鏡像的平行配置,圍繞在中央機器人的左及器 側’或是其它非直線的配置,配置中使用堆疊的處理室, 每一個處理室都配置一個真空鎖室。 以上所討論的MCMs 20與處理室40的結構,較相同之 直線注射器群組工具系統所佔用的面積小。例如,與具有 相同數量之處理室(例如4個處理室)的習用系統相較,所佔 用的面積,從習知技術系統的大約168平方呎減少到本發明 的大約73平方呎。所佔面積縮小的主要原因是CVD處理室 40採用堆疊式,且省去了習知群組工具CVD處理系統典型 上所需要之分離的傳遞模組轉軸,改成附接於對應之CVD 處理室40與AFE單元6的各個真空鎖室80。此外,在直線陣 列的配置中,空間被更有效率地利用,因爲空間不會損失 於典型上會出現於習用系統中位於群組工具系統之中央的 龐大傳遞模組(TM)室及中央轉軸機器人(如圖4所示)。 按照本發明,應用於300毫米(例如晶圓)之CVD處理系 統所佔用的面積,與習用於200毫米的系統相當。本發明對 原設計用來處理200毫米的晶圓處理廠房具有極大的優點, 因爲使用現有廠房的樓板面積即可安裝本發明用於處理3〇〇 毫米晶圓的系統。 -27- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I I I--I---訂·-------- (請先閱讀背面之注意事項再填寫本頁) 1238438 A7 __B7__ 五、發明說明户) (請先閱讀背面之注意事項再填寫本頁) 本發明的半導體晶圓處理系統、半導體晶圓傳遞裝置 及方法提供極多的技術優點。例如,製造與處理成本以及 系統的複雜度可以降至最低,因爲不需要多個伺服軸來移 動晶圓。半導體處理裝置的運動,例如傳遞臂8 2,簡化到 繞單軸轉動,藉以降低晶圓自動化的整體成本。使用單體 單軸的傳遞臂,也使傳遞機構中致動器組件的數量減少, 使複雜度降低相對使可靠度提高。本發明的單軸傳遞臂進 一步降低顆粒的形成與污染,因爲在真空鎖室中的運動組 件較少。單軸-臂減少了整個系統的深度,因爲可以從處理 室的側邊裝塡,因此使系統的包裝限制最佳化。此外,經 由在每一個真空鎖室中配置冷卻板,因此也不需要單獨專 用的冷卻站。本發明的冷卻板配置不需要額外的傳遞臂軸 ,因爲它使用冷卻板抬升銷將晶圓從傳遞臂上取下。此優 於習知的晶圓冷卻技術,且這些功能所使用的傳遞方法, 都納於一空間很小的單元內。 經濟部智慧財產局員工消費合作社印製 在本發明的實施例中,處理室的處理順序包括全程沈 積的策略,使膜厚或雜質濃度對化學品打開到穩定的敏感 度降至最低。另者,處理室的處理順序也包括主動循環傳 遞,如1 998年7月10日提出申請之美國專利09/ 1 1 3,730中的 描述,該文全文倂入本文參考。 結合佔用面積小、成本降低,不會因擁有者的成本降 低以及每片晶圓處理的成本降低而導致整體系統產量降低 。例如,在本發明的一實施例中,本工具之擁有者的預期 成本,例如每片晶圓之5000埃的BPSG膜在大約$2.65-$2.72 __- 28·_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7___ 五、發明說明P ) (請先閱讀背面之注意事項再填寫本頁) 之間’視系統中處理室的數量而定(6個處理室或4個處理室) 。經由比較,以習知技術系統沈積相同膜的成本在$3.95_ $4.95之間’視製造商與機具的型式而定。本發明可使每片 晶圓的成本下降大約2 6 % - 4 5 %之間。 較佳實施例的橾作 現請參閱圖16(a)-(l),說明按照本發明之半導體晶圓的 傳遞及處理方法,其中真空鎖室80附接於各自的處理室40 。與傳遞臂82相同的真空鎖傳遞臂(未顯示於圖16中)從前端 機器人8的手中接過半導體晶圓(未顯示於圖1 6中),在真空 鎖室與處理室間傳遞晶圓。 經濟部智慧財產局員工消費合作社印製 在真空鎖室80中分別以3層表示晶圓所在位置:即放置 在真空鎖室80中的上晶圓架85'、下晶圓架87'及冷卻板95’上 。第4個”銷升起”的晶圓位置稍高於冷卻板則未顯示,但在 下文中會予討論。在處理室40中分別以2層表示晶圓所在位 置:即放置在處理室40中的上晶圓架85"及下晶圓架87"上 。其它的晶圓位置,例如在"卡盤表面”及"預熱"則未顯示, 但在下文中會予討論。 半導體晶圓傳遞及處理的典型方法包括以下步驟。 將晶圓匣7放置在位於大氣壓力前端(AFE)單元6前面板 之各自的台架或自動裝塡埠上。 大氣壓力前端機器人8將第一片未處理之晶圓從晶圓匣 7中取出,並傳遞到晶圓準直器9。 晶圓準直器旋轉第一片未處理之晶圓以計算晶圓的質 -29- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7 五、發明說明p ) 量中心並決定晶圓的偏移。此外,決定晶圓缺口位置並根 據特定的處理需要定向。 (請先閱讀背面之注意事項再填寫本頁) 前端機器人8執行偏移拾取步驟,從準直器9上以計算 的偏移取出第一未處理的晶圓,以使第一未處理的晶圓正 好放在真空鎖傳遞臂82之操縱端84的中心。 真空鎖室80排氣到大氣壓力並打開。 傳遞臂82在它的本位,在大氣壓力中的前端機器人8將 第一未處理的晶圓A放置到傳遞臂操縱端84的上晶圓架85 上’如圖16(a)所示。接著關閉真空鎖室80並抽真空,直到 實質上等於處理室40中之壓力的傳遞壓力。 當完成抽真空,處理室狹縫閥4 1打開,真空鎖傳遞臂 82轉動,以將第一片未處理的晶圓送入處理室40,如圖 16(b)所示。在此時,卡盤抬升銷70在卡盤總成60中是在"下 方"位置,即低於卡盤表面62。 經濟部智慧財產局員工消費合作社印製 真空鎖傳遞臂82將第一未處理的晶圓A正確地放在卡 盤總成60之直徑的中心位置。卡盤抬升銷70升起,與真空 鎖傳遞臂82上的第一未處理的晶圓A接觸,並抬升第一未 處理的晶圓A高過真空鎖傳遞臂82,以允許真空鎖傳遞臂 8 2縮回真空鎖室80,將第一未處理的晶圓A留在卡盤抬升 銷70的頂上。 卡盤抬升銷70縮回"晶圓預熱"的位置,大約在晶圓卡 盤表面62上方0.25-0.75毫米處。在完成8-20秒的預熱後,卡 盤抬升銷70完全降下,第一未處理的晶圓A被真空夾鉗在 晶圓卡盤表面62上,如圖16(c)所示。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -30- 1238438 經濟部智慧財產局員工消費合作社印製 A7 ___B7____ 五、發明說明) 臭氧產生器放電功率從零輸出迅速上升到處理設定點 的輸出,典型上是120g/m3@40sim 。臭氧在10-15秒的穩定 化周期流過注射器到達密封板並外溢。同時,晶圓A固定 在卡盤上溫度到達穩定,液態的化學品源(例如TEOS 、 TEB及ΤΕΡο)打開,流過注射器43進入密封板61上方的沈積 微區域4 9。 在臭氧及熱穩定周期結束之後開始傳送晶圓,第一未 處理的晶圓A在CVD注射器43的下方移動。當臭氧及液態 的化學品源衝擊到第一已加熱之未處理的晶圓A的表面並 反應時,沈積立刻開始,在晶圓上形成Si〇2層,此步驟定 義實際的CVD處理。 傳送致動器67以直線的方式在注射器43的下方以固定 的速度移動卡盤總成60,直到整個未處理的晶圓A都通過 來自注射器之沈積氣體的衝擊。視所需的厚度、成分及其 它處理要求而定,從注射器出口所噴出的氣體在未處理的 晶圓上平均且完整地沈積一層膜,藉以使第一未處理的晶 圓A變成第一已處理的晶圓A·。 在步驟10-1 2所描述CVD處理期間,真空鎖傳遞臂82回 到它在真空鎖室80內的本位。處理室狹縫閥4 1關閉,真空 鎖室80排氣到大氣壓力並打開。AFE機器人8將第二未處理 的晶圓B放置到真空鎖傳遞臂操縱端84的上晶圓架85上, 如圖16(c)所示。接著,真空鎖室80關閉並抽真空到傳遞壓 力。在完成抽真空後,真空鎖室80保持等待,直到上述步 驟1 0-1 2中所描述之對未處理之晶圓的CVD處理結束。 ___-31 - _ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 1238438 A7 「 ___B7__ 五、發明說明户) (請先閱讀背面之注意事項再填寫本頁) 當第一晶圓的CVD處理結束,臭氧放電功率下降,液 態的化學品源可以繼續從注射器4 3中釋出或關閉。在卡盤 總成60移回它的裝塡位置後,在它的裝塡位置等待數秒鐘 ’直到化學品濃度到達零,釋放真空夾,準備取出第一未 處理的晶圓。 在釋放第一已處理的晶圓厂後,處理室狹縫閥41打開 ,卡盤抬升銷70將第一已處理的晶圓A’抬升到稍高於真空 鎖傳遞臂操縱端84之下晶圓架87的高度,大約高出0.75-1.5 毫米。須注意,此已處理之晶圓的卸載位置在步驟9的"預 熱”位置之上,但在步驟8之未處理晶圓的〃裝塡〃位置之下。 真空鎖傳遞臂82之操縱端84的上晶圓架85上攜有第二 未處理的晶圓B ,它旋轉進入處理室40,並使其本身與位 在卡盤抬升銷70上之第一已處理晶圓同心,如圖16(d)所示 。接著,卡盤抬升銷70降到"下π的位置,以便將第一已處 理的晶圓Α·放置在操縱端84的下晶圓架87上。現在’真空 鎖傳遞臂82帶著下晶圓架87上的第一已處理的晶圓Α·以及 上晶圓架85上的第二未處理的晶圓Β轉回真空鎖室80 ’如 圖16(e)所示。 經濟部智慧財產局員工消費合作社印製 在真空鎖傳遞臂82轉回它的本位之後’在下晶圓架87 上之第一已處理的晶圓Α,被位在冷卻板95內的冷卻板抬升 銷96抬升到高於操縱端84大約0.75-1.5毫米的位置’冷卻板 9 5的位置是當真空鎖傳遞臂8 2在它的本位時’在與操縱端 84同心的下方。如圖16(f)所示。一旦第一已處理的晶圓Α’ 被冷卻板抬升銷96升起’真空鎖傳遞臂8 2攜帶著操縱端84 _______-32-__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 A7 B7 五、發明說明π ) (請先閱讀背面之注意事項再填寫本頁) 之上晶圓架8 5上的第二未處理的晶圓B轉回處理室40,如 圖16(g)所示。現在,以步驟8及9中所描述相同的方法將第 二未處理的晶圓B裝載到晶圓卡盤總成60上,如圖i6(h)所 示。 與步驟17同時發生,冷卻板抬升銷96下降,將第一已 處理的晶圓V降到冷卻板95上或稍高於它的位置,例如高 於冷卻板95表面大約0.25毫米,如圖16(g)所示。真空鎖傳 遞臂82現在轉回它的本位,在冷卻板95上之第一已處理的 晶圓V的正上方。在真空鎖室80排氣到大氣期間,以液冷 或氣冷冷卻到環境溫度的冷卻板95在大約60秒內將已處理 的晶圓冷卻到低於70°C。 當步驟1 8的真空鎖排氣結束後,前端閘閥1 0打開,冷 卻板抬升銷96上升,將第一已處理的晶圓A"抬升到中等高 度,在冷卻高度與操縱端84的卸載高度之間,如圖16(h)所 示。AFE機器人8從冷卻板抬升銷96上收回第一已處理的晶 圓A",並將第一已處理的晶圓A"送回晶圓匣7,如圖16⑴ 所示。 經濟部智慧財產局員工消費合作社印製 隨著前端閘閥10被打開及真空鎖室80暴露於大氣’第 三未處理的晶圓C被裝入真空鎖室,如圖16(h)所示及步驟 13的描述,接下來的步驟被重複,如圖16(j)-(l)所示。 本發明的方法與裝置藉著平行處理的架構提升了處理 室及整個處理系統的產量,其中每一個處理室具有其自已 專用的真空鎖室及專用的晶圓傳遞臂,且是由一個前端機 器人在垂直的範圍輸配,該垂直範圍可以直立堆疊2或多個 ___-33-__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1238438 經濟部智慧財產局員工消費合作社印製 A7 -__B7__ 五、發明說明P ) 真空鎖/處理室總成◦經由堆疊處理室總成及使整個配置的 最佳化,系統所佔的面積可以保持最小。在每一個處理室 配置單軸的傳遞臂,可藉由簡化每一片晶圓進入處理室的 路徑及縮短裝載/卸載晶圓的時間以提升處理室的產量。配 置雙-晶圓的傳遞系統,可經由在各自之真空鎖與處理室間 同時傳遞未處理之晶圓與已處理之晶圓,使裝載/卸載晶圓 的時間縮短,因而提升每一個處理室的產量。在每一個真 空鎖中配置冷卻板,在處理其它晶圓的同時,可冷卻及取 出已處理的晶圓,將可進一步提升每一個處理室及整個處 理系統的產量。 前文中對本發明之特定實施例的描述是用以說明與描 述。它們並非意欲包羅本發明,或是將本發明限制在與揭 示完全相同的結構,很明顯,以上所教可做多樣的修改與 變化。所選擇與描述的實施例是爲能對本發明的原理以及 它的實際應用做最佳的解釋,藉以使熟悉此方面技術的其 它人士可以將本發明以及經過各種修改的各種實施例做最 佳的應用。本發明的範圍爲所附申請專利範圍及它的相等 物所定義。說明書中所引用的所有出版品及專利申請案都 倂入本文參考。 -34- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------------訂--------- (請先閱讀背面之注意事項再填寫本頁)

Claims (1)

1238438 A8 B8 C8 D8 申請專利範圍 附件2 A : I舖充 a (請先閲讀背面之注意事項再填寫本頁) 第89 1 060 1 9號專利申請案 中文申請專利範圍替換本 民國93年12月3日修正 1 . 一種半導體晶圓處理系統,包括: 一大氣中的前端單元,包括用以傳遞半導體晶圓的前端 檄器人; 一多室模組,該多室模組包括複數個直立堆疊的半導體 晶圓處理室; 真空鎖室,用於每一個半導體晶圓處理室,其中該機器 人將晶圓傳遞到該真空鎖室內;以及 晶圓傳遞裝置,用於每一真空鎖室,且專用於每一個各 別的晶圓處理室,用以在該每一個真空鎖室與該各別的晶圓 處理室間傳送晶圓。 經濟部智慧財產局員工消費合作社印製 其中該晶圓傳遞裝置包括雙-晶圓單軸傳遞臂,樞接地 安裝在該真空鎖室內,該傳遞臂具有縮回歸位、.伸展到該處 理室內的伸展位置、以及單一樞接軸,該傳遞臂繞該單一樞 接軸在該縮回與伸展位置間樞轉。 2 .如申請專利範圍第1項的半導體晶圓處理系統,進一 步包括一共用的處理化學品分送系統,將化學品供應給該複 數個該處理室。 3 .如申請專利範圍第1項的半導體晶圓處理系統,進一 步包括至少二多室模組,該多室模組排列成直線陣列。 4 .如申請專利範圍第1項的半導體晶圓處理系統,其 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 1238438 ab C8 _ D8 六、申請專利範圍 中該傳遞臂進一步包括一下晶圓架與一上晶圓架。 (請先閱讀背面之注意事項再填寫本頁) 5 .如申請專利範圍第1項的半導體晶圓處理系統,其 中每一個該真空鎖室進一步包括冷卻板,在該傳遞臂在該縮 回位置時,配置在該傳遞臂的下方。 6 .如申請專利範圍第5項的半導體晶圓處理系統,其 中該冷卻板進一步包括複數個抬升晶圓的抬升銷,用以將晶 圓裝載到該上晶圓架及該下晶圓架,或將其上的晶圓卸載。 7 .如申s靑專利範圍第1項的半導體晶圓處理系統,其中 每一該處理室進一步包括晶圓夾具,用以從該傳遞臂接收晶 圆,並將晶圓保持在該處理室內。 8 ‘如申請專利範圍第7項的半導體晶圓處理系統,其 中該晶圓夾具包括: 一·夾具鉗制表面,用以將該晶圓固定於該晶圓夾具;以 及 複數個抬升銷,用以在該傳遞臂與該鉗制表面間傳遞晶 _。 經濟部智慧財產局員工消費合作社印製 9 .如申請專利範圍第7項的半導體晶圓處理系統,其 中該晶圓夾具包括夾具傳送框架,用以在裝載位置與處理位 置間傳送晶圓。 1 〇 .如申請專利範圍第9項的半導體晶圓處理系統, 進一步包括夾具調整器,用以調整該夾具相對於該處理室的 間距、滾動與高度。 1 1 .如申請專利範圍第9項的半導體晶圓處理系統, 包括三夾具調整器,用以調整該夾具相對於該處理室的間距 本紙張尺度適用中國國家摞準(CNS ) A4規格(210X297公~ ~~ 1238438 Α8 Β8 C8 D8 六、申請專利範圍 、滾動與高度。 (請先閱讀背面之注意事項再填寫本頁) 1 2 .如申請專利範圍第1項的半導體晶圓處理系統,其 中該處理室包括: 化學氣相沈積直線注射器,固定地安裝於該處理室內; 晶圓夾具,用以接收來自該傳遞臂的晶圓,並運送晶圓 通過該直線注射器。 1 3 . —種處理半導體晶圓的方法,包括下述步驟: 設置一大氣中的前端單元,包括用以傳遞半導體晶圓之 前端機器人、包含複數個直立堆疊的半導體晶隱處理室之多 室模組、用於每一半導體晶圓處理室的真空鎖室、以及用於 每一真空鎖室的晶圓傳遞裝置,每一該真空鎖室與每一該晶 圓傳遞裝置專用於各自的晶圓處理室; 藉由該機器人在該大氣中的前端單元與其中一個該真空 鎖室間傳遞晶圓;以及 藉由該晶圓傳遞裝置在該一真空鎖室與各自的晶圓處理 室間傳遞晶圓。 1 4 . 一種半導體晶圓處理系統,包括: 經濟部智慧財產局員工消費合作社印製 可移動的晶圓裝載匣; 大氣中的前端單元,包括用以傳遞半導體晶圓的前端機 器人; 複數個半導體晶圓處理室,每一個該半導體晶圓處理室 包括: 晶圓夾具,用以在該處理室內傳送晶圓,該晶圓夾具具 有夾具鉗制表面,用以將晶圓固定於該晶圓夾具, 本紙張足度適用中國國家標準(CNS ) A4規格(2!0Χ297公釐) ' 1238438 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 複數個抬升銷’用以在該傳遞臂與該鉗制表面間傳遞晶 圓,以及 夾具傳送框架,用以在裝載位置與處理位置間傳送晶圓 多室模組’該多室模組包括二或多個直立堆疊的該半導 體晶圓處理室; 真空鎖室,用於每一半導體晶圓處理室,該多室模組包 括 雙晶圓單軸的傳遞臂,用於承載晶圓並在該真空鎖室與 該半導體晶圓處理室間傳遞半導體晶圓,該傳遞臂具有單體 臂,繞著單一樞接軸樞接地安裝在該真空鎖室內,該傳遞臂 具有一縮回歸位以及伸展到該處理室內的伸展位置,其中該 單一樞接軸允許該傳遞臂在該縮回的位置與伸展的位置間樞 轉,以及 冷卻板,在該樞接臂在該縮回位置時配置於該傳遞臂的 下方,該冷卻板包括複數個抬升銷,用以在該冷卻板與該傳 遞臂間傳遞晶圓。 1 5 .如申請專利範圍第1 3項的半導體晶圓處理方法 ,進一步包括下述步驟: 在該真空鎖室與該處理室間同時傳遞已處理的晶圓及未 處理的晶圓之前,先將該真空鎖室抽真空。 1 6 .如申請專利範圍第1 3項的半導體.晶圓處理方法 ,其中該設置步驟進一步包括在該真空鎖室內設置冷卻板, 該方法進一步包括: ----------#——ί 訂------#1 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(2】0X297公釐) -4 - 1238438 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 夂、申請專利範圍 將該已處理的晶圓從該下晶圓架傳送到該冷卻板。 1 7 .如申請專利範圍第1 6項的半導體晶圓處理方法 ’進一步包括: 將該上晶圓架上之未處理的晶圓從該真空鎖室傳遞到該 處理室; 將該未處理的晶圓從該上晶圓架上傳遞到安裝在該半導 體晶圓室中的晶圓夾具; 將該晶圓夾具,從縮回位置,通過安裝於該半導體晶圓 處理室內的化學氣相沈積注射器,而傳送至伸展的位置,藉 以將未處理的晶圓處理成已處理的晶圓。 1 8 .如申請專利範圍第1 3項的半導體晶圓處理方法 ’在同時傳遞.步驟之前進一步包括下述步驟: 接收傳遞臂上之第一未處理晶圓; 將該第一未處理晶圓傳送到該處理室; 同時地,將該第一未處理的晶圓處理成第一已處理晶圓 及接收傳遞臂上之第二未處理晶圓;以及 由該傳遞臂取還該第一已處理晶圓,同時將該第二未處 理的晶圓固持於該傳遞臂上。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------#-----|訂 |----1·1 (請先閲讀背面之注意事項再填寫本頁)
TW089106019A 1999-04-02 2000-03-31 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer TWI238438B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12765099P 1999-04-02 1999-04-02
US12753299P 1999-04-02 1999-04-02
US09/483,945 US6610150B1 (en) 1999-04-02 2000-01-13 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system

Publications (1)

Publication Number Publication Date
TWI238438B true TWI238438B (en) 2005-08-21

Family

ID=27383593

Family Applications (2)

Application Number Title Priority Date Filing Date
TW089106019A TWI238438B (en) 1999-04-02 2000-03-31 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer
TW092126373A TWI251252B (en) 1999-04-02 2000-03-31 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW092126373A TWI251252B (en) 1999-04-02 2000-03-31 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer

Country Status (12)

Country Link
US (3) US6610150B1 (zh)
EP (1) EP1166180B1 (zh)
JP (2) JP2002541657A (zh)
KR (1) KR100455226B1 (zh)
CN (1) CN1348552A (zh)
AT (1) ATE311619T1 (zh)
AU (1) AU3906900A (zh)
CA (1) CA2369042A1 (zh)
DE (1) DE60024424T2 (zh)
IL (1) IL145678A0 (zh)
TW (2) TWI238438B (zh)
WO (1) WO2000060414A1 (zh)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
TW512421B (en) * 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
JP3955724B2 (ja) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR20020072449A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020154975A1 (en) * 2001-04-18 2002-10-24 Applied Materials, Inc. Method and apparatus for wafer exchange employing stacked robot blades
US7231141B2 (en) * 2001-04-23 2007-06-12 Asm America, Inc. High temperature drop-off of a substrate
KR100417245B1 (ko) * 2001-05-02 2004-02-05 주성엔지니어링(주) 웨이퍼 가공을 위한 클러스터 툴
EP1263022B1 (en) * 2001-05-31 2007-04-25 S.E.S. Company Limited Substrate cleaning system
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
TWI274393B (en) * 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
AU2003233581A1 (en) * 2002-05-21 2003-12-12 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US7032287B1 (en) * 2002-07-19 2006-04-25 Nanometrics Incorporated Edge grip chuck
WO2004046416A1 (en) 2002-11-15 2004-06-03 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
JP4303041B2 (ja) * 2003-06-18 2009-07-29 株式会社ディスコ 半導体ウエーハの加工装置
CN101894779B (zh) * 2003-08-29 2013-05-01 交叉自动控制公司 用于半导体处理的方法和装置
JP4137750B2 (ja) * 2003-09-17 2008-08-20 株式会社Sokudo 熱処理装置、熱処理方法および基板処理装置
KR100699994B1 (ko) 2004-08-30 2007-03-26 삼성에스디아이 주식회사 라미네이션 장비 및 레이저 열전사 방법
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR100583724B1 (ko) * 2003-10-29 2006-05-25 삼성전자주식회사 기판 이송 장치
US20050115492A1 (en) * 2003-11-28 2005-06-02 Chia-Cheng Liu Method and apparatus of the chemical metal organic vapor epitaxy for the multi-chamber epitaxy layer deposition
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US6987272B2 (en) * 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7798764B2 (en) * 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
KR100613343B1 (ko) * 2004-12-22 2006-08-21 동부일렉트로닉스 주식회사 반도체 소자의 제조 장치
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US8821099B2 (en) * 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
CN100394574C (zh) * 2005-12-08 2008-06-11 北京圆合电子技术有限责任公司 具有流量控制的平台真空气路系统及其控制方法
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
WO2007101207A2 (en) * 2006-02-27 2007-09-07 Anaconda Semi Lp Process chambers for substrate vacuum processing tool
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
US20080210168A1 (en) 2007-01-18 2008-09-04 May Su Single chamber, multiple tube high efficiency vertical furnace system
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
WO2008116222A2 (en) * 2007-03-22 2008-09-25 Crossing Automation, Inc. A modular cluster tool
US7531368B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
KR100862895B1 (ko) * 2007-08-21 2008-10-13 세메스 주식회사 탄소나노튜브 합성 방법, 이를 적용한 탄소나노튜브 합성장치 및 시스템
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
JP4975605B2 (ja) * 2007-12-26 2012-07-11 東京エレクトロン株式会社 処理システム、処理システムの制御方法およびソフトウェアのバージョンアップ方法
WO2009114965A1 (en) * 2008-03-19 2009-09-24 Acm Research (Shanghai) Inc. Electrochemical deposition system
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
KR101022314B1 (ko) * 2008-07-28 2011-03-21 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8282334B2 (en) * 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
JP5328726B2 (ja) * 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5611718B2 (ja) * 2009-08-27 2014-10-22 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US20110052795A1 (en) * 2009-09-01 2011-03-03 Samsung Mobile Display Co., Ltd. Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101084184B1 (ko) * 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) * 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101156441B1 (ko) * 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101678056B1 (ko) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
WO2012143548A2 (en) * 2011-04-22 2012-10-26 Mapper Lithography Ip B.V. Network architecture and protocol for cluster of lithography machines
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
US9305815B2 (en) 2012-03-01 2016-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Automated material handling system and method for semiconductor manufacturing
CN103276369B (zh) * 2013-05-06 2016-02-17 南方科技大学 一种pecvd镀膜系统
EP3007538B8 (en) * 2013-06-07 2018-11-14 FUJI Corporation Electronic apparatus assembling machine and similar assembling machines
KR102108361B1 (ko) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US11694907B2 (en) * 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism
JP6270952B1 (ja) * 2016-09-28 2018-01-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体。
US10003018B1 (en) * 2017-05-08 2018-06-19 Tokyo Electron Limited Vertical multi-batch magnetic annealing systems for reduced footprint manufacturing environments
WO2018213014A1 (en) * 2017-05-16 2018-11-22 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3220331A (en) 1965-01-27 1965-11-30 Kulicke And Soffa Mfg Company Contact printing mask alignment apparatus for semiconductor wafer geometry
US4423701A (en) 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
DE3427057A1 (de) 1984-07-23 1986-01-23 Standard Elektrik Lorenz Ag, 7000 Stuttgart Anlage zum herstellen von halbleiter-schichtstrukturen durch epitaktisches wachstum
JPS63128710A (ja) 1986-11-19 1988-06-01 Mitsubishi Electric Corp 反応炉
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JP2502661B2 (ja) 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
JP2600399B2 (ja) * 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
JPH04141587A (ja) * 1990-10-01 1992-05-15 Nec Corp スパッタリング装置
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
EP0733130A4 (en) 1993-12-17 1997-04-02 Brooks Automation Inc APPARATUS FOR HEATING OR COOLING TABLETS
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
JPH0846013A (ja) 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
JPH07321178A (ja) * 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW297910B (zh) 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
JP3483693B2 (ja) * 1995-02-02 2004-01-06 東京エレクトロン株式会社 搬送装置,搬送方法及び処理システム
JP3380652B2 (ja) * 1995-05-26 2003-02-24 東京エレクトロン株式会社 処理装置
TW278200B (en) * 1995-07-06 1996-06-11 Brooks Automation Inc Door drive mechanisms for substrate carrier and load lock
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5607276A (en) 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5811823A (en) * 1996-02-16 1998-09-22 Eaton Corporation Control mechanisms for dosimetry control in ion implantation systems
US5810549A (en) * 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5954472A (en) * 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
JP3549674B2 (ja) * 1996-07-19 2004-08-04 東京応化工業株式会社 ロードロック室を備えた基板の処理装置
JPH10107124A (ja) 1996-08-05 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
KR100269097B1 (ko) * 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
US5893699A (en) * 1996-10-31 1999-04-13 Phase Metrics, Inc. End effector for a robotic arm of a disk certifier
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
DE29716440U1 (de) 1997-09-12 1997-12-11 Balzers Hochvakuum Sputterstation
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector

Also Published As

Publication number Publication date
US20020033136A1 (en) 2002-03-21
EP1166180A4 (en) 2002-11-06
IL145678A0 (en) 2002-06-30
DE60024424T2 (de) 2006-07-27
TW200401331A (en) 2004-01-16
CN1348552A (zh) 2002-05-08
US6846149B2 (en) 2005-01-25
AU3906900A (en) 2000-10-23
KR100455226B1 (ko) 2004-11-06
EP1166180B1 (en) 2005-11-30
ATE311619T1 (de) 2005-12-15
WO2000060414A1 (en) 2000-10-12
US20010010950A1 (en) 2001-08-02
TWI251252B (en) 2006-03-11
KR20010110471A (ko) 2001-12-13
JP2002541657A (ja) 2002-12-03
EP1166180A1 (en) 2002-01-02
JP2006216983A (ja) 2006-08-17
DE60024424D1 (de) 2006-01-05
CA2369042A1 (en) 2000-10-12
US6610150B1 (en) 2003-08-26

Similar Documents

Publication Publication Date Title
TWI238438B (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
US20080202892A1 (en) Stacked process chambers for substrate vacuum processing tool
US20080206036A1 (en) Magnetic media processing tool with storage bays and multi-axis robot arms
KR102170007B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
US20080202420A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
TW202329231A (zh) 同時進行基板傳輸的機械手
US11430679B2 (en) Semiconductor manufacturing apparatus
US20200343119A1 (en) Equipment front end modules including multiple aligners, assemblies, and methods
CN112689891A (zh) 真空处理装置和基板输送方法
US20080206022A1 (en) Mult-axis robot arms in substrate vacuum processing tool
US20080202419A1 (en) Gas manifold directly attached to substrate processing chamber
KR20090002709A (ko) 웨이퍼 처리장치
JPH11102951A (ja) 処理装置
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2004006665A (ja) 真空処理装置
US20080202687A1 (en) Stacked process chambers for flat-panel display processing tool
US20080206021A1 (en) Stacked process chambers for magnetic media processing tool
US20080206023A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
KR20110131835A (ko) 선형 기판이송장치를 갖는 기판처리시스템
JP2002173775A (ja) 半導体製造装置および半導体装置の製造方法
TWI830346B (zh) 基板處理裝置、半導體裝置之製造方法及程式
US20080206020A1 (en) Flat-panel display processing tool with storage bays and multi-axis robot arms
US20080202686A1 (en) Self-contained process modules for magnetic media processing tool

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees