JP2006216983A - 垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム - Google Patents
垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム Download PDFInfo
- Publication number
- JP2006216983A JP2006216983A JP2006109009A JP2006109009A JP2006216983A JP 2006216983 A JP2006216983 A JP 2006216983A JP 2006109009 A JP2006109009 A JP 2006109009A JP 2006109009 A JP2006109009 A JP 2006109009A JP 2006216983 A JP2006216983 A JP 2006216983A
- Authority
- JP
- Japan
- Prior art keywords
- wafer
- chamber
- processing
- load lock
- transfer arm
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000012545 processing Methods 0.000 title claims abstract description 227
- 239000004065 semiconductor Substances 0.000 title claims abstract description 44
- 235000012431 wafers Nutrition 0.000 claims abstract description 382
- 238000000034 method Methods 0.000 claims abstract description 71
- 238000012546 transfer Methods 0.000 claims description 122
- 238000001816 cooling Methods 0.000 claims description 62
- 230000008569 process Effects 0.000 claims description 56
- 238000005229 chemical vapour deposition Methods 0.000 claims description 34
- 238000003672 processing method Methods 0.000 claims description 11
- 230000009977 dual effect Effects 0.000 claims description 2
- 239000000758 substrate Substances 0.000 description 30
- 239000000126 substance Substances 0.000 description 26
- 239000012636 effector Substances 0.000 description 16
- 238000000151 deposition Methods 0.000 description 15
- 230000008021 deposition Effects 0.000 description 15
- 230000032258 transport Effects 0.000 description 14
- 230000033001 locomotion Effects 0.000 description 11
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 8
- 238000000429 assembly Methods 0.000 description 8
- 230000000712 assembly Effects 0.000 description 8
- 239000007788 liquid Substances 0.000 description 8
- 239000007789 gas Substances 0.000 description 7
- 238000010586 diagram Methods 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000013459 approach Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229910052681 coesite Inorganic materials 0.000 description 2
- 230000003750 conditioning effect Effects 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000009434 installation Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 230000006641 stabilisation Effects 0.000 description 2
- 238000011105 stabilization Methods 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- 102100028237 Breast cancer anti-estrogen resistance protein 1 Human genes 0.000 description 1
- 102100035605 Cas scaffolding protein family member 4 Human genes 0.000 description 1
- 102100024125 Embryonal Fyn-associated substrate Human genes 0.000 description 1
- 102100021579 Enhancer of filamentation 1 Human genes 0.000 description 1
- 101000935644 Homo sapiens Breast cancer anti-estrogen resistance protein 1 Proteins 0.000 description 1
- 101000947106 Homo sapiens Cas scaffolding protein family member 4 Proteins 0.000 description 1
- 101001053896 Homo sapiens Embryonal Fyn-associated substrate Proteins 0.000 description 1
- 101000898310 Homo sapiens Enhancer of filamentation 1 Proteins 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 239000002775 capsule Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000010835 comparative analysis Methods 0.000 description 1
- 239000000110 cooling liquid Substances 0.000 description 1
- 239000000498 cooling water Substances 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000005057 refrigeration Methods 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 238000009423 ventilation Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67173—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67178—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67745—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67751—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/141—Associated with semiconductor wafer handling includes means for gripping wafer
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Robotics (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
【課題】一つの未処理ウエハ及び一つの処理済みウエハを同時に運ぶように適合される、半導体ウエハー処理システムの提供。
【解決手段】垂直にスタックされた半導体ウエハ処理チャンバを有する多重チャンバモジュールと、各半導体ウエハ処理チャンバ専用のロードロックチャンバとを含む半導体ウエハー処理システム。夫々の処理チャンバは、ウエハ処理の間ウエハを保持するためのチャックを含む。多重チャンバモジュールは、線形アレイに配向させればよい。このシステムは更に、前記ロード録チャンバ内で前記単一旋回軸の周りに旋回可能に装着されたモノリシックなアームを含んだ二重ウエハ単一軸搬送アームを有する装置を含む。この装置は、ロードロックチャンバと処理チャンバとの間で、二つのウエハ、即ち、一つの未処理ウエハ及び一つの処理済みウエハを同時に運ぶように適合される。また、開示されたシステムを利用する方法も提供される。
【選択図】図1
【解決手段】垂直にスタックされた半導体ウエハ処理チャンバを有する多重チャンバモジュールと、各半導体ウエハ処理チャンバ専用のロードロックチャンバとを含む半導体ウエハー処理システム。夫々の処理チャンバは、ウエハ処理の間ウエハを保持するためのチャックを含む。多重チャンバモジュールは、線形アレイに配向させればよい。このシステムは更に、前記ロード録チャンバ内で前記単一旋回軸の周りに旋回可能に装着されたモノリシックなアームを含んだ二重ウエハ単一軸搬送アームを有する装置を含む。この装置は、ロードロックチャンバと処理チャンバとの間で、二つのウエハ、即ち、一つの未処理ウエハ及び一つの処理済みウエハを同時に運ぶように適合される。また、開示されたシステムを利用する方法も提供される。
【選択図】図1
Description
本発明は、一般的には半導体ウエハー処理システム、装置、および方法に関する。特に、本発明は垂直にスタックされた処理チャンバーを有する構造に関し、これは半導体ウエハー処理システムのスループットを最大にしながら、フットプリントを最小化する。例えば、本発明は、近大気圧の化学気相成長(CVD)システム、迅速熱酸化システム、または他のタイプのウエハー処理システム内でウエハーを移動させるために使用することができる。また、本発明は特に、単一の回転軸の回りで旋回する単一の搬送アームを用いて、ロードロックチャンバーと処理チャンバーとの間でウエハーを移動させるウエハー搬送装置および方法に関する。
(関連出願の相互参照)
この出願は、1999年4月2日に出願された「垂直にスタックされた処理チャンバーを有する近大気圧CVDシステム」と題する米国暫定特許出願第60/127,532号の優先権を主張する。
この出願は、1999年4月2日に出願された「垂直にスタックされた処理チャンバーを有する近大気圧CVDシステム」と題する米国暫定特許出願第60/127,532号の優先権を主張する。
また、この出願は、1999年4月2日に出願された「単一軸二重ウエハー搬送システム」と題する米国暫定特許出願第60/127,532号の優先権を主張する。
<関連技術の説明>
従来、ロードロックチャンバーと処理チャンバーとの間のウエハー搬送は、複雑な装置によって行われている。機械の複雑さは、装置の高コスト、遅いウエハー処理、および故障と故障の間の短い平均時間をもたらしている。
従来、ロードロックチャンバーと処理チャンバーとの間のウエハー搬送は、複雑な装置によって行われている。機械の複雑さは、装置の高コスト、遅いウエハー処理、および故障と故障の間の短い平均時間をもたらしている。
従来のウエハー処理システムの一例は、「エピタキシャル成長による半導体層構造を製造するためのシステム」についてLinnebach et al.に付与された米国特許第4,934,315号である。この複数のリアクタチャンバーシステムは、処理のためのウエハーを受取る。ここでは、ウエハーが、大気圧ハンドラーの夫々のホルダにロードされる。このホルダーおよびウエハーはロードチャンバーの中にスタックされる。ここでは、夫々のウエハーを運ぶ各ホルダが、実質的に複数のリアクタチャンバーを通る線形経路に沿って搬送される。これらのリアクタチャンバー^は、線形経路に沿って水平に整列されている。
「反応炉およびこれを運転する方法」についてHirayamaに付与された米国特許第4,822,756号は、エレベータカプセルからロードチャンバーを通って、処理チャンバーの中へと走行するウエハー支持ボートを含んだ反応炉を開示している。
ロードチャンバーおよび処理チャンバーは垂直方向にスタックされているように見えるが、圧力ガスシステムおよび真空システムは処理チャンバーから水平に配置されており、従って、反応炉のフットプリントは不都合に増大する。
ロードチャンバーおよび処理チャンバーは垂直方向にスタックされているように見えるが、圧力ガスシステムおよび真空システムは処理チャンバーから水平に配置されており、従って、反応炉のフットプリントは不都合に増大する。
「非水平に配置されたカソードを含むグロー放電体積装置」についてNath et al.に付与された米国特許第4,423,701号は、処理のために、ウエハーまたは基板を垂直に配向させた堆積チャンバーを有するグロー放電堆積装置を開示している。この堆積チャンバーは、相互に水平の向きに配置されるように示されている。
回転可能なアームは、該アームが基板をチャンネルガイドに沿って一方向に押出すように、基板をチャンバーから放出する。
回転可能なアームは、該アームが基板をチャンネルガイドに沿って一方向に押出すように、基板をチャンバーから放出する。
「ワークピースを搬送するための装置」についてDavis et al.に付与された米国特許第4,816,098号は、ウエハーの汚染を低減するために、真空下で保持される真空ウエハーキャリアの中でウエハーがシステムにロードされるシステムを開示している。ウエハーは、真空ロードロックおよび枚葉式にウエハーを搬送できる二軸ロボットアームを介して、複数の処理モジュールを有するクラスタツールの中に搬送される。
「基板処理装置および基板処理方法」についてOhkura et al.に付与された米国特許第5,664,254号は、複数の処理ユニットのためのスタック配置を開示している。処理ユニットは垂直にスタックされるかもしれないが、夫々のプロセスユニットに基板を搬送するために一つの主ハンドラーのみが設けられるので、各処理ユニットのスループットは最大化されない。また、この特許は、基板またはウエハーを搬送するための、3段階構造に配置された複数の保持アームを開示している。この保持アームは主ハンドラーに装着され、各保持アームを駆動するための駆動モータおよびベルトを有する水平に配向された搬送ベースと組み合わせた、垂直駆動シャフトおよびモータを含む複雑な構成によって駆動される。
「垂直ロードロック減圧タイプの化学的気相成長装置」についてMatsushita et alに付与された米国特許第5,058,526号は、従来のクラスタツールに見られるロードロックチャンバーに類似した、ロード/アンロードチャンバーを開示している。ロード/アンロードチャンバーのアンロード部分に配置された、冷凍循環チューブを含むクーラーが、処理されたウエハーを冷却する。
「ロードロックのためのバッチ式ローダ」についてMuka et al.に付与された米国特許第5,664,925号は、従来の枚葉式ウエハー鋏みタイプの搬送アームを開示している。同様の従来の枚葉式ウエハー鋏みタイプの搬送アームは、「基板キャリアのクラスタツールバッチ式ローダ」についてMuka et al.に付与された米国特許第5,613,821号、および「ロードロック上の基板キャリーのためのバッチ式ローダ」についてMuka et al.に付与された米国特許第5,607,276号によって開示されている。
「ウエハーを加熱または冷却するための方法」についてHendrickson et al.に付与された米国特許第5,778,968号は、ウエハー上の調節可能な圧力を有するガスを用いて、真空チャンバーに収納された基板を加熱または冷却する方法を開示している。同様に、「受動ガス基板熱コンディショニング装置および方法」に付いてMukaに付与された米国特許第5,588,827号は、基板の温度を変化させるために、加熱または冷却される熱コンディショニングチャンバー内に配置された熱移動プレートを開示している。
(発明の概要)
本発明による半導体基板またはウエハーの処理システム、および基板またはウエハー搬送装置は、上記で述べた従来のシステムの欠点を克服する。本発明によれば、半導体ウエハー処理システムはマルチチャンバーモジュールを含み、該マルチチャンバーモジュールは、複数の垂直にスタックされたロードロック処理チャンバーアセンブリー、ウエハーカセットと前記ロードロック/処理チャンバーアセンブリーの間で半導体ウエハーを輸送するための、大気圧フロントエンドロボットを有する大気圧フロントエンドユニット、チャンバーアセンブリーの各スタックのための共通の化学薬品供給システム、および各ロードロック/処理チャンバーアセンブリーのための専用のウエハー搬送装置を有している。また、この処理システムは、線形のアレイに配向された二以上の多重チャンバーモジュールを含んでいる。ロードロックチャンバーは夫々の処理チャンバー専用であり、これらチャンバーが一緒になって、ロードロック/処理チャンバーアセンブリーを形成する。各ロードロックチャンバー内には、ウエハー搬送装置の単一旋回搬送アームの下に、冷却プレートが配置されている。この冷却プレートには、旋回搬送アームからウエハーを除去するためのリフトピンが設けられる。処理チャンバー内でウエハーを位置決めするために、チャッククランプ表面およびピンを有するウエハーチャックアセンブリーが各処理チャンバー内に設けられる。本発明の一実施形態において、ウエハーチャックアセンブリーは、処理チャンバー内での処理のために、化学気相成長インジェクタを通過してウエハーを移動させる。
本発明による半導体基板またはウエハーの処理システム、および基板またはウエハー搬送装置は、上記で述べた従来のシステムの欠点を克服する。本発明によれば、半導体ウエハー処理システムはマルチチャンバーモジュールを含み、該マルチチャンバーモジュールは、複数の垂直にスタックされたロードロック処理チャンバーアセンブリー、ウエハーカセットと前記ロードロック/処理チャンバーアセンブリーの間で半導体ウエハーを輸送するための、大気圧フロントエンドロボットを有する大気圧フロントエンドユニット、チャンバーアセンブリーの各スタックのための共通の化学薬品供給システム、および各ロードロック/処理チャンバーアセンブリーのための専用のウエハー搬送装置を有している。また、この処理システムは、線形のアレイに配向された二以上の多重チャンバーモジュールを含んでいる。ロードロックチャンバーは夫々の処理チャンバー専用であり、これらチャンバーが一緒になって、ロードロック/処理チャンバーアセンブリーを形成する。各ロードロックチャンバー内には、ウエハー搬送装置の単一旋回搬送アームの下に、冷却プレートが配置されている。この冷却プレートには、旋回搬送アームからウエハーを除去するためのリフトピンが設けられる。処理チャンバー内でウエハーを位置決めするために、チャッククランプ表面およびピンを有するウエハーチャックアセンブリーが各処理チャンバー内に設けられる。本発明の一実施形態において、ウエハーチャックアセンブリーは、処理チャンバー内での処理のために、化学気相成長インジェクタを通過してウエハーを移動させる。
一つのウエハー搬送装置は、夫々のロードロック/処理チャンバーアセンブリーに奉仕する。各ウエハー搬送装置は、ロードロックチャンバーと処理チャンバーの間で二以上のウエハーを運び、または搬送するために適合された搬送アームを含んでいる。この搬送アームは、ロードロックチャンバーを通して伸びる一つの旋回軸の回りで旋回する。この搬送装置は、ロードロックチャンバーと処理チャンバーとの間で、二つのウエハーを同時に運ぶ能力を有している。また、このウエハー搬送装置は、後退/ホーム位置および伸長位置を含んでおり、ここは単一の旋回軸が、アームを後退位置と伸長位置の間で旋回させる。冷却プレートは、旋回アームが後退位置にあるときに、旋回アームの下に配置される。また、ウエハー搬送装置は、搬送アーム内に組込まれた下部ウエハー棚および上部ウエハー棚を含んでいる。
本発明のもう一つの側面は、未処理ウエハーをロードロックチャンバーから処理チャンバーへと搬送し、未処理ウエハーを上部ウエハー棚から処理チャンバー内に装着された半導体ウエハーチャックへ搬送し、半導体ウエハーチャックを後退位置からウエハーが処理される伸長位置へと移動させ、同時に、処理されたウエハーおよび第二の未処理ウエハーをロードロックチャンバと処理チャンバーとの間で搬送し、処理されたウエハーを下部ウエハー棚から冷却プレートへ搬送する方法に向けられている。
本発明の一つの目的は、ロードロックチャンバーを有する各アセンブリーが、或る処理チャンバーの専用であるような複数のロードロック/処理チャンバーアセンブリーと、夫々のロードロックおよび処理チャンバーの間で移動する専用の搬送アームとを有するウエハー処理システムを提供することである。
本発明の一つの目的は、システムのフットプリントを低減して、ロードロック/処理チャンバーアセンブリースタックの垂直配向およびレイアウトを最適化するために、二以上のロードロック/処理チャンバーアセンブリーを垂直にスタックして、多重チャンバーモジュールを形成することである。
本発明のもう一つの目的は、処理システムのフットプリントを最適化するために、基板を全てのロードロックチャンバーに送給する単一の大気圧フロントエンドウエハー搬送システムを用いて、多重チャンバーモジュールを線形に整列させることである。
本発明のもう一つの目的は、共通の処理化学薬品送給システム、および各多重チャンバーモジュールのためのローカル制御システムを提供することである。
本発明のもう一つの目的は、各処理チャンバーおよび全処理システムのスループットを最大化するために、線形アレイで垂直にスタックされた処理システムおよび方法のための、ウエハー流れ経路および処理タイミングを最適化することである。
本発明のもう一つの目的は、フットプリント内での処理チャンバーの数を最適化して、処理システムのスループットを増大することである。
本発明の更なる目的は、一つの大気圧フロントエンドロボットから、ロードロックチャンバを通して、ウエハー処理チャンバーの中にウエハーを移動させる新規なウエハー搬送アームおよびウエハー搬送装置であって、該搬送アームは単一の回転軸の回りで旋回し、一つの旋回点は処理チャンバーに側面ロードする搬送アーム上に位置する、搬送アームおよび搬送装置を提供することである。
本発明のもう一つの目的は、ロードロックチャンバーと処理チャンバーの間でのウエハー搬送を容易にし、且つ促進するために、二つのウエハーを運ぶ能力を有する搬送アームを提供することである。特に、本発明の目的は、システムのスループットを最大にするために、未処理ウエハーおよび処理済みウエハーを同時に運ぶ能力を有する搬送アームを提供することである。
本発明のもう一つの目的は、ウエハー冷却プレートを提供して、ウエハーが処理された後のウエハー冷却時間を減少し、ロードロックからカセットへのウエハー搬送を促進して処理システム内での平行工程を最大にし、処理システムのスループットを増大させることである。
(好ましい実施形態の説明)
本発明の上記目的は、添付の図面と共に以下の説明から更に明瞭に理解されるであろう。
本発明の上記目的は、添付の図面と共に以下の説明から更に明瞭に理解されるであろう。
次に、添付図面に例示した本発明の好ましい実施形態を詳細に説明する。好ましい実施形態に関連して本発明を説明するが、本発明をこれら実施形態に限定しようとするものでないことが理解されるであろう。逆に、本発明は特許請求の範囲によって決定される本発明の精神および範囲内に含まれ得る代替物、変形および均等物をカバーするものである。
本発明は、半導体ウエハーまたは基板を処理するための新規な処理システムに向けられている。なお、「ウエハー」の用語は、本発明の目的のために、ウエハーおよび基板の両方を意味する。本発明は、種々のウエハープロセス(近大気圧の化学気相成長(CVD)または迅速熱酸化プロセスが含まれるが、これらに限定されない)に関連して使用され得るシステム、装置、および方法に向けられている。このようなCVDプロセスが用いられる場合、該CVDプロセスは、一般に約5 Torr〜約760 Torr、より典型的には約100 Torr〜約700 Torr、通常は約200 Torr〜600 Torrの堆積処理圧力を含む。本発明の処理チャンバーは、ミリTorr様式における低真空圧力でも動作し得ることが理解されるべきである。例えば、適切な真空ポンプの追加によって、本発明が10 mTorr〜200 Torrの範囲、より一般的には10 mTorr〜5 Torrの範囲において動作することを可能になるであろう。
図1〜3を参照すると、本発明の半導体ウエハー処理システムの主用部品には、大気圧フロントエンド(AFE)ユニット6、垂直にスタックされた処理チャンバー40の組を有する多重チャンバーモジュール(MCM)20、スタックされた処理チャンバー40の組に共有される共通の処理化学薬品供給システム24、および共通の制御システム21、並びに夫々の処理チャンバー40と大気圧フロントエンドユニット6の間で半導体ウエハーを搬送するためのロードロックチャンバー80が含まれる。
大気圧フロントエンドユニット6は、ウエハー処理システム5の正面に配置され、クリーンルーム壁(図示せず)と同一表面をなしている。大気圧フロントエンドユニットは、一以上のウエハーカセットまたはロードポートを含んでいる。このようなウエハーカセットは慣用的なものであり、当該技術において既知である。AFEロボットは、カセット7、ウエハーアライナー9(図1〜3には示されていないが、図14に示されている)、およびロードロックチャンバー80の間でウエハーを搬送する。ロボット8は、処理が完了したら、ウエハーをその夫々のカセット7に戻す。ウエハーアライナーは、ウエハーをロードロックチャンバー80の中に搬送する前に、ウエハーのセンタリングおよびノッチの整列のために設けられている。これらの部品は剛性フレーム14の中に収容され、該フレームは、適切な環境空気処理装置を用いて清浄な環境を形成する。AFEユニット6の前方表面は、ユーザーインターフェースパネル、およびディスプレー13を支持するシステム前面15と一体化されている。
図2および図12を参照すると、夫々の処理チャンバーについて一つのロードロックチャンバー80が設けられている。図8に示されたロードロックチャンバー支持体89は、処理チャンバー40に対して各ロードロックチャンバー80を位置決めする。ウエハーは、夫々のロードロックチャンバー80を通して、AFEユニット6と各処理チャンバー40の間で移動される。フロントエンドゲート弁10は、各ロードロックチャンバー80およびAFEユニット6を連結する。また、フロントエンドゲート弁10は、ロードロックチャンバー80が排気され得るように、ロードロックチャンバー80をAFEユニットから密封シールする。同様に、処理チャンバースロット弁41が設けられており、ロードロックチャンバー80を夫々の処理チャンバー40に連結している。処理チャンバースロット弁41は処理チャンバー40を密封シールしており、処理チャンバ40の圧力を乱すことなく、ロードロックチャンバ80を大気圧に換気し得るようになっている。
本発明の中心は、各処理チャンバの専用のロードロックチャンバーおよびウエハー搬送アームを設けて、各処理チャンバーのスループットおよび処理システム全体のスループットを最大にするという概念にある。本発明は更に、基板処理が処理後のウエハーを冷却するために時間を要する状況において、処理システムの最大のスループットを保証することである。
典型的には、ロードロックは、二つの異なる環境の間、例えば室温大気圧環境と高温真空環境との間のバッファーとして働く。従って、ロードロックは、ロードロックチャンバーの環境を、最初はロードロックが基板を受取る第一の環境に適合させ、次いでその後に基板を処理チャンバーに搬送する第二の環境に適合させるように、ロードロックチャンバーの環境を調節するための幾つかの非ゼロ動作時間を必要とする。ロードロック内では基板の処理は行われないが、基板はロードロックチャンバー内で「待機」または幾らかの非ゼロ時間を費やさなければならない。典型的には、この時間は約数十秒であり、数分に及ぶ可能性もある。
図4に示した従来技術のアプローチは、典型的には、基板を一以上のロードロックに配送するフロントエンドロボットを含んでいる。次いで、第二のロボット(チャンバーハブに配置されることが多い)が、何れか一つのロードロックと幾つかの処理チャンバーの何れか一つとの間で基板を搬送する。フロントエンドロボットは、ロードロックに導入する前に、基板をアライナーに搬送するために使用してもよい。しかし、その代りに、中心ハブロボットが、ウエハーを処理チャンバーに搬送する前に、ロードロックからアライナーへ基板を輸送してもよい。
何れにせよ、夫々のロボットは複数のモジュールの間でのウエハーの配送に責任を有している。二つのロボットを用いる従来の処理システムでは、ソフトウエアでスケジュールを立てることが可能であり、従来技術のアプローチでは多く用いられている。しかし、図4に示すように、スケジュールの作成は極めて複雑である。
何れにせよ、夫々のロボットは複数のモジュールの間でのウエハーの配送に責任を有している。二つのロボットを用いる従来の処理システムでは、ソフトウエアでスケジュールを立てることが可能であり、従来技術のアプローチでは多く用いられている。しかし、図4に示すように、スケジュールの作成は極めて複雑である。
図4は、四つのロードカセットCASS1、CASS2、CASS3、CASS4、フロントエンドロボット、四つのロードロックチャンバーLL1上、LL1下、LL2上、LL2下、中央ハブロボット、および四つの処理チャンバーPM1、PM2、PM3、PM4を含む従来技術の処理システムに対応した、従来技術のウエハー流れ経路図の一例を示している。
一つの中央ハブロボットが、全てのロードロックチャンバーと全ての処理チャンバーとの間でウエハーを搬送するから、このようなシステムにおける可能なウエハー流れ経路の数は、合計で64になる。例えば、四つの可能な「ロードカセット/フロントエンドロボット」経路×四つの可能な「フロントエンドロボット/ロードロック/中央ハブロボット」経路×四つの可能な「中央ロボット/処理チャンバー」経路は、64通りの可能な経路に等しい。
一つの中央ハブロボットが、全てのロードロックチャンバーと全ての処理チャンバーとの間でウエハーを搬送するから、このようなシステムにおける可能なウエハー流れ経路の数は、合計で64になる。例えば、四つの可能な「ロードカセット/フロントエンドロボット」経路×四つの可能な「フロントエンドロボット/ロードロック/中央ハブロボット」経路×四つの可能な「中央ロボット/処理チャンバー」経路は、64通りの可能な経路に等しい。
幾つかの適用において、処理されたウエハーは、ロードカセットに戻される前に冷却される必要があるかもしれない。従来技術のプラクティスは、典型的には、一以上の冷却チャンバー、または中央ハブロボットが届く範囲内にある「待機」位置を含んでおり、ウエハーはここに着座して冷却される。中央ハブロボットだけが届く範囲にある熱ウエハーの搬送を制限することは、熱ウエハーを取扱うための特別な材料を必要とする装置(例えば、エンドエフェクタ、ウエハーホルダおよび表面、カセット等)を最小にし、コストを最小化する。
しかし、冷却チャンバー、またはウエハーが「待機」および冷却しなければならない位置を追加することは、中央ハブロボットによるウエハー移動のスケジュールを更に複雑化し、従って、フロントエンドロボットのスケジュールに対する中央ハブロボットのスケジュール作成をも複雑にする。典型的には、フロントエンドロボットおよび中央ハブロボットの夫々は、非常に迅速にウエハーを輸送できる。しかし、各ロボットはウエハーの「支度 (readiness)」によって配置されるから、このような従来技術のシステムにおけるスケジュールの最適化には非常に正確なタイミングが必要とされ、また、「待機」時間を最小化してシステム全体の最大のスループットを保証するためには、種々の処理チャンバーおよびロードロックの利用に関する広範なフィードバックおよび/又は「先を読む」予測が必要とされる。実際には、一つの処理チャンバー(またはロードロック)へのウエハー搬送の極く僅かな停止でさえも、屡々、全システムに亘る全体的なウエハーの流れを破壊する可能性がある。スケジュール管理者は、これらの僅かな潜在的遅れに適合させるために、最適なスループットよりも少ないコストで、意図的にスケジュールプログラムに僅かな遅れを含めてもよい。簡単に言えば、ロードカセット、ロードロック、および処理チャンバを含む、幾つかの多重アクセス可能なウエハー位置の間で選択しなければならない二つのロボット間の同期は、許容可能なスループットを維持するために重要であるが、このような同期は非常に複雑である。
対照的に、本発明はシステムのフロントエンドに一つのロボットを用いて、一以上のロードロックチャンバー(これらの夫々は、各処理チャンバーの専用である)へ基板を配送する。各ロードロックチャンバーは、夫々の処理チャンバーと専用のロードロックチャンバーとの間で基板を移動するための、専用の個々の搬送アームを含んでいる。図5は、本発明による処理システムに対応した流れ経路図を示している。こうして、従来技術のようにロードロックチャンバーおよび中央ロボットを共有するのではなく、各プロセスチャンバーが専用のロードロックチャンバーおよび搬送アームを有しており、ウエハー流れ経路の可能な数が従来技術よりも著しく減少するので、ウエハーの流れをスケジュール化することの複雑さは遥かに小さい。
図5に示すように、本発明による処理システムは、図4に示した従来技術の場合と同じ数の処理チャンバーを有している。しかし、本発明は、各処理チャンバーに対するロードロックチャンバーを専用化して、四つのロードロック/処理チャンバーアセンブリーLL/PM1、LL/PM2、LL/PM3、LL/PM4を設け、また中央ハブロボットの必要性を排除している。こうして、可能なウエハー流れ経路の数は、従来技術の64経路から本発明の16経路に減少する。例えば、四つの可能な「ロードカセット/フロントエンドロボット」経路×四つの可能な「フロントエンドロボット/ロードロック・処理チャンバーアセンブリー」経路は、16の可能な経路に等しい。従って、管理する経路オプションが少ないから、本発明のスケジュール化の複雑さは小さい。フロントエンドロボットは、(この例では)四つのロードロックチャンバーの一つにウエハーを配送し、また一旦ロードロックチャンバーに配置されたら、処理チャンバーへのウエハー経路および処理チャンバーからのウエハー経路は固定され、他の如何なるウエハー経路からも独立している。
本発明によれば、ロードロック環境変化および処理チャンバー処理の長いプロセスは、夫々がロードロックチャンバー、搬送アームおよび処理チャンバーを含む個々のロードロック/プロセスチャンバーアセンブリーの中に含められる。本発明は、図5において矢印ARMで表すように、夫々のロードロックと処理チャンバーの間の平行経路を確立するので、基板の平行処理を可能にする。こうして、専用のロードロックチャンバーおよび搬送アームの追加が基板の独立した平行処理を可能にするので、スケジュール化の複雑さが減少する。
本発明のもう一つの重要な特性は、各ロードロック/処理チャンバーアセンブリーのための専用ハードウエアの追加であり、これは設計が充分に単純であり、その重複にもかかわらずコスト的に有効である。各処理チャンバーはそれ自身のロードロックチャンバーを有しており、各ロードロック/処理チャンバーアセンブリー内には単純で且つ比較的一定なウエハー搬送面が確立される。従って、単一の移動軸を有する搬送アームを用いて、各ロードロックチャンバーと夫々の処理チャンバーとの間でウエハーを搬送することができる。更に、フロントエンドロボットが多重スタックのロードロック/処理チャンバーアセンブリーの搬送面に届くことができる垂直距離を有するときに、全体の機器フットプリントを減少させることができる。従って、好ましくは、本発明は適切な垂直距離を含み且つ複数の低コスト単一軸搬送アームを供えた、多軸能力を有する単一のフロントエンドロボットを含み、またはこれと組合せて使用される。
一つのロードロックチャンバーを、各処理チャンバー40の専用にすることを可能にする本発明の一つの側面は、各ロードロックチャンバー80内に、図7に示した冷却プレート95を設けることである。このような専用化を可能にする本発明のもう一つの側面は、図6に示した二重ウエハー単一アームのロードロック搬送アーム82を設けた点にあり、これは1枚の未処理ウエハーおよび1枚の処理されたウエハーを含む2枚のウエハーを同時に搬送する能力を有している。本発明の構成を用いれば、唯一の移動軸が各プロセスチャンバーの制御システムを通して制御されるから、顕著なコスト改善が得られる。図7および図8に示したように、搬送アーム82は搬送アーム旋回軸83の回りで回転するだけであり、従って、制御システムによって制御する必要があるのは、旋回軸83の回りでの搬送アーム82の複雑でない単一の角運動だけである。
図7および図8を参照すると、ウエハー冷却プレート95は、ロードロックチャンバー80の内側に装着される。また、二重ウエハーエフェクタエンド84を備えた、モノリシックな単一軸のロードロック搬送アーム82(θ軸回転)が設けられており、これはエンドエフェクタ82上に、二枚のウエハーを垂直方向同軸に配置することを可能にする。搬送アーム82は、単一のサーボ軸または旋回軸83を有しており、搬送アーム駆動モータアセンブリー79のような単一のサーボ機構によって動作される。エンドエフェクタ84はU字形部材であり、未処理ウエハーをエンドエフェクタ84の上部ウエハー棚85上に配置し、もう一つの処理されたウエハーを下部ウエハー棚87に配置することを可能にする。これらの棚は、棚85,87を形成する水平プレートによって定義され、エンドエフェクタ84の開放部分上のウエハーエッジ支持体86,88を含んでいる。
搬送アーム82は、図7に示すように、搬送アーム82が完全にロードロックチャンバー80内に位置するホーム位置を有しており、ここでフロントエンドロボット8からの未処理ウエハーの配送を待ち、または以下で述べるように、処理されたウエハの冷却プレートリフトピンによる除去を待つ。処理されたウエハーが、AFEロボット8によってロードロックチャンバー80から除去される前にそれを冷却して、熱的に歪んだウエハーから生じるウエハー搬送欠陥および高温の処理後ウエハーからのカセット材料故障を最小限にするために、ウエハー冷却プレート95がロードロックチャンバー80の中に組み込まれる。冷却プレート95は搬送アーム82の下に装着され、上部および下部ウエハー棚85,87上に装着されたウエハーに対して同心的に配置される。冷却プレート95は、室温まで液体冷却もしくは空気冷却、または不活性ガス冷却されてもよい。例えば、約18℃〜25℃の水を冷却プレート95を通して循環させて、その温度を調節してもよい。他の適切な液体温度範囲を使用してもよいことが理解されるであろう。冷却プレート95が液体冷却される場合、冷却プレート95、チャックアセンブリー60、および処理チャンバー40の壁を通して冷却液体を循環させ、それらの温度調節を行えばよい。
冷却プレート95には、ロードロック搬送アーム82がホーム位置にあるときに下部ウエハー棚87上に静止したウエハーを上昇させる、冷却プレートリフトピン96が備えられている。冷却プレートリフトピン96の高さは、図8に示した冷却プレートリフトピンアクチュエータ97によって制御される。ウエハーが上昇したら、ロードロック搬送アーム82は、図6および図8に示すホーム位置から伸長位置へと旋回して、ウエハーを冷却プレートリフトピン96の後に残す。次いで、ディスクを冷却するために、ウエハーは冷却プレート上に下降する。或いは、ウエハーがプレート95に接触することなく冷却されて、ウエハー上での磨耗および熱歪みが最小限になるように、ウエハーは冷却プレート95の僅か上の位置まで下降されてもよい。熱伝導冷却、対流冷却、または放射冷却を含めて、種々のタイプの冷却を実行してもよいことが理解されるであろう。
同様にして、冷却プレートリフトピン95が伸長してウエハーを上部ウエハー棚85のレベルよりも上まで上昇させ、次いで搬送アームがそのホーム位置に戻ったときに、棚85上にウエハーをロードしてもよい。上記のように、冷却プレートリフトピンアクチュエータ97は、リフトピン96を制御する。冷却ピンアクチュエータ97は、ロードロックチャンバー80の大きさを最小化するために、ロードロックチャンバー80の外に位置している。例えば、線形ソレノイドまたは他の既知の線形アクチュエータを、ロードロックチャンバー80の外に装着すればよい。ロードロックチャンバー80の真空環境を維持するように、ベローズ(図示せず)を使用して、アクチュエータと冷却ピン96を結合してもよい。或いは、望ましい場合には、冷却ピンアクチュエータはロードロックチャンバー内に配置してもよいことが理解されるべきである。
ウエハースリーブ85,87の形状に関しては、上部ウエハー棚86が実質的にU字型であり、従って、以下で詳細に述べるように、上の位置にある伸長したチャックリフトピン70によって未処理ウエハーが支持されている間に、搬送アーム82がその伸長位置から後退することが可能になることに留意すべきである。特に、U字型の上部ウエハー棚85は、リフトピン70が上部棚85または搬送アーム82に接触するのを防止する。対照的に、下部ウエハー棚87は、図9および図12に示すように、ウエハー支持体88によって、ウエハーの対向側でウエハーを支持するだけである。図12に示すように、下部ウエハー棚87のU字形状のベースは開放されており、それによって、上の位置にある伸長した冷却プレートリフトピン96が処理済みウエハーを支持している間に、搬送アーム82がその後退/ホーム位置から伸長することを可能になる。
図10を参照すると、一実施形態になる処理チャンバーが示されている。この実施形態において、処理チャンバー40は、例えばカリフォルニア州スコットバレー所在のシリコンバレーグループ・サーマルシステムズ社が製造し、1998年7月10日に出願された米国特許出願09/113,827号(代理人事件番号A-59471-4)において更に説明されたタイプの、MultiBlockTM線形インジェクタのような化学的気相成長(CVD)の線形インジェクタ43アセンブリーを組込んでもよい。この特許の全ての開示は、本明細書の一部として援用される。各CVD線形インジェクタアセンブリー43は、200mmウエハーを処理するための線形インジェクタ44,45を用いる。或いは、300mmウエハーを処理するための一つのCVD線形インジェクタアセンブリーの中に、三つの線形インジェクタを用いてもよい。しかし、他のタイプの処理チャンバーもまた、本発明に従って利用でき得ることが理解されるべきである。例えば、高イオンで膜が成長およびアニールされる処理チャンバーもまた、上記で述べたCVDチャンバーと組合せて、またはその代りに使用することができる。
半導体ウエハーチャックアセンブリー60が、各処理チャンバー40の内部に設けられる。ウエハーチャックアセンブリー60は、幾つかの基本的機能を有している:(1)搬送アームからウエハーを受取り、また搬送アームにウエハーを渡すこと;(2)処理の間ウエハーを保持すること;(3)ウエハー処理温度に応じて、ウエハのための均一な熱環境を提供すること;(4)ウエハー処理法によって必要とされるときには、処理チャンバー内でウエハーを移動させること。例えば、ウエハーチャックアセンブリー60はウエハーを予備加熱し、固定し、CVD線形インジェクタアセンブリー43に対して移動させるために、夫々の処理チャンバー40の内側に設けてもよい。或いは、チャックアセンブリー60は、ウエハーを冷却し、および/または材料除去器具のような他の処理器具に対してウエハーを移動させるために使用してもよい。
図10に示すように、ウエハーチャック60は、半導体ウエハーが載置されるクランプ表面を含んでいる。ウエハーチャック60は、未処理ウエハーをCVDインジェクタ43によって処理する前に、予備加熱する加熱素子(図示せず)を含んでいる。また、ウエハーに加えられた熱を隔離するために、チャック断熱76が設けられる。チャック真空クランプ63のような止め具クランプが、ウエハーをチャックアセンブリー60に固定する。このような真空クランプは当該技術において周知であるから、詳細には述べない。電気的ウエハークランプ手段のような、他の適切なクランプ手段を使用してもよいことが理解されるべきである。一般に、ウエハーの裏面は、チャック真空クランプ63によって、既知の方法で、ウエハーチャックアセンブリー60のウエハーチャックプレートに当接して保持される。真空クランプは、チャックアセンブリー臍帯チェーン59によって、動作可能に真空源に接続される。臍帯チェーン59は、電力、制御信号、および冷却水をチャックアセンブリー60に与える一方、処理チャンバー40内で移動することを可能にする。
インジェクタガスは、線形インジェクタ出口の直下の領域として定義され且つ一般に符号149で示される、堆積微小ゾーンまたは微小領域内に含められる。ウエハーチャックプレート上に装着されたウエハーの頂面は、シールプレート61の頂面と実質的に共表面であり、その両方は、線形インジェクタ44,45の底部出口の略1 mm内を通るように調節される。ウエハーのこのような配置は、堆積微小ゾーン49と処理チャンバー40の残部との間に、低コンダクタンスのガス経路を定義する。この経路は、微小チャンバー隔離領域または半シール領域と称する。この1mmの半シールギャップは、以下で述べるように、インジェクタアセンブリー43に対するチャック移動フレーム64の位置を調節することによって調節される。半シールギャップが設定されたら、それはシステムの運転の間は変化しない。
実施において、図10〜図12に示すように、チャックが後退位置またはロード位置にある間に、ウエハーがチャック表面62上に配置される。ウエハーは、上部ウエハー棚85からウエハーを持ち上げるチャックリフトピン70の上に配置される。搬送アーム82はそのホーム位置に旋回して、ウエハーをチャックリフトピン70上に残留させる。次に、ウエハーはチャック表面62まで下降される。チャックリフトピン70はチャックリフトピンヨーク72と同時に上昇および下降し、ヨーク72に動作可能に接続される。次に、リフトピンヨーク72は、チャックリフトピン線形駆動シャフト73に動作可能に接続され、該シャフトはリフトピンサーボアクチュエータアセンブリー74によって駆動される。なお、制御された線形移動のために、他の手段を使用してもよいことが理解されるべきである。例えば、チャックリフトピンヨーク72および/またはチャックリフトピン70に線形移動を与えるために、ウォームドライブを設けることもできるであろう。
図11を参照すると、ウエハーはチャック表面62に真空クランプされる。チャックアセンブリー60は、チャック移動フレーム64のチャック案内レール66に沿い、CVDインジェクタ43を通って伸長位置へと移動する。例えば、電気サーボモータのようなチャック移動アクチュエータ67は、チャック移動駆動ネジ68を介して、チャックアセンブリー60をチャック案内レール66に沿って移動させる。当業者は、他のチャック移動装置を使用してもよいことを理解するであろう。従って、ウエハーチャックプレートに固定されたウエハーは、矢印Aの方向に、単回完全通過ストロークで、線形インジェクタ−44,45のインジェクタ出口の下を移動される。この単回完全通過ストロークは、全てのインジェクタ出口によって全体のウエハー表面に堆積させることを可能にする(即ち、200mmウエハー寸法のための二つのインジェクタ出口、または300mmウエハー寸法のための三つのインジェクタ出口)。なお、インジェクタおよび/またはインジェクタ出口の数はウエハーの寸法に依存しない。インジェクタおよび/またはインジェクタ出口の数は、処理チャンバー40のスループットを最適化するために変更することができる。この完全ストローク動作は、ウエハーの所望の処理を生じるのに必要な回数だけ反復させることができる。チャックの移動速度もまた、CVDインジェクタ43を通過するときに、ウエハー上に形成されるSiO2層の所望の厚さに従って調節すればよい。例えば、本発明の一実施形態において、移動速度は約1 mm/s〜約60 mm/sの範囲である。堆積が完了したら、ウエハーはロード位置へと再び移動され、そこでロードロック搬送アーム82へ手渡すための準備として、チャックリフトピン70がウエハーチャックプレートからウエハーを持ち上げる。
処理または反応チャンバー40は、図11および図12の側面ドア54、および図10の端部ドア55を用いて、半密封ギャップもしくは堆積微小ゾーン、またはCVD線形インジェクタ43のような他の何れかの処理チャンバー部品を乱すことなく、移動フレーム64およびチャックアセンブリー60のサービスおよびキャリブレーションのための容易なアクセスを可能にする。上記で述べたように、1mmの半シールギャップは、チャック移動フレーム64の位置を調節することによって調節される。これは、チャック調節器69によって達成される。図2に示すように、各処理チャンバー40には、好ましくはチャック調節器69が設けられ、そのうちの二つが図10にも示されている。このような構成は、チャックアセンブリー60のピッチ、ロール、および高さを正確に調節することを可能にする。例えば、チャック調節器69は、処理チャンバー40がCVD線形インジェクタ43を含む場合の、半密封ギャップを正確に調節することができるであろう。また、チャックアセンブリー調節器69は、他の処理器具に対するウエハーの位置を調節するためにも使用できるであろう。このような調節器は、ネジまたは他の周知の調節手段を調節する形態を取ればよい。三つより多く、または三つより少ないチャックアセンブリー調節器を使用してもよいことが理解されるべきである。例えば、二つの調節器を使用してもよく、この場合は移動フレーム64の第三の点が処理チャンバー40に対して固定される。
図2を参照すると、器具床面積またはフットプリント要件を節約するために、処理チャンバー40は対をなして垂直に配置されてもよい。垂直にスタックされた処理チャンバー対は、共通の化学薬品送給システム24および共通の制御システム21と共に、多重チャンバーモジュール(MCM)20と称される。二つの処理チャンバーは、実質的に同一であってもよく、例えば、夫々が独立のCVD線形インジェクタ、ウエハーチャックアセンブリー、およびチャック移動フレームを含むことができるであろう。更に、各処理チャンバーは、夫々の専用のロードロックチャンバーおよび冷却プレートを含んでいる。二つのチャンバーに変化が望まれる場合にも、このような相違は、他に影響を与えることなく容易に適合させることができる。
或いは、異なるタイプの処理チャンバーは、各多重チャンバーモジュールの中に含めることができるであろう。例えば、一つの処理チャンバー40はCVD線形インジェクタ43を含む一方、第一の処理チャンバーに対して垂直にスタックされるもう一つの処理チャンバーは、迅速熱酸化器具(図示せず)を含むことができるであろう。更に、図15に示すように、MCM 20は相互に垂直にスタックされた三つの処理チャンバー40を含んでもよいことが理解さるべきである。繰り返すが、処理チャンバー40は上記で述べたCVD処理チャンバーの形態をとってもよく、或いは他のタイプの処理チャンバーまたはそれらの組合せでもよいことが理解されるべきである。
MCM 20の処理チャンバー間の距離は、特定の設計拘束条件、例えば、フロントエンドロボットの最大垂直ストローク、CVDインジェクタ−および化学薬品送給ポンプのための処理チャンバー間のサービスアクセス間隔23、並びにチャックアセンブリーおよび移動フレームの最大高さによって決定される処理チャンバーの全体の高さに依存する。図12に示すように、本発明のチャックリフトピンアクチュエータ71は、処理チャンバー40の全体の高さおよび容積を低減するために、処理チャンバー40の外部に配置される。処理チャンバー40の粒子が存在しない近大気圧の環境を維持するために、リフトピンアクチュエータ71およびチャックリフトピン70の間にベローズ45が使用される。
MCM 20の垂直にスタックされた処理チャンバー40は、共通のフレーム上に配置され、該フレームは、共通の処理化学薬品システム24および共通のローカル制御システム21をも支持する。送給システム24はMCM 20の頂部に配置され、スタックされた処理チャンバー40に材料を供給する。例えば、送給システム24は、MCM 20内の二つのスタックされた処理チャンバー40に化学薬品を送給するための、化学薬品送給システムを含むことができるであろう。共通の制御システム21は、MCM内において、下方の処理チャンバー40の下に配置すればよい。或いは、このような部品は、電子部品の品質およびパッケージ要件、並びに合理的なプロセス制御およびシステム制御を達成する上での距離制限に応じて、CVD処理システムに並べて別のラック上に設けることもできるであろう。各MCM 20の全ての処理チャンバー40に供給する一つの送給システムを用いることにより、コストおよび空間の顕著な節減を達成することができる。処理化学薬品送給システム24および共通の制御システム21の実際の配置は、本発明の範囲内において変更され得ることが理解されるべきである。例えば、送給システム24は、下部処理チャンバーの下、または処理チャンバーの間に配置することができるであろう。同様に、共通の制御システムは、上部処理チャンバーの上に配置することができるであろう。
これらスタックされた処理チャンバーのための、処理化学薬品送給システム24の一例が図13に示されている。送給システム24は、化学薬品供給源をこれら処理チャンバー40のCVD線形インジェクタ43に分けるための、多岐管ユニット25を有する化学薬品送給システムを含んでいる。或いは、三つより少ないか、または三つよりも多い処理チャンバーのインジェクタ中に化学薬品源を分割するために、多岐管ユニット25を設けてもよい。このようにして、化学的気相成長は、MCM 20の全ての処理チャンバー40において同時に開始され、停止される。ウエハー処理操作のために、個々の処理チャンバー40の間において、化学薬品送給を計量、制御またはバランスさせるための個別的な手段は存在しない。その代り、化学薬品供給分岐点25および酸化剤分岐点26が、それぞれ化学薬品源およびオゾンを、個々のCVD線形インジェクタ43へ実質的に均一に分配する。処理チャンバー40の間での化学薬品送給の変動から生じる堆積厚さの何等かの僅かな変動は、各処理チャンバー40が独立したチャック移動アクチュエータ67を有しているので、ウエハーチャック移動速度を変化させることによって調停される。各チャンバーのための個別の計量弁または流れコントローラを設けて、個々の処理チャンバー43への化学薬品源およびオゾンの送給を個別に制御してもよい。こうして、各処理チャンバー43が他から独立して動作することが可能になる。本発明の共通の送給システムは、ウエハー処理システムの全体のコストおよび複雑さを最小にする。夫々の処理チャンバーについて個々の送給システム(例えば、個別の流れ制御弁)を利用してもよいことを理解すべきであるが、このような構成は、より高いコストをもたらす。
夫々のMCM 20の各送給システム24について、一つのオゾン発生器が設けられる。しかし、多重MCM 20に対応する多重送給システム24のために、一つの液体化学薬品供給キャビネットを設けてもよい。HFシステムが用いられ、一つのMCM 20の全ての処理チャンバー40の間の多岐管ユニットによって受容で器に分割される場合に利用されるときは、無水HF洗浄システムについて同様のアプローチが採用される。しかし、設計の変更において、洗浄前駆体を発生するために原子状フッ素発生源が用いられるときは、処理チャンバー40のスループットを最適化し且つフッ素発生源のための化学薬品送給ハードウエアを単純化するために、一つのMCM 20の全ての処理チャンバーの洗浄が同時に起きるが、各処理チャンバー40について一つのフッ素発生源が使用される。或いは、他の実施形態は、一つのMCMにおける両方の処理チャンバーのための、単一の原子状フッ素源を含むことができるであろう。
本発明のウエハー処理システム5の平面図は、一連のMCM 20、例えば二つ、三つまたはそれ以上のMCM 20が相互に平行で線形に配置されるように構成されている。このようなMCM 20の配置は、ロードロックチャンバー80の特定の設計に従って、図3に示すようにAFEユニット6に対して直角であるか、または図14に示すように角度をなしていてもよい。このように、ウエハー処理システム5は、「線形アレイ」とみなすことができる。
当業者は、各処理チャンバーについて一つのロードロックチャンバーが設けられることを条件として、スタックされたチャンバーが用いられる場合に、搬送システム回りの他の幾何学配置、例えば中央ロボットの周りの円形配置、機械の左側および右側で移動中央ロボットを取囲む鏡面平行配置、または他の非線形配置を使用することにより、幾つかのMCMを用いてもよいことを理解するであろう。
上記で述べた処理チャンバー40およびMCM 20の構成は、同様の線形インジェクタクラスタツールシステムに対して、フットプリントの改善を提供する。例えば、同じ数の処理チャンバー、例えば四つの処理チャンバーを有する従来のシステムに比較すると、本発明によるシステムのフットプリントは、約168平方フィート(ft2)〜約73平方フィート(ft2)減少する。このフットプリントの減少は、主に、CVD処理チャンバーをスタックすることによって、および従来のクラスタツールCVD処理システムに典型的に設けられていた異なる搬送モジュールハブを排除して、対応するCVD処理チャンバー40および大気圧フロントエンドユニット6に取付けられた個別のロードロック80に置き換えることによって達成される。また、線形アレイレイアウトでは、従来のシステムにおいて典型的に存在する、クラスタツールシステムの中央にある大きな搬送モジュール(TM)チャンバーおよび中央ハブロボット(図4に示されている)のために空間が喪失されないから、空間がより効率的に利用される。
本発明による300mm適用(例えばウエハー)のCVD処理システムにおけるフットプリント面積は200mm適用のための従来のシステムのフットプリント面積に匹敵する。本発明は、本来的に200mm適用のために設計されたウエハー処理設備にとって莫大な利点を提供する。何故なら、このような設備は、現存の設備の床面積を使用して、300mm適用のための本発明のシステムを組込めばよいからである。
本発明の半導体ウエハー処理システム、半導体ウエハー搬送装置および方法は、多くの技術的利益を提供する。例えば、当該システムの製造および処理コスト、並びに複雑さは、多重サーボ軸の必要性を排除することによって最小化されすることができる。半導体処理装置、例えば搬送アーム82の運動は単一軸の回りの運動に減少され、それによって全体のウエハー自動化コストが低減される。また、モノリシックな単一軸搬送アームの使用によって搬送機構における関節部品が排除されるから、複雑さおよび潜在的な信頼性の欠陥が最小化される。更に、本発明の単一軸搬送アームは、ロードロックチャンバー内に少ない可動部分しか存在しないので、粒子形成および汚染も最小化される。単一軸アームは、処理チャンバーの側方ローディングを可能にすることにより、全体のシステムの深さを最小化し、該システムのパッケージ拘束を最適化する。更に、冷却プレートを各ロードロックチャンバーに配置することによって、別の専用の冷却ステーションの必要性がなくなる。本発明の冷却プレートの構成は、搬送アームからウエハーを除去するために冷却プレートリフトピンを用いるので、追加の搬送アーム軸を必要としない。これは、これら両方の機能が空間を節約する単一のユニット内に収容される点で、従来のウエハー冷却および搬送アプローチに対する利点である。
本発明の一実施形態において、処理チャンバーのプロセスシーケンスは完全パス堆積ストラテジーを含んでおり、ここでは、化学ターンオンおよび安定化に対する膜厚またはドーパント濃度の感受性が最小化される。或いは、処理チャンバーのプロセスシーケンスは、1998年7月10日に出願された米国特許09/113,730(代理人事件番号A-66484)に記載された能動的な循環移動を含んでもよい。この特許の全体の開示は、本明細書の一部として本願に援用される。
システム全体のスループットが劣化しないことと、フットプリントの減少、コストの減少の組合せによって、所有者コストの低下およびウエハー当り処理コストの低下がもたらされる。例えば、本発明の一実施形態において、この機器の所有者の予想コストは、5000ÅのBPSG膜ついて、システムの処理チャンバーの数に応じて約$2.65〜$2.72である(即ち、6処理チャンバー vs.4処理チャンバー)。比較として、従来技術のシステムによる同一膜の堆積は、特定の製造およびモデルに応じて、$3.59〜$4.95の所有者コストを有する。この比較は、ウエハー当り約26から45パーセントの節約に相当する。
(好ましい実施形態の動作例)
図16(A)から(L)を参照すると、本発明による半導体ウエハーを搬送および処理する方法の例が概略的に図示されており、ここで、ロードロックチャンバー80は夫々の処理チャンバー40に取り付けられている。搬送アーム83に類似したロードロック搬送アーム(図16には図示していない)が、フロントエンドロボット8(図16には図示していない)から半導体ウエハーを受取り、ロードロックチャンバーと処理チャンバーとの間でウエハーを移動させる。ロードロックチャンバー80内の三つの格納層は、ロードロックチャンバー80内の上部ウエハー棚85'、下部ウエハー棚87'、および冷却プレート95'上に静置されるときのウエハー位置を表す。冷却プレートの少し上にある四つの「ピンアップ」されたウエハー位置は図示されていないが、これについては以下で述べる。プロセスチャンバー40内に図示されている二つの格納層は、ウエハーが、処理チャンバー内の上部ウエハー棚85''および下部ウエハー棚87''に載置されるときのウエハー位置を表している。「チャック表面」および「余熱」ウエハー位置のような他のウエハー位置は示されていないが、これについては以下で述べる。
図16(A)から(L)を参照すると、本発明による半導体ウエハーを搬送および処理する方法の例が概略的に図示されており、ここで、ロードロックチャンバー80は夫々の処理チャンバー40に取り付けられている。搬送アーム83に類似したロードロック搬送アーム(図16には図示していない)が、フロントエンドロボット8(図16には図示していない)から半導体ウエハーを受取り、ロードロックチャンバーと処理チャンバーとの間でウエハーを移動させる。ロードロックチャンバー80内の三つの格納層は、ロードロックチャンバー80内の上部ウエハー棚85'、下部ウエハー棚87'、および冷却プレート95'上に静置されるときのウエハー位置を表す。冷却プレートの少し上にある四つの「ピンアップ」されたウエハー位置は図示されていないが、これについては以下で述べる。プロセスチャンバー40内に図示されている二つの格納層は、ウエハーが、処理チャンバー内の上部ウエハー棚85''および下部ウエハー棚87''に載置されるときのウエハー位置を表している。「チャック表面」および「余熱」ウエハー位置のような他のウエハー位置は示されていないが、これについては以下で述べる。
半導体ウエハーの搬送および処理方法の例は、以下の工程を含んでいる。
1.ウエハーカセット7が、大気圧フロントエンド(AFE)ユニット6の前面プレートにおいて、それらの夫々のスタンドまたは自動ロードポートに配置される。
2.大気圧フロントエンドロボット8が、ウエハーカセット7から第一の未処理ウエハーを取出し、これをウエハーアライナー9に搬送する。
3.ウエハーアライナーは第一の未処理ウエハーを回転させて、ウエハーの重心を計算し、ウエハーのオフセットを決定する。また、ウエハーのノッチ位置が決定され、特定の処理の必要性に従って配向される。
4.ロボット8は、オフセットピック工程を実行し、ここでは第一の未処理ウエハーが計算されたオフセットを用いてアライナー9から除去され、ウエハーをロードロック搬送アーム82のエフェクタエンド84上に完全にセンタリングする。
1.ウエハーカセット7が、大気圧フロントエンド(AFE)ユニット6の前面プレートにおいて、それらの夫々のスタンドまたは自動ロードポートに配置される。
2.大気圧フロントエンドロボット8が、ウエハーカセット7から第一の未処理ウエハーを取出し、これをウエハーアライナー9に搬送する。
3.ウエハーアライナーは第一の未処理ウエハーを回転させて、ウエハーの重心を計算し、ウエハーのオフセットを決定する。また、ウエハーのノッチ位置が決定され、特定の処理の必要性に従って配向される。
4.ロボット8は、オフセットピック工程を実行し、ここでは第一の未処理ウエハーが計算されたオフセットを用いてアライナー9から除去され、ウエハーをロードロック搬送アーム82のエフェクタエンド84上に完全にセンタリングする。
5.ロードロックチャンバー80が大気圧に換気され、開放される。
6.ホーム位置にある搬送アーム82を用いて、第一の未処理ウエハーAは、図16(A)に示すように、大気圧フロントエンドロボット8によって搬送アームエフェクタエンド84の上部ウエハー棚85に配置される。次いで、ロードロックチャンバー80は閉鎖され、処理チャンバー40内の圧力に実質的に等しい搬送圧力まで排気される。
7.排気が完了したときに処理チャンバースロット弁41が動作し、ロードロック搬送アーム82が回転して、図16(B)に示すように第一の未処理ウエハーAを処理チャンバー40に搬送する。この点において、チャックアセンブリー60のチャックリフトピン70が「下方」位置、即ち、チャック表面62の下にある。
8.ロードロック搬送アーム82は、第一の未処理ウエハーAをチャックアセンブリー60と同心的に正確に位置決めする。次いで、チャックリフトピン70が上昇し、ロードロック搬送アーム82上の第一の未処理ウエハーAに接触し、該第一の未処理ウエハーAを、ロードロック搬送アーム82上の未処理ウエハー「ロード」位置まで上昇させて、ロードロック搬送アーム82をロードロックチャンバー80の中に後退させ、第一の未処理ウエハーをチャックリフトピン70の頂部に残す。
9.チャックリフトピン80は、ウエハーチャックプレート62の0.25〜0.75mm上に定義された「ウエハー余熱」位置へと後退する。8〜20秒の余熱時間が完了したら、チャックリフトピン70は完全に下降し、図16(C)に示すように、第一の未処理ウエハーAはウエハーチャックプレート62上に真空クランプされる。
6.ホーム位置にある搬送アーム82を用いて、第一の未処理ウエハーAは、図16(A)に示すように、大気圧フロントエンドロボット8によって搬送アームエフェクタエンド84の上部ウエハー棚85に配置される。次いで、ロードロックチャンバー80は閉鎖され、処理チャンバー40内の圧力に実質的に等しい搬送圧力まで排気される。
7.排気が完了したときに処理チャンバースロット弁41が動作し、ロードロック搬送アーム82が回転して、図16(B)に示すように第一の未処理ウエハーAを処理チャンバー40に搬送する。この点において、チャックアセンブリー60のチャックリフトピン70が「下方」位置、即ち、チャック表面62の下にある。
8.ロードロック搬送アーム82は、第一の未処理ウエハーAをチャックアセンブリー60と同心的に正確に位置決めする。次いで、チャックリフトピン70が上昇し、ロードロック搬送アーム82上の第一の未処理ウエハーAに接触し、該第一の未処理ウエハーAを、ロードロック搬送アーム82上の未処理ウエハー「ロード」位置まで上昇させて、ロードロック搬送アーム82をロードロックチャンバー80の中に後退させ、第一の未処理ウエハーをチャックリフトピン70の頂部に残す。
9.チャックリフトピン80は、ウエハーチャックプレート62の0.25〜0.75mm上に定義された「ウエハー余熱」位置へと後退する。8〜20秒の余熱時間が完了したら、チャックリフトピン70は完全に下降し、図16(C)に示すように、第一の未処理ウエハーAはウエハーチャックプレート62上に真空クランプされる。
10.オゾン発生器放電電力が、ゼロ出力からプロセス設定点出力(典型的には120g/m3@40slmへと迅速に立ち上がる。オゾンはインジェクタを通って密封プレート上に流れ、この10〜15秒の安定化時間の間に排気される。同時に、ウエハーAがチャック上に装着される間にウエハーの温度は安定化される、また化学液体供給源(例えばTEOS、TEBおよびTEPO)がインジェクタ43を通る流れに切替えられて、密封プレート61上の堆積微小領域49へと流される。
11.オゾンおよび熱安定化期間が終了した後に、ウエハー移動が開始され、第一の未処理ウエハーはCVDインジェクタ43の下を移動される。オゾンおよび液体化学薬品源が第一の加熱された未処理ウエハーAに衝突し、反応したときに堆積が開始されて、ウエハー上にSiO2の層が形成される。これは実際のCVDプロセスを定義する。
12.移動アクチュエータ67は、未処理ウエハーAの全体がインジェクタ−からの堆積ガスによって「ストローク」されるまで、チャックアセンブリー60を、インジェクタ43の下で線形に一定の速度で移動させる。厚さ、組成、および他のプロセス要求に応じ、インジェクタ出口から流出するインジェクタガスによって膜が均一且つ完全に未処理ウエハー上に堆積され、これによって第一の未処理ウエハーAは第一の処理済みウエハーA’に変換される。
13.上記で述べた工程10〜12のCVDプロセスの間に、ロードロック搬送アーム82は、そのロードロックチャンバー80内のホーム位置へと戻る。処理チャンバースロット弁41が閉じられ、ロードロックチャンバー80は大気圧に換気されて開放される。図16(C)に示すように、AFEロボット8によって、第二の未処理ウエハーBがロードロック搬送アームエフェクタエンド84の上部ウエハー棚に載置される。次いで、ロードロックチャンバー80が閉じられ、搬送圧力まで排気される。ポンプダウン排気が完了した後に、ロードロックチャンバー80は、上記の工程10〜12で説明した第一の未処理ウエハーに対するCVD処理が完了するまで、アイドリングのままである。
14.第一のウエハーに対するCVD処理が完了したときに、オゾン放電電力が低下され、液体化学薬品源はインジェクタ−43から逸らされるか、または遮断される。チャックアセンブリー60は、そのロード位置に戻された後に、インジェクタがゼロ化学汚染に達し、また真空クランプ63が第一の未処理ウエハーを除去するための準備に解除されるまで、そのロード位置で数秒待機する。
11.オゾンおよび熱安定化期間が終了した後に、ウエハー移動が開始され、第一の未処理ウエハーはCVDインジェクタ43の下を移動される。オゾンおよび液体化学薬品源が第一の加熱された未処理ウエハーAに衝突し、反応したときに堆積が開始されて、ウエハー上にSiO2の層が形成される。これは実際のCVDプロセスを定義する。
12.移動アクチュエータ67は、未処理ウエハーAの全体がインジェクタ−からの堆積ガスによって「ストローク」されるまで、チャックアセンブリー60を、インジェクタ43の下で線形に一定の速度で移動させる。厚さ、組成、および他のプロセス要求に応じ、インジェクタ出口から流出するインジェクタガスによって膜が均一且つ完全に未処理ウエハー上に堆積され、これによって第一の未処理ウエハーAは第一の処理済みウエハーA’に変換される。
13.上記で述べた工程10〜12のCVDプロセスの間に、ロードロック搬送アーム82は、そのロードロックチャンバー80内のホーム位置へと戻る。処理チャンバースロット弁41が閉じられ、ロードロックチャンバー80は大気圧に換気されて開放される。図16(C)に示すように、AFEロボット8によって、第二の未処理ウエハーBがロードロック搬送アームエフェクタエンド84の上部ウエハー棚に載置される。次いで、ロードロックチャンバー80が閉じられ、搬送圧力まで排気される。ポンプダウン排気が完了した後に、ロードロックチャンバー80は、上記の工程10〜12で説明した第一の未処理ウエハーに対するCVD処理が完了するまで、アイドリングのままである。
14.第一のウエハーに対するCVD処理が完了したときに、オゾン放電電力が低下され、液体化学薬品源はインジェクタ−43から逸らされるか、または遮断される。チャックアセンブリー60は、そのロード位置に戻された後に、インジェクタがゼロ化学汚染に達し、また真空クランプ63が第一の未処理ウエハーを除去するための準備に解除されるまで、そのロード位置で数秒待機する。
15.第一の処理済みウエハーA’のクランプを解除した後に、処理チャンバースロット弁41が開放され、チャックリフトピン70が、第一の処理済みウエハーA’をロードロック搬送アームエフェクタ−エンド84の下部ウエハー棚87の僅かに上の高さ(例えば略0.75〜1.5mm上)まで上昇させる。なお、この処理済みウエハーの「アンロード」位置は、工程9の「余熱」位置よりも上であるが、工程8の未処理ウエハーの「ロード」位置よりも下である。
16.エフェクタエンド84の上部ウエハー棚85に第二の未処理ウエハーBを載せたロードロック搬送アーム82は、処理チャンバー40の中に回転して、図16(D)に示すように、それ自身をチャックリフトピン70上の第一の処理済みウエハーに対して同心的に配置する。次いで、チャックリフトピン70は「下方」位置へと下降し、第一の処理済みウエハーA’をエンドエフェクタ84の下部ウエハー棚87に置く。今度は、図16(E)に示すように、ロードロック搬送アームが、下部ウエハー棚87上に第一の処理済みウエハーA’および下部ウエハー棚85上に第二の未処理ウエハーBを載せて回転し、ロードロックチャンバー80の中に戻る。
17.ロードロック搬送アーム82がそのホーム位置へ回転した後に、下部ウエハー棚87上の第一の処理済みウエハーA’は、ウエハー冷却プレート95に配置された冷却プレートリフトピン96によって、エンドエフェクタ−84上で0.75〜1.5mmだけ持ち上げられる。これは、図16(F)に示すように、ロードロック搬送アーム82がそのホーム位置にあるときに、エンドエフェクタ84の直下で且つこれに同心的に位置する。第一の処理済みウエハーA’が冷却プレートリフトピン96によって上昇されたら、図16(G)に示すように、ロードロック搬送アーム82は、第二の未処理ウエハーBをエンドエフェクタ84の上部ウエハー棚85に載せて回転し、処理チャンバー40の中に戻る。今度は、図16(H)に示すように、第二の未処理ウエハーBが、工程8および9で説明したのと同様にして、ウエハーチャックアセンブリー60の上にロードされる。
16.エフェクタエンド84の上部ウエハー棚85に第二の未処理ウエハーBを載せたロードロック搬送アーム82は、処理チャンバー40の中に回転して、図16(D)に示すように、それ自身をチャックリフトピン70上の第一の処理済みウエハーに対して同心的に配置する。次いで、チャックリフトピン70は「下方」位置へと下降し、第一の処理済みウエハーA’をエンドエフェクタ84の下部ウエハー棚87に置く。今度は、図16(E)に示すように、ロードロック搬送アームが、下部ウエハー棚87上に第一の処理済みウエハーA’および下部ウエハー棚85上に第二の未処理ウエハーBを載せて回転し、ロードロックチャンバー80の中に戻る。
17.ロードロック搬送アーム82がそのホーム位置へ回転した後に、下部ウエハー棚87上の第一の処理済みウエハーA’は、ウエハー冷却プレート95に配置された冷却プレートリフトピン96によって、エンドエフェクタ−84上で0.75〜1.5mmだけ持ち上げられる。これは、図16(F)に示すように、ロードロック搬送アーム82がそのホーム位置にあるときに、エンドエフェクタ84の直下で且つこれに同心的に位置する。第一の処理済みウエハーA’が冷却プレートリフトピン96によって上昇されたら、図16(G)に示すように、ロードロック搬送アーム82は、第二の未処理ウエハーBをエンドエフェクタ84の上部ウエハー棚85に載せて回転し、処理チャンバー40の中に戻る。今度は、図16(H)に示すように、第二の未処理ウエハーBが、工程8および9で説明したのと同様にして、ウエハーチャックアセンブリー60の上にロードされる。
18.工程17と同時に、第一の処理済みウエハーAは、図16(G)に示すように、冷却プレート95またはそれよりも僅か上の、冷却プレートリフトピン96の「ピンアップ」位置(例えば、冷却プレート95の表面よりも略0.25mm上)にまで下降される。今度は、ロードロック搬送アーム82が自由に回転して、冷却プレート95上の第一の処理済みウエハーA’の直上にあるホーム位置へと戻る。液体または空気で周囲温度まで冷却され得る冷却プレート95は、処理済みウエハーを略60秒で70℃未満にまで冷却し、その間に、ロードロックチャンバー80は大気圧まで換気される。
19.工程18のロードロック換気が完了したときに、フロントエンドゲート弁10が開き、冷却プレートリフトピン96が第一の処理済みウエハーA''を中間の高さまで上昇させる。図16(H)に示すように、一つは冷却高さとエンドエフェクタ84のアンロード高さの間にある。AFEロボット8は、冷却プレートリフトピン96から第一の処理済みウエハーA''を受取って、図16(I)に示すように、該第一の処理済みウエハーA''をカセット7の中に戻して配置する。
20.フロントエンドゲート弁10が開き、ロードロックチャンバー80が大気圧にある状態で、図16(H)に示し且つ工程13で説明したように、第三の未処理ウエハーCがロードロックチャンバーの中にロードされ、また図16(J)〜(L)に示したようにして後続の工程が繰り返される。
19.工程18のロードロック換気が完了したときに、フロントエンドゲート弁10が開き、冷却プレートリフトピン96が第一の処理済みウエハーA''を中間の高さまで上昇させる。図16(H)に示すように、一つは冷却高さとエンドエフェクタ84のアンロード高さの間にある。AFEロボット8は、冷却プレートリフトピン96から第一の処理済みウエハーA''を受取って、図16(I)に示すように、該第一の処理済みウエハーA''をカセット7の中に戻して配置する。
20.フロントエンドゲート弁10が開き、ロードロックチャンバー80が大気圧にある状態で、図16(H)に示し且つ工程13で説明したように、第三の未処理ウエハーCがロードロックチャンバーの中にロードされ、また図16(J)〜(L)に示したようにして後続の工程が繰り返される。
本発明の方法および装置は、平行処理構成によって、処理チャンバーおよび全体の処理システムのスループットを増大させる。この平行処理構成では、各処理チャンバーが、それ自身の専用ロードロックチャンバーおよび専用のウエハー搬送アームを有し、また二以上のロードロック/処理チャンバーアセンブリーの垂直スタックを可能にする垂直範囲をもった、フロントエンドロボットによってサービスされる。チャンバーアセンブリーをスタックすること、および全体のレイアウトを最適化することによって、当該システムのフットプリントは最小化することができる。夫々の処理チャンバーについて一つの単一軸搬送アームを与えることは、処理チャンバーの中への各ウエハーの経路を単純化し、各処理チャンバーについてウエハーのロード/アンロード時間を減少することによって、処理チャンバーのスループットを増大する。二重ウエハー搬送システムを設けることは、未処理ウエハーおよび処理済みウエハーを夫々のロードロックと処理チャンバーとの間で同時に搬送することにより、ウエハーのロード/アンロード時間を最小化することによって、各処理チャンバーのスループットを増大させる。各ロードロックに冷却プレートを設けることは、他のウエハーの処理と平行して、処理済みウエハーの冷却および除去を可能にすることによって、各処理チャンバーおよび全体の処理システムのスループットを更に増大する。
本発明の特定の実施形態に関する上記説明は、例示および説明の目的で提示したものである。それらは本発明を網羅するものでも、開示された正確な形態に制限するものでもない。上記の教示を考慮すれば、多くの改変および変更が可能であることは明らかである。上記の実施形態は、本発明の原理およびその実際的な適用を最良に説明することにより、他の当業者が、本発明、および考えられる特定の使用に適した種々の変形を伴う様々な実施形態を最良に利用することを可能にするために、選択および説明されたものである。本発明の範囲は、特許請求の範囲およびそれらの均等物によって決定されるものである。この明細書で引用された全ての刊行物および特許出願は、夫々が個別的に本明細書の一部をなすものとして本願組込まれることが指示されたのと同様に、本願に援用されるものである。
Claims (10)
- 半導体ウエハー処理システムであって:
ロードロックチャンバーと半導体ウエハー処理チャンバーとの間で半導体ウエハーを担持し搬送するために適合された、二重ウエハー単一軸搬送アームを具備し、該搬送アームは、単一旋回軸の回りを前記ロードロックチャンバー内で旋回可能に装着されたモノリシックなアームを有し;
前記搬送アームは、前記ロードロックチャンバーと前記処理チャンバーとの間で、少なくとも二つのウエハーを同時に運ぶように適合される半導体ウエハー処理システム。 - 請求項1に記載の半導体ウエハー処理システムであって:前記搬送アームは更に、
後退したホーム位置、および前記搬送アームが前記処理チャンバーの中に伸びる伸長位置であって、前記単一旋回軸は、前記搬送アームを前記後退位置と伸長位置の間で旋回させる上記ホーム位置及び伸長位置と;
前記旋回アームが前記後退位置にあるときに、前記搬送アームの下に配置される冷却プレートとを含む半導体ウエハー処理システム。 - 請求項2に記載の半導体ウエハー処理システムであって、前記冷却プレートは更に、前記冷却プレートと前記搬送アームとの間で前記ウエハーを輸送するための複数のリフトピンを具備する半導体ウエハー処理システム。
- 請求項1に記載の半導体ウエハー処理システムであって、前記搬送アームは更に、処理済みウエハーを運ぶための下部ウエハー棚と、未処理ウエハーを運ぶための上部ウエハー棚とを具備する半導体ウエハー処理システム。
- 請求項1に記載の半導体ウエハー処理システムであって、前記搬送アームは、一つの未処理ウエハーおよび一つの処理済みウエハーを同時に運ぶように適合される半導体ウエハー処理処理システム。
- 半導体ウエハー処理方法であって:
未処理ウエハーを運ぶための上部ウエハー棚および処理済みウエハーを運ぶための下部ウエハー棚を含む搬送アームを有する、ロードロックチャンバーを準備する工程と;
前記ロードロックチャンバーと前記処理チャンバーとの間で、処理済みウエハーおよび未処理ウエハーを同時に搬送する工程とを具備する半導体ウエハー処理方法。 - 請求項6に記載の半導体ウエハー処理方法であって:更に、
前記ロードロックチャンバーと前記処理チャンバーとの間で処理済みウエハーおよび未処理ウエハーを同時に搬送する前に、前記ロードロックチャンバーを排気する工程を具備する半導体ウエハー処理方法。 - 請求項6に記載の半導体ウエハー処理方法であって、前記準備する工程は更に、前記ロードロックチャンバー内に冷却プレートを準備することを含み、前記方法は更に、
前記処理済みウエハーを、前記下部ウエハー棚から前記冷却プレートへ搬送することを含む半導体ウエハー処理方法。 - 請求項8に記載の半導体ウエハー処理方法であって:更に、
前記上部ウエハー棚上の前記未処理ウエハーを、前記ロードロックチャンバーから前記処理チャンバーへ輸送することと;
前記上部ウエハー棚から、前記半導体ウエハーチャンバー内に装着されたウエハーチャックへ前記未処理ウエハーを搬送することと;
前記ウエハーチャックを、後退位置から、前記半導体ウエハー処理チャンバーに装着された化学気相成長インジェクタを通過して伸長位置へと移動させ、それによって未処理ウエハーが処理済みウエハーに処理されることとを含む半導体ウエハー処理方法。 - 請求項6に記載の半導体ウエハー処理方法であって:更に、前記同時に搬送する工程の前に、
第一の未処理ウエハーを、前記搬送アームの上に受取る工程と;
前記第一の未処理ウエハーを前記処理チャンバーへ搬送する工程と;
前記第一の未処理ウエハー第一の処理済みウエハーに処理すると同時に、第二の未処理ウエハーを搬送アームに受取る工程と;
前記第二の未処理ウエハーを前記搬送アーム上に保持しながら、前記搬送アームによって前記第一の処理済みウエハーを受取る工程とを具備する半導体ウエハー処理方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12765099P | 1999-04-02 | 1999-04-02 | |
US12753299P | 1999-04-02 | 1999-04-02 | |
US09/483,945 US6610150B1 (en) | 1999-04-02 | 2000-01-13 | Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000609844A Division JP2002541657A (ja) | 1999-04-02 | 2000-03-21 | 垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2006216983A true JP2006216983A (ja) | 2006-08-17 |
Family
ID=27383593
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000609844A Pending JP2002541657A (ja) | 1999-04-02 | 2000-03-21 | 垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム |
JP2006109009A Pending JP2006216983A (ja) | 1999-04-02 | 2006-04-11 | 垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000609844A Pending JP2002541657A (ja) | 1999-04-02 | 2000-03-21 | 垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム |
Country Status (12)
Country | Link |
---|---|
US (3) | US6610150B1 (ja) |
EP (1) | EP1166180B1 (ja) |
JP (2) | JP2002541657A (ja) |
KR (1) | KR100455226B1 (ja) |
CN (1) | CN1348552A (ja) |
AT (1) | ATE311619T1 (ja) |
AU (1) | AU3906900A (ja) |
CA (1) | CA2369042A1 (ja) |
DE (1) | DE60024424T2 (ja) |
IL (1) | IL145678A0 (ja) |
TW (2) | TWI251252B (ja) |
WO (1) | WO2000060414A1 (ja) |
Families Citing this family (116)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0936198A (ja) * | 1995-07-19 | 1997-02-07 | Hitachi Ltd | 真空処理装置およびそれを用いた半導体製造ライン |
US6949143B1 (en) * | 1999-12-15 | 2005-09-27 | Applied Materials, Inc. | Dual substrate loadlock process equipment |
US6429139B1 (en) * | 1999-12-17 | 2002-08-06 | Eaton Corporation | Serial wafer handling mechanism |
US6977014B1 (en) | 2000-06-02 | 2005-12-20 | Novellus Systems, Inc. | Architecture for high throughput semiconductor processing applications |
US6860965B1 (en) * | 2000-06-23 | 2005-03-01 | Novellus Systems, Inc. | High throughput architecture for semiconductor processing |
JP5021112B2 (ja) * | 2000-08-11 | 2012-09-05 | キヤノンアネルバ株式会社 | 真空処理装置 |
KR20030032034A (ko) * | 2000-09-15 | 2003-04-23 | 어플라이드 머티어리얼스, 인코포레이티드 | 처리 장비용 두 개의 이중 슬롯 로드록 |
US6485248B1 (en) * | 2000-10-10 | 2002-11-26 | Applied Materials, Inc. | Multiple wafer lift apparatus and associated method |
JP3955724B2 (ja) * | 2000-10-12 | 2007-08-08 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
KR20020072449A (ko) * | 2001-03-10 | 2002-09-16 | 주식회사 아이피에스 | 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법 |
US20020137346A1 (en) * | 2001-03-12 | 2002-09-26 | Applied Materials. Inc. | Workpiece distribution and processing in a high throughput stacked frame |
US20020154975A1 (en) * | 2001-04-18 | 2002-10-24 | Applied Materials, Inc. | Method and apparatus for wafer exchange employing stacked robot blades |
US7231141B2 (en) * | 2001-04-23 | 2007-06-12 | Asm America, Inc. | High temperature drop-off of a substrate |
KR100417245B1 (ko) * | 2001-05-02 | 2004-02-05 | 주성엔지니어링(주) | 웨이퍼 가공을 위한 클러스터 툴 |
ATE360885T1 (de) * | 2001-05-31 | 2007-05-15 | S E S Company Ltd | Substratreinigungssystem |
US6663333B2 (en) * | 2001-07-13 | 2003-12-16 | Axcelis Technologies, Inc. | Wafer transport apparatus |
US7316966B2 (en) * | 2001-09-21 | 2008-01-08 | Applied Materials, Inc. | Method for transferring substrates in a load lock chamber |
KR20030038396A (ko) * | 2001-11-01 | 2003-05-16 | 에이에스엠엘 유에스, 인코포레이티드 | 우선적인 화학 기상 증착 장치 및 방법 |
KR100454393B1 (ko) * | 2001-12-18 | 2004-10-26 | 코스텍시스템(주) | 복층 수직형 매엽식 반도체 웨이퍼 처리장치 |
TWI274393B (en) * | 2002-04-08 | 2007-02-21 | Acm Res Inc | Electropolishing and/or electroplating apparatus and methods |
US20040018735A1 (en) * | 2002-05-21 | 2004-01-29 | Park Seung G. | Method of depositing an oxide film by chemical vapor deposition |
US6913652B2 (en) * | 2002-06-17 | 2005-07-05 | Applied Materials, Inc. | Gas flow division in a wafer processing system having multiple chambers |
US7032287B1 (en) * | 2002-07-19 | 2006-04-25 | Nanometrics Incorporated | Edge grip chuck |
EP1560944B1 (en) * | 2002-11-15 | 2014-03-05 | TEL Solar AG | Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates |
US20040099283A1 (en) * | 2002-11-26 | 2004-05-27 | Axcelis Technologies, Inc. | Drying process for low-k dielectric films |
SG115629A1 (en) | 2003-03-11 | 2005-10-28 | Asml Netherlands Bv | Method and apparatus for maintaining a machine part |
SG115631A1 (en) * | 2003-03-11 | 2005-10-28 | Asml Netherlands Bv | Lithographic projection assembly, load lock and method for transferring objects |
JP4303041B2 (ja) * | 2003-06-18 | 2009-07-29 | 株式会社ディスコ | 半導体ウエーハの加工装置 |
CN101894779B (zh) * | 2003-08-29 | 2013-05-01 | 交叉自动控制公司 | 用于半导体处理的方法和装置 |
JP4137750B2 (ja) * | 2003-09-17 | 2008-08-20 | 株式会社Sokudo | 熱処理装置、熱処理方法および基板処理装置 |
KR100699994B1 (ko) * | 2004-08-30 | 2007-03-26 | 삼성에스디아이 주식회사 | 라미네이션 장비 및 레이저 열전사 방법 |
US7207766B2 (en) | 2003-10-20 | 2007-04-24 | Applied Materials, Inc. | Load lock chamber for large area substrate processing system |
KR100583724B1 (ko) * | 2003-10-29 | 2006-05-25 | 삼성전자주식회사 | 기판 이송 장치 |
US20050115492A1 (en) * | 2003-11-28 | 2005-06-02 | Chia-Cheng Liu | Method and apparatus of the chemical metal organic vapor epitaxy for the multi-chamber epitaxy layer deposition |
US20050205210A1 (en) * | 2004-01-06 | 2005-09-22 | Devine Daniel J | Advanced multi-pressure workpiece processing |
US6987272B2 (en) * | 2004-03-05 | 2006-01-17 | Axcelis Technologies, Inc. | Work piece transfer system for an ion beam implanter |
US7246985B2 (en) * | 2004-04-16 | 2007-07-24 | Axcelis Technologies, Inc. | Work-piece processing system |
US8668422B2 (en) * | 2004-08-17 | 2014-03-11 | Mattson Technology, Inc. | Low cost high throughput processing platform |
KR20070089197A (ko) * | 2004-11-22 | 2007-08-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 배치 처리 챔버를 사용한 기판 처리 기기 |
KR100613343B1 (ko) * | 2004-12-22 | 2006-08-21 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조 장치 |
US7819079B2 (en) * | 2004-12-22 | 2010-10-26 | Applied Materials, Inc. | Cartesian cluster tool configuration for lithography type processes |
US7255747B2 (en) * | 2004-12-22 | 2007-08-14 | Sokudo Co., Ltd. | Coat/develop module with independent stations |
US20060182535A1 (en) * | 2004-12-22 | 2006-08-17 | Mike Rice | Cartesian robot design |
US7651306B2 (en) * | 2004-12-22 | 2010-01-26 | Applied Materials, Inc. | Cartesian robot cluster tool architecture |
US7798764B2 (en) * | 2005-12-22 | 2010-09-21 | Applied Materials, Inc. | Substrate processing sequence in a cartesian robot cluster tool |
US8821099B2 (en) * | 2005-07-11 | 2014-09-02 | Brooks Automation, Inc. | Load port module |
US20070028842A1 (en) * | 2005-08-02 | 2007-02-08 | Makoto Inagawa | Vacuum chamber bottom |
CN100358097C (zh) * | 2005-08-05 | 2007-12-26 | 中微半导体设备(上海)有限公司 | 半导体工艺处理系统及其处理方法 |
US7470919B2 (en) * | 2005-09-30 | 2008-12-30 | Applied Materials, Inc. | Substrate support assembly with thermal isolating plate |
US20070084406A1 (en) * | 2005-10-13 | 2007-04-19 | Joseph Yudovsky | Reaction chamber with opposing pockets for gas injection and exhaust |
US20070084408A1 (en) * | 2005-10-13 | 2007-04-19 | Applied Materials, Inc. | Batch processing chamber with diffuser plate and injector assembly |
CN100394574C (zh) * | 2005-12-08 | 2008-06-11 | 北京圆合电子技术有限责任公司 | 具有流量控制的平台真空气路系统及其控制方法 |
US7845891B2 (en) | 2006-01-13 | 2010-12-07 | Applied Materials, Inc. | Decoupled chamber body |
WO2007101207A2 (en) * | 2006-02-27 | 2007-09-07 | Anaconda Semi Lp | Process chambers for substrate vacuum processing tool |
US8322299B2 (en) * | 2006-05-17 | 2012-12-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cluster processing apparatus for metallization processing in semiconductor manufacturing |
US7665951B2 (en) | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
US7845618B2 (en) | 2006-06-28 | 2010-12-07 | Applied Materials, Inc. | Valve door with ball coupling |
US8124907B2 (en) | 2006-08-04 | 2012-02-28 | Applied Materials, Inc. | Load lock chamber with decoupled slit valve door seal compartment |
US9117859B2 (en) | 2006-08-31 | 2015-08-25 | Brooks Automation, Inc. | Compact processing apparatus |
KR100717990B1 (ko) * | 2007-01-16 | 2007-05-14 | (주)인터노바 | 반도체 자재 처리를 위한 이송 시스템 |
US20080210168A1 (en) | 2007-01-18 | 2008-09-04 | May Su | Single chamber, multiple tube high efficiency vertical furnace system |
US7675048B2 (en) * | 2007-03-06 | 2010-03-09 | Varian Semiconductor Equipment Associates, Inc. | Wafer holding robot end effecter vertical position determination in ion implanter system |
WO2008116222A2 (en) * | 2007-03-22 | 2008-09-25 | Crossing Automation, Inc. | A modular cluster tool |
US7531368B2 (en) * | 2007-03-30 | 2009-05-12 | Tokyo Electron Limited | In-line lithography and etch system |
KR100862895B1 (ko) * | 2007-08-21 | 2008-10-13 | 세메스 주식회사 | 탄소나노튜브 합성 방법, 이를 적용한 탄소나노튜브 합성장치 및 시스템 |
US8060252B2 (en) | 2007-11-30 | 2011-11-15 | Novellus Systems, Inc. | High throughput method of in transit wafer position correction in system using multiple robots |
US9002514B2 (en) | 2007-11-30 | 2015-04-07 | Novellus Systems, Inc. | Wafer position correction with a dual, side-by-side wafer transfer robot |
US8033769B2 (en) | 2007-11-30 | 2011-10-11 | Novellus Systems, Inc. | Loadlock designs and methods for using same |
JP4975605B2 (ja) * | 2007-12-26 | 2012-07-11 | 東京エレクトロン株式会社 | 処理システム、処理システムの制御方法およびソフトウェアのバージョンアップ方法 |
WO2009114965A1 (en) * | 2008-03-19 | 2009-09-24 | Acm Research (Shanghai) Inc. | Electrochemical deposition system |
US10041169B2 (en) * | 2008-05-27 | 2018-08-07 | Picosun Oy | System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor |
US8288288B1 (en) | 2008-06-16 | 2012-10-16 | Novellus Systems, Inc. | Transferring heat in loadlocks |
KR101022314B1 (ko) * | 2008-07-28 | 2011-03-21 | 주식회사 에스에프에이 | 박막 태양전지 제조용 화학 기상 증착 장치 |
US8282334B2 (en) | 2008-08-01 | 2012-10-09 | Picosun Oy | Atomic layer deposition apparatus and loading methods |
US8033771B1 (en) | 2008-12-11 | 2011-10-11 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
JP4707749B2 (ja) * | 2009-04-01 | 2011-06-22 | 東京エレクトロン株式会社 | 基板交換方法及び基板処理装置 |
US8847122B2 (en) * | 2009-06-08 | 2014-09-30 | Macronix International Co., Ltd. | Method and apparatus for transferring substrate |
JP5328726B2 (ja) * | 2009-08-25 | 2013-10-30 | 三星ディスプレイ株式會社 | 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法 |
JP5611718B2 (ja) * | 2009-08-27 | 2014-10-22 | 三星ディスプレイ株式會社Samsung Display Co.,Ltd. | 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法 |
JP5677785B2 (ja) | 2009-08-27 | 2015-02-25 | 三星ディスプレイ株式會社Samsung Display Co.,Ltd. | 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法 |
US20110052795A1 (en) * | 2009-09-01 | 2011-03-03 | Samsung Mobile Display Co., Ltd. | Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same |
US8876975B2 (en) | 2009-10-19 | 2014-11-04 | Samsung Display Co., Ltd. | Thin film deposition apparatus |
KR101084184B1 (ko) * | 2010-01-11 | 2011-11-17 | 삼성모바일디스플레이주식회사 | 박막 증착 장치 |
KR101174875B1 (ko) * | 2010-01-14 | 2012-08-17 | 삼성디스플레이 주식회사 | 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치 |
KR101193186B1 (ko) | 2010-02-01 | 2012-10-19 | 삼성디스플레이 주식회사 | 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치 |
KR101156441B1 (ko) * | 2010-03-11 | 2012-06-18 | 삼성모바일디스플레이주식회사 | 박막 증착 장치 |
KR101202348B1 (ko) | 2010-04-06 | 2012-11-16 | 삼성디스플레이 주식회사 | 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법 |
US8894458B2 (en) | 2010-04-28 | 2014-11-25 | Samsung Display Co., Ltd. | Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method |
KR101223723B1 (ko) | 2010-07-07 | 2013-01-18 | 삼성디스플레이 주식회사 | 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치 |
KR101678056B1 (ko) | 2010-09-16 | 2016-11-22 | 삼성디스플레이 주식회사 | 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치 |
KR101723506B1 (ko) | 2010-10-22 | 2017-04-19 | 삼성디스플레이 주식회사 | 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 |
KR101738531B1 (ko) | 2010-10-22 | 2017-05-23 | 삼성디스플레이 주식회사 | 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치 |
KR20120045865A (ko) | 2010-11-01 | 2012-05-09 | 삼성모바일디스플레이주식회사 | 유기층 증착 장치 |
KR20120065789A (ko) | 2010-12-13 | 2012-06-21 | 삼성모바일디스플레이주식회사 | 유기층 증착 장치 |
KR101760897B1 (ko) | 2011-01-12 | 2017-07-25 | 삼성디스플레이 주식회사 | 증착원 및 이를 구비하는 유기막 증착 장치 |
RU2573398C2 (ru) * | 2011-04-22 | 2016-01-20 | МЭППЕР ЛИТОГРАФИ АйПи Б.В. | Сетевая архитектура и протокол для кластера литографических машин |
KR101840654B1 (ko) | 2011-05-25 | 2018-03-22 | 삼성디스플레이 주식회사 | 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 |
KR101852517B1 (ko) | 2011-05-25 | 2018-04-27 | 삼성디스플레이 주식회사 | 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 |
KR101857249B1 (ko) | 2011-05-27 | 2018-05-14 | 삼성디스플레이 주식회사 | 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치 |
KR101826068B1 (ko) | 2011-07-04 | 2018-02-07 | 삼성디스플레이 주식회사 | 유기층 증착 장치 |
KR20130004830A (ko) | 2011-07-04 | 2013-01-14 | 삼성디스플레이 주식회사 | 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법 |
US9305815B2 (en) | 2012-03-01 | 2016-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Automated material handling system and method for semiconductor manufacturing |
CN103276369B (zh) * | 2013-05-06 | 2016-02-17 | 南方科技大学 | 一种pecvd镀膜系统 |
CN105309062B (zh) * | 2013-06-07 | 2018-12-21 | 株式会社富士 | 电子设备组装机 |
KR102108361B1 (ko) | 2013-06-24 | 2020-05-11 | 삼성디스플레이 주식회사 | 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 |
US20150041062A1 (en) * | 2013-08-12 | 2015-02-12 | Lam Research Corporation | Plasma processing chamber with removable body |
KR101527901B1 (ko) * | 2013-10-10 | 2015-06-10 | 피에스케이 주식회사 | 기판 처리 장치 및 기판 반송 방법 |
US10113236B2 (en) | 2014-05-14 | 2018-10-30 | Applied Materials, Inc. | Batch curing chamber with gas distribution and individual pumping |
US20170194174A1 (en) * | 2015-12-30 | 2017-07-06 | Applied Materials, Inc. | Quad chamber and platform having multiple quad chambers |
US11694907B2 (en) * | 2016-08-04 | 2023-07-04 | Kokusai Electric Corporation | Substrate processing apparatus, recording medium, and fluid circulation mechanism |
JP6270952B1 (ja) * | 2016-09-28 | 2018-01-31 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法および記録媒体。 |
US10003018B1 (en) * | 2017-05-08 | 2018-06-19 | Tokyo Electron Limited | Vertical multi-batch magnetic annealing systems for reduced footprint manufacturing environments |
WO2018213014A1 (en) * | 2017-05-16 | 2018-11-22 | Smartfabs Corporation | Method and system to route semiconductor parts to machines distributed in a multi-building plant |
US10796940B2 (en) | 2018-11-05 | 2020-10-06 | Lam Research Corporation | Enhanced automatic wafer centering system and techniques for same |
US10770338B2 (en) * | 2018-12-19 | 2020-09-08 | Globalfoundries Inc. | System comprising a single wafer, reduced volume process chamber |
CN115418630B (zh) * | 2022-07-19 | 2024-08-02 | 江苏晋誉达半导体股份有限公司 | 一种化学气相沉积设备的硅片连续反应冷却装置 |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH03136345A (ja) * | 1989-10-23 | 1991-06-11 | Fuji Electric Co Ltd | 半導体ウエーハ処理装置 |
JPH04141587A (ja) * | 1990-10-01 | 1992-05-15 | Nec Corp | スパッタリング装置 |
US5275709A (en) * | 1991-11-07 | 1994-01-04 | Leybold Aktiengesellschaft | Apparatus for coating substrates, preferably flat, more or less plate-like substrates |
JPH06314730A (ja) * | 1993-04-28 | 1994-11-08 | Tel Varian Ltd | 真空処理装置 |
JPH08274143A (ja) * | 1995-02-02 | 1996-10-18 | Tokyo Electron Ltd | 搬送装置及び搬送方法 |
JPH08321470A (ja) * | 1995-05-26 | 1996-12-03 | Tokyo Electron Ltd | 処理装置 |
US5664254A (en) * | 1995-02-02 | 1997-09-02 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
US5664925A (en) * | 1995-07-06 | 1997-09-09 | Brooks Automation, Inc. | Batchloader for load lock |
JPH1030183A (ja) * | 1996-07-19 | 1998-02-03 | Tokyo Ohka Kogyo Co Ltd | ロードロック室を備えた基板の処理装置 |
US5751003A (en) * | 1996-02-16 | 1998-05-12 | Eaton Corporation | Loadlock assembly for an ion implantation system |
JPH11140645A (ja) * | 1997-09-12 | 1999-05-25 | Balzers Ag | スパッタ・ステーション |
JPH11513006A (ja) * | 1995-07-06 | 1999-11-09 | ブルックス オートメーション インコーポレイテッド | 基板キャリアおよびロードロック用ドア駆動装置 |
JP2000505583A (ja) * | 1996-02-16 | 2000-05-09 | イートン コーポレーション | イオン注入機におけるドーズ量測定制御を行うための制御機構 |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3220331A (en) | 1965-01-27 | 1965-11-30 | Kulicke And Soffa Mfg Company | Contact printing mask alignment apparatus for semiconductor wafer geometry |
US4423701A (en) | 1982-03-29 | 1984-01-03 | Energy Conversion Devices, Inc. | Glow discharge deposition apparatus including a non-horizontally disposed cathode |
US5259881A (en) | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
DE3427057A1 (de) | 1984-07-23 | 1986-01-23 | Standard Elektrik Lorenz Ag, 7000 Stuttgart | Anlage zum herstellen von halbleiter-schichtstrukturen durch epitaktisches wachstum |
JPS63128710A (ja) | 1986-11-19 | 1988-06-01 | Mitsubishi Electric Corp | 反応炉 |
US4816098A (en) | 1987-07-16 | 1989-03-28 | Texas Instruments Incorporated | Apparatus for transferring workpieces |
JP2502661B2 (ja) | 1988-03-04 | 1996-05-29 | 松下電器産業株式会社 | 気相成長装置 |
JP2867194B2 (ja) * | 1992-02-05 | 1999-03-08 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US5695568A (en) * | 1993-04-05 | 1997-12-09 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US5518542A (en) * | 1993-11-05 | 1996-05-21 | Tokyo Electron Limited | Double-sided substrate cleaning apparatus |
US5588827A (en) | 1993-12-17 | 1996-12-31 | Brooks Automation Inc. | Passive gas substrate thermal conditioning apparatus and method |
CN1137296A (zh) | 1993-12-17 | 1996-12-04 | 布鲁克斯自动化公司 | 加热或冷却晶片的设备 |
DE4408537A1 (de) * | 1994-03-14 | 1995-09-21 | Leybold Ag | Vorrichtung für den Transport von Substraten |
JPH0846013A (ja) | 1994-05-23 | 1996-02-16 | Tokyo Electron Ltd | マルチチャンバ処理システム用搬送装置 |
JPH07321178A (ja) * | 1994-05-24 | 1995-12-08 | Hitachi Ltd | 搬送装置およびその搬送装置を有するマルチチャンバ装置 |
US6200389B1 (en) * | 1994-07-18 | 2001-03-13 | Silicon Valley Group Thermal Systems Llc | Single body injector and deposition chamber |
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5613821A (en) | 1995-07-06 | 1997-03-25 | Brooks Automation, Inc. | Cluster tool batchloader of substrate carrier |
US5607276A (en) | 1995-07-06 | 1997-03-04 | Brooks Automation, Inc. | Batchloader for substrate carrier on load lock |
TW318258B (ja) | 1995-12-12 | 1997-10-21 | Tokyo Electron Co Ltd | |
US5810549A (en) * | 1996-04-17 | 1998-09-22 | Applied Materials, Inc. | Independent linear dual-blade robot and method for transferring wafers |
US5954472A (en) * | 1996-07-15 | 1999-09-21 | Brooks Automation, Inc. | Batch loader arm |
KR100269097B1 (ko) * | 1996-08-05 | 2000-12-01 | 엔도 마코토 | 기판처리장치 |
JPH10107124A (ja) | 1996-08-05 | 1998-04-24 | Kokusai Electric Co Ltd | 基板処理装置 |
US5893699A (en) * | 1996-10-31 | 1999-04-13 | Phase Metrics, Inc. | End effector for a robotic arm of a disk certifier |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US6073366A (en) | 1997-07-11 | 2000-06-13 | Asm America, Inc. | Substrate cooling system and method |
JPH11135600A (ja) * | 1997-08-25 | 1999-05-21 | Shibaura Mechatronics Corp | ロボット装置および処理装置 |
US6722834B1 (en) * | 1997-10-08 | 2004-04-20 | Applied Materials, Inc. | Robot blade with dual offset wafer supports |
US6585478B1 (en) * | 2000-11-07 | 2003-07-01 | Asm America, Inc. | Semiconductor handling robot with improved paddle-type end effector |
-
2000
- 2000-01-13 US US09/483,945 patent/US6610150B1/en not_active Expired - Fee Related
- 2000-03-21 CA CA002369042A patent/CA2369042A1/en not_active Abandoned
- 2000-03-21 CN CN00806652A patent/CN1348552A/zh active Pending
- 2000-03-21 AU AU39069/00A patent/AU3906900A/en not_active Abandoned
- 2000-03-21 JP JP2000609844A patent/JP2002541657A/ja active Pending
- 2000-03-21 DE DE60024424T patent/DE60024424T2/de not_active Expired - Fee Related
- 2000-03-21 EP EP00918219A patent/EP1166180B1/en not_active Expired - Lifetime
- 2000-03-21 AT AT00918219T patent/ATE311619T1/de not_active IP Right Cessation
- 2000-03-21 KR KR10-2001-7012462A patent/KR100455226B1/ko not_active IP Right Cessation
- 2000-03-21 WO PCT/US2000/007509 patent/WO2000060414A1/en active IP Right Grant
- 2000-03-31 TW TW092126373A patent/TWI251252B/zh not_active IP Right Cessation
- 2000-03-31 TW TW089106019A patent/TWI238438B/zh not_active IP Right Cessation
- 2000-04-21 IL IL14567800A patent/IL145678A0/xx unknown
-
2001
- 2001-01-22 US US09/767,659 patent/US20010010950A1/en not_active Abandoned
- 2001-11-27 US US09/996,869 patent/US6846149B2/en not_active Expired - Fee Related
-
2006
- 2006-04-11 JP JP2006109009A patent/JP2006216983A/ja active Pending
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH03136345A (ja) * | 1989-10-23 | 1991-06-11 | Fuji Electric Co Ltd | 半導体ウエーハ処理装置 |
JPH04141587A (ja) * | 1990-10-01 | 1992-05-15 | Nec Corp | スパッタリング装置 |
US5275709A (en) * | 1991-11-07 | 1994-01-04 | Leybold Aktiengesellschaft | Apparatus for coating substrates, preferably flat, more or less plate-like substrates |
JPH06314730A (ja) * | 1993-04-28 | 1994-11-08 | Tel Varian Ltd | 真空処理装置 |
US5664254A (en) * | 1995-02-02 | 1997-09-02 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
JPH08274143A (ja) * | 1995-02-02 | 1996-10-18 | Tokyo Electron Ltd | 搬送装置及び搬送方法 |
JPH08321470A (ja) * | 1995-05-26 | 1996-12-03 | Tokyo Electron Ltd | 処理装置 |
US5664925A (en) * | 1995-07-06 | 1997-09-09 | Brooks Automation, Inc. | Batchloader for load lock |
JPH11513006A (ja) * | 1995-07-06 | 1999-11-09 | ブルックス オートメーション インコーポレイテッド | 基板キャリアおよびロードロック用ドア駆動装置 |
US5751003A (en) * | 1996-02-16 | 1998-05-12 | Eaton Corporation | Loadlock assembly for an ion implantation system |
JP2000505583A (ja) * | 1996-02-16 | 2000-05-09 | イートン コーポレーション | イオン注入機におけるドーズ量測定制御を行うための制御機構 |
JPH1030183A (ja) * | 1996-07-19 | 1998-02-03 | Tokyo Ohka Kogyo Co Ltd | ロードロック室を備えた基板の処理装置 |
JPH11140645A (ja) * | 1997-09-12 | 1999-05-25 | Balzers Ag | スパッタ・ステーション |
Also Published As
Publication number | Publication date |
---|---|
AU3906900A (en) | 2000-10-23 |
IL145678A0 (en) | 2002-06-30 |
KR20010110471A (ko) | 2001-12-13 |
DE60024424T2 (de) | 2006-07-27 |
TW200401331A (en) | 2004-01-16 |
CN1348552A (zh) | 2002-05-08 |
US6610150B1 (en) | 2003-08-26 |
EP1166180A1 (en) | 2002-01-02 |
WO2000060414A1 (en) | 2000-10-12 |
EP1166180B1 (en) | 2005-11-30 |
EP1166180A4 (en) | 2002-11-06 |
TWI251252B (en) | 2006-03-11 |
US20020033136A1 (en) | 2002-03-21 |
US6846149B2 (en) | 2005-01-25 |
JP2002541657A (ja) | 2002-12-03 |
US20010010950A1 (en) | 2001-08-02 |
DE60024424D1 (de) | 2006-01-05 |
CA2369042A1 (en) | 2000-10-12 |
ATE311619T1 (de) | 2005-12-15 |
TWI238438B (en) | 2005-08-21 |
KR100455226B1 (ko) | 2004-11-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2006216983A (ja) | 垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム | |
KR101058326B1 (ko) | 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼 | |
US6630053B2 (en) | Semiconductor processing module and apparatus | |
US6174377B1 (en) | Processing chamber for atomic layer deposition processes | |
US5863170A (en) | Modular process system | |
US5667592A (en) | Process chamber sleeve with ring seals for isolating individual process modules in a common cluster | |
TWI335618B (en) | Substrate processing apparatus using a batch processing chamber | |
US20080202892A1 (en) | Stacked process chambers for substrate vacuum processing tool | |
EP1182695B1 (en) | Semiconductor processing module and apparatus | |
US20080206036A1 (en) | Magnetic media processing tool with storage bays and multi-axis robot arms | |
US20080202420A1 (en) | Semiconductor substrate processing apparatus with horizontally clustered vertical stacks | |
US20080206022A1 (en) | Mult-axis robot arms in substrate vacuum processing tool | |
US20080202419A1 (en) | Gas manifold directly attached to substrate processing chamber | |
US20080202687A1 (en) | Stacked process chambers for flat-panel display processing tool | |
US20080206021A1 (en) | Stacked process chambers for magnetic media processing tool | |
US20080206023A1 (en) | Semiconductor substrate processing apparatus with horizontally clustered vertical stacks | |
US20080202686A1 (en) | Self-contained process modules for magnetic media processing tool | |
US20080206020A1 (en) | Flat-panel display processing tool with storage bays and multi-axis robot arms | |
US20080202417A1 (en) | Self-contained process modules for vacuum processing tool | |
US20080202410A1 (en) | Multi-substrate size vacuum processing tool |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090119 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090413 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090416 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090924 |