KR20010110471A - 수직 적층된 처리챔버와 단일 축 이중 웨이퍼이송시스템을 갖춘 반도체 웨이퍼 처리시스템 - Google Patents

수직 적층된 처리챔버와 단일 축 이중 웨이퍼이송시스템을 갖춘 반도체 웨이퍼 처리시스템 Download PDF

Info

Publication number
KR20010110471A
KR20010110471A KR1020017012462A KR20017012462A KR20010110471A KR 20010110471 A KR20010110471 A KR 20010110471A KR 1020017012462 A KR1020017012462 A KR 1020017012462A KR 20017012462 A KR20017012462 A KR 20017012462A KR 20010110471 A KR20010110471 A KR 20010110471A
Authority
KR
South Korea
Prior art keywords
wafer
chamber
processing
transfer arm
semiconductor wafer
Prior art date
Application number
KR1020017012462A
Other languages
English (en)
Other versions
KR100455226B1 (ko
Inventor
리차드 엔. 새비지
프랭크 에스. 메나그
헬더 알. 카발헤라
필립 에이. 트로이아니
단 엘. 코쎈틴
에릭 알. 보우간
브루스 이. 메이어
Original Assignee
추후제출
실리콘 밸리 그룹 써어멀 시스템즈, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 추후제출, 실리콘 밸리 그룹 써어멀 시스템즈, 엘엘씨 filed Critical 추후제출
Publication of KR20010110471A publication Critical patent/KR20010110471A/ko
Application granted granted Critical
Publication of KR100455226B1 publication Critical patent/KR100455226B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Abstract

반도체 웨이퍼 처리시스템은 수직으로 적층된 반도체 웨이퍼 처리챔버와 각각의 반도체 웨이퍼 처리챔버에 전용으로 사용되는 로드록 챔버를 갖춘 다중 챔버 모듈를 포함한다. 각각의 처리 챔버는 웨이퍼 처리 중에 웨이퍼를 유지하는 척을 포함한다. 상기 다중 챔버 모듈은 선형 배열로 지향될 수 있다. 상기 시스템은 단일 피봇 축선 주위의 로드록 챔버 내에 피봇가능하게 장착된 모놀리식 아암을 갖춘 이중-웨이퍼 단-축선 이송아암을 구비한 장치를 더 포함한다. 상기 장치는 두 개의 웨이퍼, 즉 하나의 미처리 웨이와 하나의 처리 웨이퍼를 로드록 챔버와 처리챔버 사이에서 동시에 운반한다. 상기 시스템을 이용하는 방법도 제공된다.

Description

수직 적층된 처리챔버와 단일 축 이중 웨이퍼 이송시스템을 갖춘 반도체 웨이퍼 처리시스템 {SEMICONDUCTOR WAFER PROCESSING SYSTEM WITH VERTICALLY-STACKED PROCESS CHAMBERS AND SINGLE-AXIS DUAL-WAFER TRANSFER SYSTEM}
관련 출원
본 출원은 1999년 4월 2일자로 "수직으로 적층된 처리챔버를 갖춘 근대기압 CVD 시스템"이란 명칭으로 출원된 미국 임시 특허 출원번호 60/127,532호를 우선권으로 주장한다.
본 출원은 또한 1999년 4월 2일자로 "단일 축 이중 웨이퍼 이송시스템"이란 명칭으로 출원된 미국 임시 특허 출원번호 60/127650호를 우선권으로 주장한다.
종래에, 로드 챔버와 처리 챔버 사이의 웨이퍼 이송은 복잡한 장치에 의해 수행되었다. 장치의 복잡함은 장치의 고비용화, 웨이퍼 처리속도의 늦춤, 및 빈번한 고장을 초래했다.
종래의 웨이퍼 장치의 일 예가 린네바흐 등에 의해 "적층성장에 의해 반도체 층 구조물을 생성하기 위한 시스템"이란 명칭으로 출원된 미국 특허 제 4,934,315호이다. 이러한 다층 반응 챔버시스템은 처리될 웨이퍼를 수용하는데, 이들 웨이퍼는 대기압 처리기 내부에 있는 각각의 홀더 내측에 장전된다. 홀더와 웨이퍼는 각각의 웨이퍼를 운반하는 각각의 홀더가 다중 반응챔버를 통과하는 직선로를 따라 순차적으로 이송되는 장전 챔버내에 적층된다. 반응 챔버는 직선로를 따라 수평으로 정렬된다.
히라야마에 의해 "반응로 및 그의 작동방법"이란 명칭으로 출원된 미국 특허 제 4,822,756호에는 엘리베이터 캡슐로부터 장전 챔버를 통해 처리 챔버의 내측으로 회전되는 웨이퍼 지지보트를 포함하는 반응로가 설명되어 있다. 비록 장전 챔버와 처리챔버가 수직방향으로 적층되어 있을지라도, 가압 가스시스템과 진공 시스템은 처리챔버로부터 수평으로 배열되어 있으며, 이로 인해 반응로의 풋프린트를 증가시키는 단점이 있다.
나쓰 등에 의해 "비-수평 배열식 음극을 갖춘 글로우 방전 증착장치"라는 명칭으로 출원된 미국 특허 제 4,423,701호에는 처리할 웨이퍼 또는 기판을 수평으로 지향시키는 증착챔버를 갖춘 다중 챔버 글로우 방전 증착장치가 설명되어 있다. 증착 채멉는 서로에 대해 수평방향으로 지향되도록 구성되어 있다. 회전가능한 아암은 기판을 챔버에서 축출시켜 채널 형성된 가이드를 따라 일방향으로 아암이 기판을 밀게 된다.
데이비스 등에 의해 "피가공재를 이송하기 위한 장치"라는 명칭으로 출원된 미국 특허 제 4,816,098호에는 웨이퍼의 오염을 감소시키도록 진공상태로 유지되는 진공 웨이퍼 캐리어 내부의 시스템 상에 웨이퍼가 장전되는 시스템이 설명되어 있다. 웨이퍼는 한 번에 단지 하나의 웨이퍼를 이송할 수 있는 2-축 로봇 아암 및 다중 처리 모듈을 갖춘 클러스터 공구의 내측으로 진공 로드록을 거쳐서 이송된다.
오꾸라 등에 의해 "기판 처리장치 및 기판 처리방법"으로 출원된 미국 특허 제 5,664,2554호에는 복수의 처리 유닛을 위한 적층 배열장치가 설명되어 있다. 비록 처리 유닛이 수직으로 적층될 수 있더라도, 각각의 처리유닛에 기판을 이송하기 위해 단지 하나의 주 처리기만이 제공되므로, 각 처리유닛의 처리량이 최대화될 수 없다. 상기 특허에는 기판 또는 웨이퍼를 이송하기 위해 3단 구조로 배열된 복수의 홀딩 아암도 설명되어 있다. 상기 홀딩 아암은 주 처리기 위에 장착되며 수직 구동장치, 및 구동 모터를 가지며 각각의 홀딩 아암을 작동시키도록 벨트 연결된 수평 지향식 운반 베이스와 결합되어 있는 모터를 포함하는 복잡한 장치에 의해 작동된다.
마쯔시따 등에 의해 "수직 로드록을 갖춘 감압식 화학 증착장치"란 명칭으로 출원된 미국 특허 제 5,058,526호에는 종래의 클러스터 공구에서 볼 수 있는 로드록 챔버와 유사한 로딩/언로딩 챔버가 설명되어 있다. 로딩/언로딩 챔버의 언로딩부 내부에 위치된 냉매-순환 튜브를 포함하는 냉각기가 처리된 웨이퍼를 냉각시킨다.
무라 등에 의해 "로드록을 위한 배치로더(batchloader for load lock)"이란 명칭으로 출원된 미국 특허 제 5,664,925호에는 종래의 단일 웨이퍼 교차식 이송 아암"이 설명되어 있다. 이와 유사한 종래의 단일-웨이퍼 교차식 이송아암이 무카 등에 의해 "기판 캐리어의 클러스터 공구 배치로더"라 명칭으로 출원된 미국 특허 제 5,613,821호 및 무카 등에 의해 "로드록 상의 기판 캐리어를 위한 배치로더"란 명칭으로 출원된 미국 특허 제 5,607,276호에 설명되어 있다.
헨드릭슨 등에 의해 "웨이퍼의 가열 또는 냉각 방법"이란 명칭으로 출원된 미국 특허 제 5,778,968호에는 웨이퍼 위에 압력조절 가능한 가스를 사용하여 진공 챔버 내의 기판을 가열 또는 냉각시키는 방법이 설명되어 있다. 유사하게, 무카 등에 의해 "표면 안정화 가스에 의해 기판의 열 상태를 조절하는 장치 및 방법"이란 명칭으로 출원된 미국 특허 제 5,588,827호에는 기판의 온도를 변경시키기 위해 가열 또는 냉각되는 열 조절 챔버내에 위치되는 열 전달판이 설명되어 있다.
본 발명은 일반적으로 반도체 웨이퍼 처리시스템, 장치 및 방법에 관한 것이며, 더 상세하게는 반도체 웨이퍼 처리시스템의 처리량을 최대화하면서 풋프린트를 최소화할 수 있는 수직으로 적층된 처리챔버의 구성에 관한 것이다. 예를들어, 본 발명은 근대기압 CVD 시스템, 급열 산화 시스템, 또는 다른 형태의 웨이퍼 처리시스템 내부로 웨이퍼를 변위시키는데 사용될 수 있다. 특히, 본 발명은 단일 회전 축선 주위에서 피봇운동하는 단일 이송 아암을 사용하는 처리챔버와 로드록 챔버 사이에서 반도체 웨이퍼를 이동시키는 웨이퍼 이송 장치 및 방법에 관한 것이다.
도 1은 본 발명에 따른 처리 시스템의 사시도.
도 2는 도 1에 도시된 처리 시스템의 평면도.
도 3은 본 발명에 따른 다중 챔버 모듈의 사시도.
도 4는 종래의 클러스터 공구 시스템의 웨이퍼 유동로를 도형화한 개략적인 설명도.
도 5는 도 1에 도시한 처리 시스템의 웨이퍼 유동로를 도형화한 개략적인 설명도.
도 6은 두 개의 웨이퍼를 유지하는 신장위치에 있는 로드록 챔버 및 이송아암의 사시도.
도 7은 수축 위치에 있는 이송아암을 도시하기 위해 제거된 커버를 갖춘 도 6에 도시된 로드록 챔버의 사시도.
도 8은 웨이퍼가 없는 지지 구조물을 갖춘 도 6과 유사한 로드록 챔버의 사시도.
도 9는 두 개의 웨이퍼를 운반하는 도 6에 도시된 것과 유사한 이송 아암의 사시도.
도 10은 도 2의 10-10 선을 따라 취한 도 2 처리 챔버의 사시 단면도.
도 11은 도 2의 11-11 선을 따라 취한 도 2 처리 챔버의 사시 단면도.
도 12는 도 2의 12-12 선을 따라 취한 도 2 로드록 챔버 및 처리 챔버를 갖춘 로드록 처리 챔버 조립체의 사시 단면도.
도 13은 예시적인 공통의 화합물 분배 시스템을 도시하는 개략적인 설명도.
도 14는 본 발명에 따른 처리 시스템의 다른 실시예를 도시하는 평면도.
도 15는 본 발명에 따른 다른 실시예의 사시도.
도 16a 내지 도 16l은 본 발명에 따른 웨이퍼 이송 시퀀스를 도식화한 도면.
도 17은 본 발명에 따른 여러 변수에 대해 전형적인 200㎜ 웨이퍼의 완전 스트록에 대한 비교적인 분석 결과치를 나타내는 개략적인 설명도.
본 발명에 따른 반도체 기판 또는 웨이퍼 처리 시스템 및 기판 또는 웨이퍼 이송 장치는 전술한 종래 시스템의 단점을 극복했다. 본 발명에 따라, 반도체 웨이퍼 처리 시스템은 복수의 수직 적층된 로드록-처리 챔버 조립체를 갖춘 다중 챔버 모듈, 웨이퍼 카세트와 상기 로드록-처리 챔버 조립체 사이로 반도체 웨이퍼를 이송하기 위한 대기압 정면 로봇을 갖는 대기압 정면 유닛, 각각의 챔버 조립체를 위한 공통의 처리 화합물 분배 시스템, 및 각각의 로드록 처리챔버 조립체를 위한전용 웨이퍼 이송장치를 포함한다. 상기 처리 시스템은 선형으로 배열된 두 개 이상의 다중 챔버 모듈도 포함한다. 로드록 챔버는 각각의 처리챔버 전용으로 사용되며, 상기 로드록 챔버들은 각각의 로드록 처리 챔버 조립체를 함께 형성한다. 냉각판은 웨이퍼 이송장치의 단일 피봇 이송아암 아래의 각각의 로드록 챔버 내에 배열된다. 냉각판에는 피봇 이송아암으로부터 웨이퍼를 제거하는 리프트 핀이 제공된다. 척 조임면과 핀을 갖는 웨이퍼 척 조립체는 처리 챔버 내에 웨이퍼를 위치시키기 위한 각각의 처리챔버 내부에 제공된다. 본 발명의 일 실시예에서, 웨이퍼 척 조립체는 회학 증착 인젝터를 지나쳐서 처리 챔버의 내부에 처리할 웨이퍼를 이동시킨다.
하나의 이송장치는 각각의 로드록-처리 챔버 조립체의 역할을 한다. 각각의 웨이퍼 이송장치는 로드록 챔버와 처리챔버 사이로 두 개 이상의 웨이퍼를 운반 및 이송시키는 이송 아암을 포함한다. 이송 아암은 로드록 챔버를 통과해 연장하는 단일 피봇 축선 주위에서 피봇한다. 이송 장치는 로드록 챔버와 처리 챔버 사이로 두 개의 웨이퍼를 동시에 운반할 수 있다. 웨이퍼 이송장치는 수축 및 신장 위치도 가지며 단일 피봇축선은 이송아암이 수축 및 신장위치 사이에서 피봇될 수 있게 한다. 냉각판은 피봇 아암이 수축위치에 있을 때 피봇 아암 아래에 배열된다. 웨이퍼 이송장치도 이송 아암과 일체로 형성된 상부 웨이퍼 선반 및 하부 웨이퍼 선반도 갖추고 있다.
본 발명은 다른 일면으로, 미처리 웨이퍼를 로드록 챔버로부터 처리챔버로 이송하는 단계, 미처리 웨이퍼를 상부 웨이퍼 선반으로부터 처리 챔버에 장착된 반도체 웨이퍼 척으로 이송하는 단계, 수축 위치로부터 웨이퍼가 처리되는 신장 위치로 반도체 웨이퍼 척을 변위시키는 단계, 로드록 챔버와 처리챔버 사이로 처리된 웨이퍼 및 제 2 미처리 웨이퍼를 동시에 이송하는 단계, 및 처리된 웨이퍼를 하부 웨이퍼 선반으로부터 냉각판으로 이송하는 단계를 포함하는 방법을 제공한다.
본 발명의 목적은 처리챔버에 전용으로 사용되는 하나의 로드록 챔버와 각각의 로드록과 처리 챔버 사이로 웨이퍼를 이동시키는 전용 이송아암을 각각 구비한 다중 로드록 챔버 조립체를 갖춘 웨이퍼 처리 시스템을 제공하고자 하는 것이다.
본 발명의 다른 목적은 시스템 풋프린트를 감소시켜 로드록-처리챔버 조립체의 적층 설계 및 수직 방위를 최적화시키도록 두 개 이상의 로드록-처리 챔버 조립체를 수직으로 적층시켜 다중-챔버 모듈을 형성하고자 하는 것이다.
본 발명의 또다른 목적은 처리 시스템의 풋프린트를 최적화하도록 기판을 모든 로드록 챔버로 분배하는 단일의 대기압 정면 웨이퍼 이송시스템에 대해 직선 형태로 다중 챔버 모듈을 정렬시키고자 하는 것이다.
본 발명의 또다른 목적은 각각의 다중 챔버 모듈을 위한 국부 제어시스템 및 공통의 처리 화합물 분배 시스템을 제공하고자 하는 것이다.
본 발명의 또다른 목적은 각각의 처리챔버와 완전 처리시스템의 처리량을 최대화하도록 직선 배열로 수직 적층된 처리시스템 및 방법을 위한 웨이퍼 유동 통로와 처리 타이밍을 최적화하고자 하는 것이다.
본 발명의 또다른 목적은 처리 시스템의 처리량을 증가시키도록 풋프린트 내부의 처리 챔버의 수를 최적화하고자 하는 것이다.
본 발명의 또다른 목적은 단일의 대기압 정면 로봇으로부터 로드록 챔버를 통해 웨이퍼 처리챔버로 반도체 웨이퍼를 이동시키는 웨이퍼 이송방법 및 신규의 웨이퍼 이송아암을 제공하고자 하는 것이며, 상기 웨이퍼 처리 챔버내에서는 처리 챔버에 측부에서 장전되도록 이송아암 상에 위치되는 하나의 피봇 지점을 갖는 단일 회전 축선 주위에서 이송아암이 피봇운동한다.
본 발명의 또다른 목적은 로드록 챔버와 처리챔버 사이로 웨이퍼 이송을 촉진시키도록 두 개의 웨이퍼를 운반할 수 있는 이송 아암을 제공하고자 하는 것이다. 특히, 본 발명의 목적은 시스템의 처리량을 최대화하도록 미처리된 웨이퍼와 처리된 웨이퍼를 동시에 운반할 수 있는 이송아암을 제공하고자 하는 것이다.
본 발명의 또다른 목적은 로드록으로부터 처리 시스템 내부의 카세트 최대 적층단으로의 웨이퍼 이송을 촉진시켜 처리 시스템의 처리량을 증대시키도록 웨이퍼가 처리된 후에 웨이퍼 냉각시간을 감소시킬 수 있게 웨이퍼 냉각시간을 감소시키고자 하는 것이다.
전술한 본 발명의 목적 및 기타의 목적들은 첨부도면을 참조한 다음의 설명으로 보다 명확히 이해할 수 있을 것이다.
이후, 첨부도면에 예로서 도시되어 있는 본 발명의 양호한 실시예들에 대해 상세히 설명한다. 본 발명을 양호한 실시예와 관련하여 설명하지만, 본 발명은 이들 양호한 실시예에 한정하고자 하는 것이 아니라고 이해해야 한다. 이와는 반대로, 본 발명은 청구범위에 정의된 본 발명의 사상 및 범주 내에 포함될 수 있는 대체예, 변경예 및 균등예들을 포함한다고 이해해야 한다.
본 발명은 반도체 웨이퍼 또는 기판을 처리하기 위한 신규한 처리 시스템에 관한 것이다. "웨이퍼"란 명칭은 본 발명의 목적을 위한 웨이퍼와 기판을 모두 지칭한다. 본 발명은 근-대기압 화학 증착공정 또는 급열 산화공정을 포함하지만, 이에 한정되지 않는 다수의 웨이퍼 처리공정과 관련하여 사용될 수 있는 시스템, 장치 및 방법에 관한 것이다. 그러한 CVD공정이 사용되는 경우에, CVD공정은 일반적으로 약 5 내지 약 760 토르, 더욱 통상적으로 약 100 내지 700 토르, 보통 약 200 내지 600 토르 범위의 증착 처리압력을 사용할 수 있다. 본 발명의 처리챔버는 밀리토르 체제의 저진공압에서 작동될 수도 있다고 이해해야 한다. 예를들어, 적합한 진공펌트의 추가에 의해 본 발명이 10 밀리토르 내지 200 밀리토르, 더욱 일반적으로 10 밀리토르 내지 5 토르 범위에서 작동될 수 있게 한다.
도 1 내지 도 3을 참조하면, 본 발명의 반도체 웨이퍼 처리장치의 주요 구성요소는 대기압 정면(AFE) 유닛(6), 수직으로 적층된 처리 챔버(4)세트를 갖춘 다중 챔버 모듈(MCM)(20), 적층된 처리챔버(40)세트와 공유하는 공통의 처리 화합물 분배 시스템(24)과 공통의 제어 시스템, 및 각각의 처리 시스템(40)과 대기압 정면 유닛(6) 사이로 반도체 웨이퍼를 이송하기 위한 로드록 챔버(80)이다.
대기압 정면 유닛(6)은 웨이퍼 처리 시스템(5)의 정면에 위치되고 청정실 벽(도시않음)과 공통의 평면을 사용하고 있다. 대기압 정면 유닛(6)은 하나 이상의 웨이퍼 카세트 또는 장전 포트(7)를 포함한다. 그러한 웨이퍼 카세트는 통상적이며 본 기술분야에 공지되어 있다. AFE 로봇(8)은 카세트(7), 웨이퍼 정렬기(9)(도 1 내지 3에는 도시되어 있지 않으나 도 14에 도시됨), 및 로드록 챔버(80) 사이에서 웨이퍼를 이송한다. 로봇(8)은 처리의 완료시 각각의 카세트로 웨이퍼를 복귀시킨다. 웨이퍼를 로드록 챔버(80) 내측으로 이송하기 이전에 중심맞춤 및 노오치 정렬을 위해서 웨이퍼 정렬기가 제공된다. 이들 구성요소들은 적합한 주위공기 처리장비들에 의해 청정 환경을 제공하는 경질의 프레임(14)내에 수용된다. AFE 유닛(6)의 정면은 유저 인터페이스 패널 및 디스플레이(13)도 지지하는 시스템의 전면(15)과 결합한다.
도 2 및 도 12를 참조하면, 각각의 처리 챔버(40)을 위해 하나의 로드록 챔버(80)가 제공된다. 도 8에 도시된 로드록 챔버 지지대(89)는 각각의 처리챔버(40)에 대해 각각의 로드록 챔버(80)를 위치시킨다. 웨이퍼는 각각의 로드록 챔버(80)를 통해 AFE 유닛(6)과 각각의 처리챔버(40) 사이로 이동된다. 정면의 게이트 밸브(10)는 각각의 로드록 챔버(80)와 AFE 유닛(6)을 연결한다. 정면의 게이트 밸브(10)는 AFE유닛(6)으로부터 로드록 챔버(80)를 밀폐시켜서 로드록 챔버(80)가 진공화될 수 있게 한다. 유사하게, 로드록 챔버(80)를 각각의 처리챔버(40)에 연결하기 위해 처리 챔버 스롯밸브(4)가 제공된다. 처리챔버 슬롯 밸브(41)는 로드록 챔버(80)로부터 처리챔버(40)를 밀폐시켜서 처리챔버(40)의 방해없이 로드록 챔버(80)가 대기압으로 통풍될 수 있게 한다.
본 발명의 핵심은 각각의 처리챔버를 전용 로드록 챔버와 웨이퍼 이송아암에 제공하여 각각의 처리챔버와 전체 처리시스템의 처리량을 최대화하고자 하는 것이다. 본 발명은 기판의 처리후에 웨이퍼의 냉각을 필요로하는 상황하에 있는 처리 시스템의 처리량을 최대화할 수 있게 하는 것이다.
통상적으로, 로드록은 두 개의 상이한 환경 예를들어, 실온의 대기압 환경과 승온의 진공 환경 사이에서 사이에서 완충기의 역할을 한다. 따라서, 로드록은 로드록이 기판을 수용할 수 있는 제 1 환경에 먼저 정합시키고나서 기판을 처리챔버로 이송시키는 제 2 환경에 정합시키도록 로드록 챔버의 환경을 조절하기 위한 약간의 넌-제로(non-zero) 환경을 필요로 한다. 로드록 내부에서 기판 처리가 수행되지 않더라도, 기판은 로드록 챔버내에서 약간의 넌-제로 시간을 대기하거나 소비하여야 한다. 통상적으로, 이러한 시간은 수십초, 가능하면 수분 정도이다.
통상적으로 도 4에 도시한 바와 같은 종래의 방법에서는 기판을 하나 이상의 로드록에 분배하는 정면 로봇을 포함한다. 종종 챔버 내에 있는 제 2 로봇이 로드록 중의 어느 하나와 여러 처리챔버 중의 어느 하나 사이로 기판을 이송한다. 정면 로봇은 로드록으로의 진입 이전에 기판을 정렬기로 이송하는데 사용될 수 있으나, 대신에 중앙의 허브 로봇이 웨이퍼를 처리챔버로 이송하기 이전에 로드록으로부터 정렬기로 기판을 이송하는데 사용될 수 있다. 그럼에도 불구하고, 각각의 로봇은 다중 모듈 사이로 웨이퍼를 분배할 업무를 가진다. 두 개의 로봇을 사용하는 종래의 처리 시스템에 종종 사용되오 온 소프트웨어에 의한 스케쥴 관리도 가능하다. 그러나, 도 4에 도시한 바와 같이 그러한 스케쥴은 아주 복잡하다.
도 4는 4 개의 장전용 카세트인 CASS 1, CASS 2, CASS 3, CASS 4와, 정면 로봇과, 4 개의 챔버인 LL1 상부, LL1 하부, LL2 상부, LL2 하부와, 중앙 허브 로봇, 및 4 개의 처리 챔버인 PM1, PM2, PM3, PM4를 포함하는 종래 처리 시스템에 대응하는 종래 웨이퍼 흐름 다이어그램의 예를 도시한다. 단일의 중앙 허브 로봇이 모든 로드록 챔버와 모든 처리 챔버 사이로 웨이퍼를 이송하므로, 가능한 웨이퍼 흐름 통로의 수는 총 64 개이다. 예를들어, 4 개의 가능한 "중앙 로봇/처리 챔버" 통로와 4 개의 가능한 "단부 로봇/로드록/중앙 허브 로봇" 및 4 개의 가능한 "로드 카세트/정면 로봇"를 곱한 값인 64 개의 통로값을 가진다.
몇몇 적용예에 있어서, 처리된 웨이퍼는 장전용 카세트로 복귀시키기 이전에 냉각시킬 필요가 있다. 통상적인 종래의 실시예는 웨이퍼가 정체 및 냉각될 수 있는 "대기" 위치 또는 하나 이상의 냉각 챔버를 포함하며, 이들은 단지 중앙 허브 로봇의 범위 내에 포함되어 있다. 단지 중앙 허브로봇의 범위 내에 있는 고온 웨이퍼만을 이송하는 것은 고온 웨이퍼를 처리하기 위한 장치(예를들어, 단부 작동체, 웨이퍼 홀더 및 표면, 카세트 등등)의 특수 재료의 필요성을 최소화하여 비용을 절감시킨다.
그러나, 웨이퍼를 "대기"시키고 더욱 냉각시키기 위한 냉각 챔버나 위치가 추가됨으로써 중앙 허브 로봇에 의해 이동되는 웨이퍼의 스케쥴을 복잡하게 하여정면 로봇의 스케쥴에 대한 중앙 허브 로봇의 스케쥴을 복잡하게 한다. 통상적으로, 각각의 로봇은 웨이퍼의 신속한 준비에 의해 보조가 맞춰지므로, 종래 시스템의 스케쥴의 최적화에는 매우 정밀한 타이밍을 필요로하며 "대기"시간의 최소화와 시스템의 최대 처리량을 보장하기 위한 다양한 처리 챔버와 로드록의 사용과 관련된 고가의 피드백 및/또는 "룩 어헤드" 예측 시스템을 필요로 한다. 종종, 실예에 있어서, 하나의 처리 챔버로 웨이퍼의 이송시 최소의 멈춤도 전체 시스템을 통한 전체 웨이퍼 흐름를 방해할 수 있다. 스케쥴러는 최적 처리비용 보다 적은 비용으로 이러한 최소의 잠재적인 지연을 수용할 수 있는 스케쥴 프로그램에 약간의 지연을 적절하게 포함할 수 있다. 요약하면, 장전용 카세트, 로드록, 및 처리챔버를 포함하는 여러 개의 다중 접근가능한 웨이퍼 위치들 중에서 서택되어야 하는 두 개의 로봇 사이의 동기화는 적합한 처리를 유지하는 핵심이나 그러한 동기화는 매우 복잡하다.
대조적으로, 본 발명은 각각의 처리챔버에 전용으로 사용되는 하나 이상의 로드록 챔버에 기판들을 분배하도록 시스템의 정면에 하나의 로봇을 사용한다. 각각의 로드록 챔버는 전용 로드록 챔버와 각각의 처리 챔버 사이로 기판을 이동시키기 위한 전용의 개별 이송아암을 포함한다. 도 5는 본 발명에 따른 처리 시스템에 대응하는 흐름로 다이어그램을 도시한다. 각각의 처리챔버가 종래 기술에서 처럼 로드록 챔버와 중앙 로봇을 공유하기 보다는 전용 로드록 챔버와 이송아암을 가지므로, 웨이퍼 흐름을 스케쥴하기에는 웨이퍼 흐름로에 대한 잠재적인 숫자가 종래기술에 비해 상당히 감소되기 때문에 상당히 덜 복잡해 진다.
도 5에 도시한 바와 같이, 본 발명에 따른 처리 시스템은 도 4에 도시된 종래기술의 처리 시스템과 동일한 수의 처리 챔버를 가진다. 그러나, 본 발명은 로드록 챔버를 각각의 처리 챔버에 전용시킴으로써 4 개의 로드록-처리 챔버 조립체(LL/PM1, LL/PM2, LL/PM3, LL/PM4)를 제공하며 중앙 허브 로봇에 대한 필요성을 제거한다. 따라서, 잠재적인 웨이퍼 흐름로의 수는 종래기술의 64 개에서 본 발명에서는 16 개로 감소된다. 예를들어, 잠재적인 4 개의 장전용 카세트/정면 로봇 통로를 잠재적인 4 개의 정면 로봇/로드록-처리챔버 조립체 통로를 곱한 16 개의 잠재적인 통로의 수가 된다. 따라서, 본 발명의 스케쥴은 관리해야 할 통로의 선택이 훨씬 적어지므로 덜 복잡해 진다. 정면 로봇은 웨이퍼를 4 개(본 실시예에서)의 로드록 챔버로 분배하며, 일단 로드록 챔버에 놓여지면 처리 챔버로, 그리고 처리챔버로부터의 웨이퍼의 통로는 고정되며 어떤 다른 웨이퍼의 통로와 무관하게 된다.
본 발명에 따라, 로드록의 길다란 처리공정은 변경되며 처리 챔버의 처리공정도 개별적인 로드록-처리챔버 조립체에 포함되며, 이들 각각의 조립체는 로드록 챔버, 이송 아암, 및 처리 챔버를 포함한다. 본 발명은 도 5의 화살표 ARM로 나타낸 바와 같이 각각의 로드록과 처리챔버 사이에 평행한 통로를 설정하므로 기판의 평행한 처리가 가능하다. 따라서, 스케쥴의 복잡성도 전용 로드록과 이송 아암의 추가에 의해 기판의 독립적이고 평행한 처리가 가능해지므로 감소된다.
본 발명의 다른 중요한 특징은 중복에도 불구하고 경제적인 비용으로 단순하게 설계된 각각의 로드록-처리챔버 조립체를 위한 전용 하드웨어를 제공했다는 점이다. 각각의 처리챔버가 자체 로드록 챔버를 가지므로, 각각의 로드록-처리 챔버 조립체 내부의 웨이퍼 이송면이 간단하고 상당히 일정하게 설정된다. 이와 같이, 단일 변위 축선을 갖는 이송 아암이 각각의 로드록 챔버와 각각의 처리 챔버 사이로 웨이퍼를 이송시키는데 사용될 수 있다. 게다가, 정면 로봇이 다중 적층식 로드록-처리챔버 조립체의 이송면에 도달할 수 있는 수직 스팬(span)을 가질 때 전체적인 풋프린트가 감소될 수 있다. 이와 같이, 본 발명은 저가의 다중 축선 이송아암과 적절한 수직 축선을 갖는 다중 축선을 구비한 단일의 정면 로봇과 관련하여 바람직하게 사용된다.
하나의 로드록 챔버(80)를 각각의 처리챔버(40)에 전용할 수 있는 본 발명의 일면에 의해서, 각각의 로드록 챔버(80) 내부에 냉각 판(95)(도 7)이 제공된다. 그와 같은 전용을 가능하게 하는 본 발명의 다른 일면에 의해서, 하나의 미처리된 웨이퍼와 처리된 웨이퍼를 포함하는, 두 개의 웨이퍼를 동시에 이송할 수 있는 이중 웨이퍼 단일 아암 로드록 이송 아암(82)(도 6)이 제공된다. 본 발명의 구성에 있어서는 단지 하나의 변위 축만이 각각의 처리챔버를 위한 제어 시스템을 통해 제어되므로 상당한 비용절감이 달성된다. 도 7 및 도 8에 도시한 바와 같이, 이송 아암(82)은 단지 이송 아암 피봇 축선(83) 주위에서 회전하므로, 피봇 아암(83) 주위에서의 이송 아암(82)에 대한 단지 하나의 복잡하지 않은 각 운동만이 제어 시스템에 의해 제어되면 된다.
도 7 및 도 8을 참조하면, 웨이퍼 냉각판(95)은 로드록 챔버(80)의 내측에 장착된다. 이중 웨이퍼 단부 실행기(84)를 갖춘 모놀리식 단축선 로드록이송아암(82)(델타 축선 회전)은 단부 실행기(84)상의 두 개의 웨이퍼에 대한 수직 동축 변위를 가능하게 하도록 제공된다. 이송 아암(82)은 단일 서보 축선 또는 피봇 축선(83)을 가지며 이송아암 구동 모터 조립체(79)와 같은 단일 서보기구에 의해 작동된다. 단부 실행기(84)는 미처리된 웨이퍼가 상부 웨이퍼 선반(85)상에 놓일 수 있게 하고 처리된 웨이퍼가 하부 웨이퍼 선반(87)에 놓일 수 있게 하는 U형 부품이다. 상기 선반은 수평 판 형성 선반(85,87)에 의해 한정되며 단부 실행기(84)의 개방부 상에 있는 웨이퍼 에지 지지대(86,88)를 포함한다.
이송 아암(82)은 도 7에 도시한 바와 같이, 정면 로봇(8)으로부터 미처리된 웨이퍼의 분배를 대기하거나, 후술하는 바와 같이 냉각판 리프트 핀(96)에 의해 처리된 웨이퍼의 제거를 대기하는 로드록 챔버(80) 내부에 이송 아암(82)이 전체적으로 위치되는 본래 위치를 가진다. 웨이퍼 냉각판(95)은 열에 의해 굽혀진 웨이퍼로 인한 웨이퍼 이송 실패와 고온 후-처리 웨이퍼로 인한 카세트 재료의 손상을 최소화하기 위해 AFE 로봇에 으해 로드록 웨이퍼가 챔버(80)로부터 제거되기 이전에 처리된 웨이퍼를 냉각하기 위해 로드록 챔버(80)와 통합된다. 냉각판(95)은 이송 아암(82) 아래에 장착되며 상하 웨이퍼 선반(85,87)에 장착된 웨이퍼에 대해 동심으로 지향된다. 냉각 판(95)은 주위온도로 액체, 공기 또는 불활성 가스에 의해 냉각된다. 예를들어, 약 18 내지 25℃에서 물은 냉각 판(95)을 통해 순환되어 냉각판의 온도를 조절한다. 상기 온도는 다른 적합한 액체 냉각 온도범위가 사용될 수 있다고 이해해야 한다. 냉각판(95)이 액체에 의해 냉각되는 경우에, 냉각 액체는 냉각 판(5), 척 조립체(60) 및 처리챔버의 온도를 조절하기 위한 처리챔버(40)의 벽들을 통해 순환될 수 있다.
냉각 판(95)은 로드록 이송 아암(82)이 본래 위치에 위치될 때 하부 웨이퍼 선반(87)상에 놓여진 웨이퍼를 승강시킬 수 있는 냉각 판 리프트 핀(96)을 가진다. 냉각 판 리프트 핀(96)의 높이는 도 8에 도시한 바와 같이 냉각판 리프트 핀 액츄에이터(97)에 의해 제어된다. 일단 웨이퍼가 승강되면, 로드록 이송 아암(82)은 도 6 및 도 8에 도시한 바와 같이, 본래 위치로부터 신장 위치로 피봇되어 웨이퍼를 냉각판 리프트 핀(96) 뒤로 이동시킨다. 웨이퍼는 디스크를 냉각시키도록 냉각판으로 하강된다. 이와는 달리, 웨이퍼는 냉각판(95) 조금 위의 위치로 하강되어 웨이퍼가 접촉없이도 냉각될 수 있어서 웨이퍼 상의 마모와 열 응력을 최소화한다. 전도, 대류, 또는 방열 냉각을 포함한 다양한 형태의 냉각이 실시될 수 있다.
유사한 방식으로, 냉각판 리프트 핀(95)은 상부 웨이퍼 선반(85)의 레벨 위로 웨이퍼를 상승시키도록 연장되고나서 이송 아암(85)이 본래위치로 복귀할 때마다 웨이퍼를 선반(85)상에 장전하도록 하강된다. 전술한 바와 같이, 냉각판 리프트 핀 엑츄에이터(97)는 리프트 핀(6)을 제어한다. 냉각 핀 액츄에이터(97)는 로드록 챔버(80)의 외측에 위치되어 로드록 챔버(80)의 칫수를 최소화한다. 예를들어, 선형 솔레노이드 또는 다른 공지의 선형 액츄에이터가 로드록 챔버(80)의 외측에 장착될 수 있다. 벨로우즈(도시않음)는 로드록 챔버(80)를 진공 환경으로 보존하는 방식으로 액츄에이터와 냉각핀(96)을 상호연결하는데 사용된다. 이와는 달리, 냉각 핀 액츄에이터는 바람직하다면 로드록 챔버 내부에 위치될 수 있다고 이해해야 한다.
웨이퍼 선반(85,87)의 형상과 관련하여, 미처리된 웨이퍼가 상세히 후술하는 바와 같이 상부 위치에 신장된 척 리프트 핀(70)에 의해 지지되는 동안에, 이송아암(82)을 신장된 위치로부터 후퇴시킬 수 있는 실질적으로 U형상을 가진다. 특히, U형 상부 웨이퍼 선반(85)은 리프트 핀(70)이 상부 선반(82) 또는 이송 아암(82)과 접촉하는 것을 방지한다. 대조적으로, 하부 선반(87)은 단지, 도 9 및 도 12에 도시한 바와 같이, 하부 웨이퍼 지지대(88)에 의해 웨이퍼의 대향면상에 웨이퍼를 지지한다. 도 12에 도시한 바와 같이, U형 하부 웨이퍼 선반(87)의 기저부는 개방되어 있어서 처리된 웨이퍼가 신장된 냉각 판 리프트 핀(96)에 의해 상부 위치에 지지되어 있는 동안에 이송 아암(82)이 수축/본래 위치로 신축될 수 있게 한다.
도 10을 참조하면, 예시적인 처리 챔버(40)가 도시되어 있다. 본 실시예에서, 처리챔버(40)는 미국 캘리포니아 스콧 밸리 소재의 실리콘 밸리 그룹 써어멀 시스템즈에 의해 제조되고 1998년 7월 10일자로 출원되어 모든 내용이 본원에 참조된 미국 출원번호 09/113,823호에 기술되어 있는 형태의 화학 증착(CVD)챔버 선형 인젝터(43) 조립체, 예를들어 멀티블록(등록상표) 선형 인젝터와 합체된다. 각각의 CVD 선형 인젝터 조립체(43)는 200㎜ 웨이퍼 처리를 위한 선형 인젝터(44,45)를 사용한다. 이와는 달리, 3 개의 선형 인젝터가 300㎜ 웨이퍼 처리를 위한 단일 CVD 선형 인젝터 조립체에 사용될 수 있다. 그러나, 다른 형태의 처리챔버가 본 발명에 따라 사용될 수 있다고 이해해야 한다. 예를들어, 고온하에서 필름이 성장되고 어닐링되는 처리 챔버가 전술한 CVD 챔버 대신에 사용되거나 이들과 조합되어 사용될 수도 있다.
반도체 웨이퍼 척 조립체(60)는 각각의 처리챔버(40) 내측에 제공된다. 웨이퍼 척 조립체(60)는 여러 개의 기본 기능, 즉 ①웨이퍼를 이송아암으로부터 수용하고 웨이퍼를 이송아암에 해제시키는 기능, ②처리 중에 웨이퍼를 유지하는 기능, ③웨이퍼의 처리온도에 따라 웨이퍼에 균일한 열적 환경을 제공하는 기능, ④웨이퍼 처리방법에 의해 필요상 웨이퍼를 처리챔버 내측으로 이동시키는 기능을 가진다. 예를들어, 웨이퍼 척 조립체(60)는 CVD 선형 인젝터 조립체(43)에 대해 웨이퍼를 예열, 고정, 및 이송시키기 위해 각각의 처리 챔버(40) 내측에 제공될 수 있다. 이와는 달리, 척 조립체(60)는 재료 제거공구와 같은 다른 형태의 처리 공구에 대해 웨이퍼를 이동 및/또는 냉각시키는데 사용될 수 있다.
도 10에 도시한 바와 같이, 웨이퍼 척(60)은 상부에 반도체 웨이퍼가 놓이는 클램핑 표면(62)을 가진다. 웨이퍼 척(60)은 CVD 인젝터(43)에 의한 처리 이전에 미처리된 웨이퍼를 예열시키는 가열소자(도시않음)을 포함한다. 척 절연체(76)도 웨이퍼에 인가된 열을 절연시키도록 제공된다. 척 진공 클램프(63)와 같은 홀드 다운 클램프(hold dowm clamp)는 웨이퍼를 척 조립체(60)에 고정한다. 그러한 진공 클램프는 본 기술분야에 공지되어 있으므로 더 이상 상세히 설명하지 않는다. 정전 웨이퍼 클램핑 수단과 같은 다른 적합한 클램핑 수단이 사용될 수 있다고 이해해야 한다. 일반적으로, 웨이퍼의 배면은 공지된 방법으로 척 진공 클램프(63)에 의해 웨이퍼 척 조립체(60)의 웨이퍼 척 플레이트(62)에 대해 진공 유지된다. 진공 클램프는 척 조립체 탯줄 체인(59)에 의해 진공원과 작동가능하게 연결된다. 탯줄 체인(59)은 처리 챔버(40) 내에서 상기 체인의 이동을 가능하게 하면서 동력,제어신호, 및 냉각수를 척 조립체(60)에 제공한다.
인젝터 가스는 선형 인젝터 출구의 바로 아래에 있는 구역으로 정의되는 도면 부호 49의 마이크로-죤 또는 마이크로 영역 내부에 포함된다. 웨이퍼 척 플레이트에 장착되는 웨이퍼의 상부면은 밀봉판(61)의 상부면과 실질적으로 동일 평면상에 있으며, 이들 두 상부면은 선형 인젝터(44,45)의 바닥 출구를 약 1㎜ 범위내로 통과하도록 조절된다. 웨이퍼의 이러한 위치 선정은 증착 마이크로-죤(49)과 처리 챔버(40)의 나머지 부분 사이에 저-콘덕턴스 가스의 통로를 한정한다. 이러한 통로는 마이크로 챔버 절연영역 또는 반-밀봉 영역으로 지칭된다. 1 ㎜ 반-밀봉 간극은 후술하는 바와 같이, 인젝터 조립체(43)에 대한 척 이동 프레임(64)의 위치를 조절함으로써 조절된다. 일단 반-밀봉 간극이 설정되면, 시스템 작동중에 변경되지 않는다.
실시예에서, 웨이퍼는 척이 도 10 내지 도 12에 도시된 바와 같이, 수축 또는 장전위치에 있는 동안에 척 표면(62)상에 놓인다. 웨이퍼는 웨이퍼를 상부 웨이퍼 선반(85)으로부터 들어올리는 척 리프트 핀(70) 위에 위치된다. 이송 아암(82)은 척 리프트 핀(70) 상의 웨이퍼를 이탈하는 본래위치로 다시 피봇된다. 다음에, 웨이퍼는 척 표면(62)으로 하강된다. 척 리프트 핀(70)은 일체로 상승 및 하강하며 척 리프트 핀 요크(72)에 작동가능하게 연결된다. 리프트 핀 요크(72)는 차례로 리프트 핀 서보 액츄에이터 조립체(74)에 의해 작동되는 척 리프트 핀 선형 구동축(73)에 작동가능하게 연결된다. 제어가능한 선형운동을 위한 다른 수단도 사용될 수 있다는 것을 이해해야 한다. 예를들어, 척 리프트 핀 요크(72) 및/또는척 리프트 핀(70)상에 선형 운동을 부여하기 위한 워엄 구동기구가 제공될 수 있다.
도 11을 참조하면, 웨이퍼는 척 표면(62)에 진공 클램프된다. 척 조립체(60)는 CVD 인젝터(43)를 지나서 신장위치로 척 이송 프레임(64)의 척 가이드 레일(66)을 따라 이동된다. 예를들어, 전기 서보모터와 같은 척 이송 액츄에이터(67)는 척 이송 구동 스크류(68)을 경유하여 척 가이드 레일(66)을 따라 척 조립체(60)를 작동가능하게 구동시킨다. 본 기술분야의 숙련자들은 다른 척 이송 장치가 사용될 수 있다는 것을 이해할 것이다. 따라서, 웨이퍼 척 플레이트(62)에 고정된 웨이퍼는 화살표 A방향으로의 한 번의 완전한 통과 스트록에 의해 선형 인젝터(44)의 인젝터 출구 아래로 이동한다. 상기 한 번의 통과 스트록은 전체 웨이퍼 표면이 모든 인젝터 출구(즉, 200㎜ 웨이퍼 사이즈에 대해 두 개의 인젝터 출구, 또는 300㎜ 웨이퍼 사이즈에 대해 3 개의 인젝터 출구)에 의해 증착될 수 있게 한다. 인젝터 및/또는 인젝터 출구의 수는 웨이퍼 사이즈에 의존하지 않는 다는 것에 주목해야 한다. 인젝터 및/또는 인젝터 출구의 수는 처리 챔버(40)의 처리량을 최적화하도록 변경될 수 있다. 이러한 완전한 스트록 작동은 웨이퍼의 소정의 처리공정을 수행하는데 필요한 횟수 만큼 여러 번 반복될 수 있다. 척 이송속도는 CVD 인젝터(43)를 통과할 때 웨이퍼 상에 형성되는 SiO2층의 소정의 두께에 따라 조절될 수 있다. 예를들어, 본 발명에 따른 일 실시예에서, 병진운동 속도는 약 1 내지 약 60 ㎜/초의 범위이다. 증착 완료시, 웨이퍼는 척 리프트 핀(70)이 로드록이송아암(82)으로 넘겨주기 위한 준비로 척 리프트 핀(70)이 웨이퍼를 웨이퍼 척 플레이트(62)에서 들어올리는 장전위치로 다시 병진운동된다.
상기 처리 또는 반응챔버(40)는 도 11 및 도 12의 측면 도어(54) 및 도 10의 단부 도어(55)를 사용함으로써, 반-밀봉 간극 또는 증착 마이크로-죤, 또는 CVD선형 인젝터(43)와 같은 어떤 다른 처리 챔버 부품의 방해없이 병진운동 프레임(64) 및 척 조립체(60)의 용이한 서비스와 교정을 가능하게 한다. 전술한 바와 같이, 1 ㎜ 반-밀봉 간극은 척 병진운동 프레임(64)의 위치 조절에 의해 조절된다. 이는 척 조절기(69)에 의해 수행된다. 도 2에 도시한 바와 같이, 각각의 처리챔버(40)에는 바람직하게 3 개의 척 조절기(69)가 제공되는데, 이들 중 두 개는 도 10에 돋시되어 있다. 그러한 구성은 척 조립체(60)의 피치, 롤 및 높이가 정밀하게 조절될 수 있게 한다. 예를들어, 척 조절기(69)는 처리 챔버(40)가 CVD 선형 인젝터(43)를 포함하는 경우에 반-밀봉 간극을 정밀하게 조절할 수 있도록 조절될 수 있다. 척 조립체 조절기(69)도 다른 처리공구에 대해 웨이퍼의 위치를 조절하는데 사용될 수 있다. 그러한 조절기는 스크류를 조절하는 형태나 기타 공지의 조절수단일 수 있다. 3 개 보다 크거나 작은 척 조립체 조절기가 사용될 수 있다고 이해해야 한다. 예를들어, 병진 운동 프레임(64)의 3 지점이 처리챔버(40)에 대해 고정된 두 개의 조절기가 사용될 수 있다.
도 2를 참조하면, 처리 챔버(40)는 공구 바닥 영역 또는 풋프린트의 요건을 유지하도록 쌍을 이루어 수직으로 배열된다. 공통의 화합물 분배 시스템(24) 및 공통의 제어 시스템(21)과 조합된 수직 적층 처리챔버 쌍은 다중 챔버모듈(MCM)(20)로서 총칭된다. 상기 두 개의 처리챔버는 실질적으로 동일하며, 예를들어 이들 각각은 별도의 CVD 선형 인젝터, 웨이퍼 척 조립체 및 척 병진운동 프레임을 포함한다. 게다가, 각각의 처리챔버는 각각 전용 로드록 챔버 및 냉각판을 포함한다. 비록 두 개의 챔버 사이에 변경이 바람직하더라도 그러한 차이는 서로에 대한 영향없이 용이하게 수용될 수 있다.
이와는 달리, 다른 형태의 처리 챔버가 각각의 다중 챔버모듈에 포함될 수 있다. 예를들어, 하나의 처리챔버(40)가 CVD 선형 인젝터(43)를 포함할 수 있으며, 제 1 챔버에 대해 수직으로 적층된 다른 처리챔버가 급열 산화기구(도시않음)를 포함할 수 있다. 게다가, MCM(20)은 도 15에 도시한 바와 같이 차례차례 수직으로 적층된 3 개의 처리 챔버(40)를 포함할 수 있다. 또한, 처리 챔버(40)는 전술한 바와 같이 CVD챔버, 또는 다른 형태의 처리챔버, 또는 이들의 조합 챔버를 취할 수 있다.
MCM(20)의 처리챔버(40) 사이의 간극은 정면 로봇의 최대 수직 스트록, CVD 인젝터용 처리챔버와 화합물 분배관 사이의 서비스 가능 공간(23), 및 척 조립체와 병진운동 프레임의 최대 높이에 의해 정의되는 처리챔버의 전체 높이와 같은 설계상의 특정 제약에 따른다. 도 12에 도시한 바와 같이, 본 발명의 척 리프트 핀 액츄에이터(71)는 처리 챔버(40)의 전체 높이와 체적을 감소시키도록 처리챔버(40)의 외측에 위치된다. 벨로우즈(75)는 처리챔버(40)의 무입자, 근-대기압 환경을 유지하도록 리프트 핀 액츄에이터(71)와 척 리프트 핀(70) 사이에 사용된다.
MCM(20)의 수직 적층 처리챔버(40)는 공통의 화합물 분배시스템(240과 공통의 국부 제어 시스템(21)을 지지하는 공통의 프레임상에 위치된다. 분배 시스템(24)은 MCM(20)의 상부에 위치되며 적층된 처리챔버(40)에 재료를 제공 및 분배한다. 예를들어, 분배 시스템(24)은 화합물을 MCM(20)내부의 두 개의 적층 처리챔버(40)에 분배하기 위한 화합물 분배시스템을 포함한다. 공통의 제어 시스템(21)은 하부 처리챔버(40) 아래의 MCM 내부에 위치된다. 이와는 달리, 상기 부품들은 전자부품들의 품질과 팩키징 요건 및 합당한 처리와 시스템 제어를 달성함에 있어서의 어떤 거리적 제한에 따라서 CVD 처리 시스템의 측면을 따라서 별도의 랙이 제공될 수 있다. 각각의 MCM(20)의 모든 처리챔버(40)에 공급하는 하나의 분배시스템에 의해 상당한 비용과 공간의 절감이 달성될 수 있다. 공정 처리 화합물의 분배시스템(24)과 공통의 제어 시스템(21)에 대한 실제 위치는 본 발명의 사상 내에서 변화될 수 있다고 이해해야 한다. 예를들어, 분배 시스템(24)은 하부 처리챔버 아래, 또는 처리 챔버들 사이에 위치될 수 있다. 유사하게, 공통의 제어시스템(21)은 상부 처리챔버 위에 위치될 수 있다.
3 개의 적층된 처리챔버를 위한 예시적인 처리 화합물 분배시스템(24)이 도 13에 도시되어 있다. 분배시스템(24)은 3 개의 처리챔버(40)의 CVD 선형 인젝터(43)내측으로 화합물을 유출시키기 위한 매니폴드 유닛(25)을 갖춘 화합물 분배시스템을 포함한다. 이와는 달리, 매니폴드 유닛은 3 개보다 크거나 작은 처리 챔버의 인젝터 내측으로 화합물을 유출시키기 위해 제공된다. 그와 같이, 화합물의 증착이 MCM(20)의 모든 처리챔버 내부에서 동시에 시작되고 정지된다. 그러나, 웨이퍼 처리작동을 위한 별도의 처리챔버(40)들 사이에 분배되는 화합물의 계량, 제어, 또는 균형을 맞추는 별도의 수단들이 제공되지는 않는다. 대신에, 화합물 분배지점(25) 및 산화 시작지점(26)은 화합물 공급원과 오존을 각각 별도의 CVD 선형 인젝터(43) 내측으로 실질적으로 균일하게 분배한다. 처리 챔버(40) 사이의 화합물 분배 편차로 인한 증착 두께에 있어서의 미소 편차는 각각의 처리챔버(40)가 별도의 척 병진운동 액츄에이터(67)를 가지므로, 웨이퍼 척 병진운동 스피드를 변경시킴으로써 조정된다. 각각의 챔버를 위한 별도의 계량 밸브 또는 유동 제어기가 제공되어서 별도의 처리챔버(43) 내측으로 분배되는 화합물 공급원과 오존을 개별적으로 제어한다. 따라서, 각각의 처리챔버(43)가 서로 무관하게 작동될 수 있다. 본 발명의 공통의 분배시스템은 웨이퍼 처리시스템의 전체 비용과 복잡성을 최소화한다. 별도의 분배시스템, 예를들어 별도의 유동 제어밸브는 각각의 처리챔버에 대체용으로 사용될 수 있으나 그러한 구성은 보다 높은 비용을 초래할 수 있다.
하나의 오존 발생기가 각각의 MCM(20)의 각각의 분배 시스템(24)을 위해 제공된다. 그러나, 하나의 액체 화합물 공급 케비넷이 다중 MCM(20)에 대응하는 다중 분배 시스템(24)을 위해 제공될 수 있다. 유사한 해결방법으로는 무수물 HF 세정시스템을 사용하는 것이며, 하나의 HF시스템이 사용되는 경우에 하나의 MCM(20)의 모든 처리챔버(40) 사이로 매니폴드 유닛에 의해 수동적으로 유출된다. 그러나, 설계상의 변경에 있어서 불소 원자 발생원이 세정 전구체를 발생하는데 사용되면 하나의 MCM(20) 내부에 있는 모든 처리챔버(40)의 세정이 처리챔버(40)의 처리량을 최적화하는 동시에 불소 발생원을 위한 화합물 분배 하드웨어를 단순화시킬지라도, 각각의 처리챔버(40)에 하나의 불소 발생원이 제공된다. 이와는 달리, 다른 실시예에서는 하나의 MCM 내부에 있는 모든 처리챔버를 위한 단일 불소 원소공급원을 포함할 수 있다.
본 발명의 웨이퍼 처리시스템(5)의 평면도에는 일련의 MCM(20), 예를들어 2개, 3개 또는 그 이상의 MCM(20)이 서로 평행한 방식으로 배열되어 있다. 그러한 MCM(20)의 배열은 로드록 챔버(80)의 특정 설계에 따라서 도 14에 도시한 앵글, 또는 도 3에 도시한 AFE 유닛(6)에 수직일 수 있다. 그와 같이, 웨이퍼 처리시스템(5)은 "선형 배열"로 간주될 수 있다.
본 기술분야의 숙련자들은 중앙 로봇 주위의 원형 배열, 기계의 좌우측상에 있는 병진운동 중앙 로봇을 에워싸는 경면 대칭 배열, 또는 로드록 챔버가 각각의 처리챔버에 제공된 경우에 적층된 챔버들이 사용되는 다른 비-선형 배열과 같은 이송 시스템 주위에 다른 기하학을 사용하는 여러 개의 MCM이 사용될 수 있다.
전술한 처리챔버(40) 및 MCM(20)의 구성은 유사한 선형 인젝터 클러스터 공구 시스템에 비해 풋프린트의 개선을 제공한다. 예를들어, 동등한 수, 예를들어 4 개의 처리 챔버를 갖는 종래 시스템에 비해서, 풋프린트는 종래 시스템의 약 168 제곱피트로부터 본 발명에 따른 시스템의 약 73 제곱피트로 감소된다. 이러한 풋프린트의 감소는 CVD 처리챔버(40)를 적층시키고 대응 CVD 처리챔버(40)와 대기압 정면 유닛(6)에 부착된 별도의 로드록(80)을 위해서 통상적으로 종래의 클러스터 공구 CVD처리 시스템에 제공되는 별도의 이송 모듈 허브를 제거함으로써 주로 달성된다. 또한, 종래 시스템에서 클러스터 공구시스템의 중심부(도 4에 개략적으로도시)에 통상적으로 존재하는 중앙 허브 로봇 및 커다란 이송 모듈(TM) 챔버를 위해 공간이 손실되지 않으므로 선형 배열의 레이아웃에 공간이 더욱 효율적으로 사용된다.
본 발명에 따른 300㎜ 웨이퍼 적용을 위한 CVD처리시스템의 풋프린트 영역은 200㎜ 웨이퍼 적용을 위한 종래 시스템의 풋프린트 영역과 거의 동등하다. 본 발명은 200㎜ 웨이퍼 적용을 위해 본래 설계된 웨이퍼 처리 설비에 커다란 장점을 제공하는데, 그 이유는 그러한 설비가 기존 설비에 존재하는 바닥공간을 이용하여 300㎜ 웨이퍼 적용을 위한 본 발명의 시스템에 사용할 수 있기 때문이다.
본 발명의 반도체 웨이퍼 처리시스템, 반도체 웨이퍼 이송 장치 및 방법은 다수의 기술적 이점을 제공한다. 예를들어, 제조 및 처리비용 뿐만아니라 시스템의 복잡성은 웨이퍼를 이동시키기 위한 다중 서보 축에 대한 필요성을 제거함으로써 최소화될 수 있다. 반도체 처리장치, 예를들어 이송아암(82)의 운동은 단일 축선 주위에서의 운동을 감소시킴으로써 전체 웨이퍼 자동화 비용을 감소시킬 수 있다. 모놀리식 단축선 이송아암의 용도는 이송 기구의 관절 부품을 제거함으로써 복잡성과 잠재적 신뢰성 손실을 최소화한다. 본 발명의 단일 축선 이송아암은 로드록 챔버 내부에 몇몇 이동부품만을 가지므로 입자 형성 및 오염을 더욱 더 최소화한다. 단-축선 아암은 처리챔버의 측면 장전을 가능하게 함으로써 전체 시스템의 깊이를 최소화하며, 따라서 시스템의 팩키징을 최적화한다. 게다가, 각각의 로드록 챔버내에 냉각 판을 위치시킴으로써 전용의 분리 냉각 스테이션에 대한 필요성이 없어진다. 본 발명의 냉각판 배열은 추가의 이송아암 축을 필요로 하지 않는데, 그 이유는 이송 아암으로부터 웨이퍼의 제거를 위한 냉각판 리프트 핀을 사용하기 때문이다. 이는 이들 기능이 단일 공간 절약 유닛내에 포함되어 있다는 점에서 종래의 웨이퍼 냉각 및 이송 해결책에 비해서 장점을 제공한다.
본 발명의 일 실시예에서, 처리 챔버의 공정 시퀀스는 화학적 턴-온 및 안정화에 대한 도펀트 농도 또는 필름 두께의 민감도가 최소화되는 완전 통과방식의 증착단계를 포함한다. 이와는 달리, 증착 챔버의 공정 시퀀스는 1998년 7월 10일자로 출원되어 본 발명에 참조된 미국 특허 제 09/113,730호에 기술되어 있는 바와 같이 주기적인 병진운동을 포함한다.
전체 시스템의 처리량의 감소없이 풋프린트 및 비용의 절감은 소유하기 위한 비용을 낮추며 단위 웨이퍼 처리비용을 낮춘다. 예를들어, 본 발명의 일 실시예에서 5000Å BPSG 필름에 대한 이러한 장비들의 소유 예상비용은 시스템 내의 처리챔버의 수(즉, 6 개의 처리챔버 대 4 개의 처리챔버)에 따라 웨이퍼 당 약 2.65 내지 2.72$이다. 대조적으로, 종래기술의 시스템에 의해 동일한 필름을 증착하는데에는 특정 제작자와 모델에 따라 약 3.59 내지 4.95$ 범위로 소요된다. 이러한 예시적인 비교에 의해 웨이퍼 당 약 26 내지 45% 범위의 비용절감이 달성됨을 알 수 있다.
바람직한 실시예의 예시적인 작동
특히, 도 16a 내지 도 16l을 참조하면, 본 발명에 따른 반도체 웨이퍼의 예시적인 이송 및 처리방법이 개략적으로 도시되어 있으며, 또한 로드록 챔버(80)가 각각의 처리챔버(40)에 부착되어 있다. 이송 아암(83)과 유사한 로드록 이송아암(도 16에 도시않음)은 정면 로봇(8)(도 16에 도시않음)으로부터의 반도체 웨이퍼를 수용하여 상기 로드록 챔버와 처리 챔버 사이로 웨이퍼를 이동시킨다.
로드록 챔버(80) 내부에 도시된 3 개의 타이어(tier)는 로드록 챔버(80) 내부의 상부 웨이퍼 선반(85'), 하부 웨이퍼 선반(87') 및 냉각판(95') 상에 놓여질 때 웨이퍼 위치를 지정한다. 냉각 판 조금 위의 제 4 "핀 업" 웨이퍼 위치가 도시되어 있지 않으나 후술된다. 처리 챔버(40) 내부에 도시된 두 개의 타이어는 처리 챔버(40) 내부의 상부 웨이퍼 선반(85") 및 하부 웨이퍼 선반(87")에 웨이퍼가 놓일 때 웨이퍼 위치를 지정한다. "척 표면" 및 "예열" 웨이퍼 위치와 같은 다른 웨이퍼 위치는 도시하지 않지만 후술한다.
예시적인 반도체 이송 및 처리 방법은 다음의 단계들을 포함한다.
1. 웨이퍼 카세트(7)가 대기압 정면(AFE) 유닛(6)의 정면에 각각의 스탠드 또는 자동화 장전 포트상에 위치되는 단계.
2. 대기압 정면 로봇(8)이 제 1 미처리 웨이퍼를 웨이퍼 카세트(7)로부터 제거하고 이를 웨이퍼 정렬기(9)로 이송하는 단계.
3. 웨이퍼 정렬기가 제 1 미처리 웨이퍼를 회전시켜 웨이퍼 중심을 계산하고 웨이퍼 오프셋을 결정하며, 또한 웨이퍼 노오치 위치가 특정 처리 필요성에 따라 결정 및 지향되는 단계.
4. 로드록 이송아암(82)의 단부 실행기(84)상에 제 1 미처리 웨이퍼의 중심을 정확히 맞추도록 계산된 오프셋에 의해 제 1 미처리 웨이퍼를 정렬기(9)로부터 제거하는 오프셋 픽 단계를 로봇(8)이 실행하는 단계.
5. 로드록 챔버(80)가 대기압에 통풍되고 개방되는 단계.
6. 본래 위치에 있는 이송 아암(82)에 의해, 제 1 미처리 웨이퍼(A)가 도 16a에 도시된 바와 같이 대기압 정면 로봇(8)에 의해 이송 아암 단부 실행기(84)의 상부 웨이퍼 선반(85) 상에 위치되며, 로드록 챔버(80)가 폐쇄되고 처리 챔버(40) 내의 압력과 실질적으로 동일한 이송 압력으로 진공화되는 단계.
7. 진공화가 완료될 때, 도 16b에 도시한 바와 같이 처리 챔버 슬롯 밸브(41)가 개방되고 로드록 이송 아암(82)이 제 1 미처리 웨이퍼(A)를 처리 챔버(40) 내측으로 이송하도록 회전되는 단계로서, 이 시점에서 척 조립체(60) 내부의 척 리프트 핀(70)은 "하방" 위치, 즉 척 표면(62)의 아래에 위치된다.
8. 로드록 이송 아암(82)은 척 조립체(60)의 직경에 동심인 제 1 미처리 웨이퍼(A)를 정밀하게 위치시킨 후에, 척 리프트 핀(70)은 상승되어 로드록 이송 아암(82) 상의 제 1 미처리 웨이퍼(A)와 접촉하며 로드록 이송 아암(82) 위의 제 1 미처리 웨이퍼(A)를 미처리 웨이퍼 "장전" 위치로 상승시켜 로드록 아암(82)이 로드록 챔버(80) 내측으로 다시 수축되어 척 리프트 핀(70)의 상부에 있는 제 1 미처리 웨이퍼(A)를 이탈시키는 단계.
9. 척 리프트 핀(80)이 웨이퍼 척 플레이트(62) 0.25 내지 0.75 ㎜ 위의 웨이퍼 "예열 위치"로 수축되는 단계로서, 8 내지 20초의 예열 시간의 완료시 척 리프트 핀(70)이 완전히 하강되며 제 1 미처리 웨이퍼(A)가 도 16c에 도시된 바와 같이 웨이퍼 척 플레이트(62)상에 진공 클램핑되는 단계.
10. 오존 발생기 방출 전압이 제로 출력으로부터 통상적으로 120g/㎤@40slm인 처리 설정점 출력으로 신속하게 램프되는 단계로서, 오존이 인젝터를 통해 밀봉판상으로 10 내지 15초의 안정화 기간동안에 배출되며, 계속해서 웨이퍼(A)의 온도가 척상에 장착되어 있는 동안 안정화되며, 액체 화합물 공급원 예를들어, TEOS, TEB 및 TEPo가 인젝터(43)를 통해 밀봉판(61) 위의 증착 마이크로-영역(49)으로 흐르도록 스위칭되는 단계.
11. 오존 및 열적 안정화 기간이 완료된 후에 웨이퍼 병진운동이 시작되고 제 1 미처리 웨이퍼가 CVD 인젝터(43) 아래로 이동되는 단계로서, 오존 및 액체 화합물 공급원이 충돌하여 가열된 제 1 미처리 웨이퍼(A)의 표면에서 반응하여 웨이퍼 상에 SiO층을 형성하는 실질적인 CVD 공정 수행단계.
12. 전체적으로 미처리된 웨이퍼(A)가 인젝터로부터의 증착가스에 의해 스트록될 때 까지 병진운동 액츄에이터(67)가 일정한 속도와 선형 방식으로 인젝터(43) 아래로 척 조립체(60)를 이동시키는 단계로서, 두께, 조성, 기타 공정 필요성에 따라 인젝터 출구로부터 유출되는 인젝터 가스에 의해 미처리된 웨이퍼 상에 필름이 균일하고 완전하게 증착됨으로써 제 1 미처리 웨이퍼(A)가 제 1 처리 웨이퍼(A')로 전환되는 단계.
13. 상기 단계 10 내지 12에서 설명한 CVD 공정 중에, 로드록 이송 아암(82)이 로드록 챔버(80)내의 본래위치로 복귀하는 단계로서, 공정 챔버 슬롯밸브(41)가 폐쇄되고 로드록 챔버(80)가 개방되어 대기와 통풍되며, 제 2 미처리 웨이퍼(B)가 도 16c에 도시한 바와 같이 AFE 로봇(8)에 의해 로드록 이송 아암의 단부 실행기(84)의 상부 웨이퍼 선반(85)상에 위치되며, 로드록 챔버(80)가 폐쇄되어이송 압력으로 진공화되며, 펌프-다운에 의하 진공의 완료 후에 상기 단계 10 내지 12에서 설명한 제 1 미처리 웨이퍼에 대한 CVD 공정이 완료될 때까지 로드록 챔버(80)가 공전상태로 유지되는 단계.
14. 제 1 웨이퍼에 대한 CVD 공정이 완료될 때, 오존 방출전압이 램프 다운되고 액체 화합물 공급원이 인젝터(43)로부터 분기되거나 턴 오프되는 단계로서, 척 조립체(60)가 장전 위치로 다시 이동되고 인젝터가 제로 화합물 농도에 도달할 때까지 상기 장전위치에서 수 초 동안 대기한 후에 진공 클램프(63)가 제 1 미처리 웨이퍼의 제거를 위한 준비로 해제되는 단계.
15. 제 1 처리 웨이퍼(A')의 클램핑을 해제한 후에, 처리 챔버 슬롯밸브(41)가 개방되고 척 리프트 핀(70)이 제 1 처리 웨이퍼(A')를 로드록 이송아암 단부 실행기(84)의 하부 웨이퍼 선반(87)의 예를들어, 약 0.75 내지 1.5 ㎜ 조금 위의 높이로 상승시키는 단계로서, 이렇게 처리된 웨이퍼의 "비장전 위치"가 단계 9의 "예열 위치"보다 위에 있으나 미처리된 웨이퍼의 단계 8의 "장전 위치" 아래에 있는 단계.
16. 단부 실행기(84)의 상부 웨이퍼 선반(85)상에 있는 제 2 미처리 웨이퍼(B)를 운반하는 로드록 이송아암(82)이 처리 챔버(40) 내측으로 회전되어 도 16d에 도시한 바와 같이 척 리프트 핀(70)상에 제 1 미처리 웨이퍼와 동심으로 위치되는 단계로서, 척 리프트 핀(70)이 하방 위치로 하강되어 제 1 미처리 웨이퍼(A')가 단부 실행기(84)의 하부 웨이퍼 선반(87)상에 놓이게 되며, 로드록 이송 아암(82)이 로드록 챔버(80) 내측으로 다시 회전되어 도 16e에 도시한 바와같이, 하부 웨이퍼 선반(87)상의 제 1 미처리 웨이퍼(A')와 상부 웨이퍼 선반(85)상의 제 2 미처리 웨이퍼(B)를 운반하는 단계.
17. 로드록 이송 아암(82)이 본래 위치로 회전된 후에, 하부 웨이퍼 선반(87) 위의 제 1 미처리 웨이퍼(A')가 웨이퍼 냉각판(95) 내에 위치된 냉각판 리프트 핀(96)에 의해 약 0.75 내지 1.5 ㎜ 단부 실행기(84)위로 들어올려지는 단계로서, 도 16f에 도시한 바와 같이 로드록 이송 아암(82)이 본래 위치에 있을 때 상기 냉각판이 단부 실행기(84)의 바로 아래에 동심으로 위치되며, 일단 제 1 미처리 웨이퍼(A')가 냉각판 리프트 핀(96)에 의해 상승되면 도 16g에 도시한 바와 같이 로드록 이송아암(82)이 단부 실행기(84)의 상부 웨이퍼 선반(85) 상에 있는 제 2 미처리 웨이퍼(B)를 운반하는 처리챔버(84) 내측으로 다시 회전되며, 제 2 미처리 웨이퍼(B)가 도 16h에 도시하고 단계 8 및 9에서 설명한 바와 동일한 방법으로 웨이퍼 척 조립체(60)상에 장전되는 단계.
18. 단계 17과 동시에, 제 1 미처리 웨이퍼(A)가 도 16g에 도시한 바와 같이 냉각판(95)의 예를들어 약 0.25㎜ 조금 위의 높이에서 냉각판 리프트 핀(96)의 "핀 업" 위치로 냉각 판(95)상으로 또는 냉각판의 조금 위로 하강되는 단계로서, 로드록 이송아암(82)이 냉각판(95) 상의 제 1 처리 웨이퍼(A') 바로 위의 본래 위치로 다시 자유롭게 회전되며, 주위 온도로 액체 또는 공기 냉각될 수 있는 냉각 판(95)이 로드록 챔버(80)가 대기와 통풍되는 시간 동안인 약 60초 내에 70℃ 이하로 처리된 웨이퍼를 냉각하는 단계.
19. 단계 18의 로드록 통풍이 완료된 후에 정면 게이트 밸브(100가 개방되고 냉각판 리프트 핀(96)이 제 1 미처리 웨이퍼(A')를 중간 높이로 상승시키는 단계로서, 상기 중간 높이가 도 16h에 도시한 바와 같이 냉각 높이와 단부 실행기(84) 비장전 높이 사이에 있으며, 도 16i에 도시한 바와 같이 AFE 로봇(8)이 냉각판 리프트 핀(96)으로부터 제 1 미처리 웨이퍼(A')를 회수하여 제 1 미처리 웨이퍼(A")를 웨이퍼 카세트(7)에 다시 위치시키는 단계.
20. 정면 게이트 밸브(10)가 개방되고 로드록 챔버(80)가 대기압이 되는 경우에, 제 3 미처리 웨이퍼(C)가 도 16h에 도시하고 단계 13에서 설명한 바와 같이 로드록 챔버 내측으로 장전되고 도 16j 내지 도 16l에 도시한 바와 같이 다음 단계를 반복하는 단계.
본 발명의 방법 및 장치는 각각의 처리챔버가 자체의 전용 로드록 챔버와 전용 웨이퍼 이송아암을 가지며 두 개 이상의 로드록/처리챔버 조립체의 수직 적층을 가능하게 하는 수직 범위로 정면 로봇에 의해 도움을 받는 평행한 공정처리 구성에 의해 처리 챔버 및 전체 처리시스템의 처리량을 증가시킨다. 처리 챔버 조립체를 적층시키고 전체 레이아웃을 최적화함으로써, 시스템의 풋프린트가 최소화된다. 각 처리챔버용 단축선 이송아암을 제공하는 것에 의해 처리챔버 내측으로의 각각의 웨이퍼 통로를 단순화하고 각각의 처리챔버에 대한 웨이퍼 장전/장전해제 시간을 감소시킴으로써 처리챔버의 처리량을 증가시킨다. 이중 웨이퍼 이송 시스템을 제공하는 것에 의해 각각의 로드록 과 처리챔버 사이로 미처리 웨이퍼와 처리 웨이퍼를 동시에 이송시킴으로써 웨이퍼 장전/장전해제 시간을 최소화함으로써 각 처리챔버의 처리량을 증가시킨다. 각각의 로드록 내부에 냉각판을 제공하는 것에 의해처리 웨이퍼의 냉각과 제거가 다른 웨이퍼의 처리와 동일하게 발생할 수 있음으로써 전체 처리시스템과 각각의 처리 챔버의 처리량을 더욱 증가시킨다.
본 발명의 특정 실시예에 대한 전술한 설명들은 단지 설명하고 도시할 목적으로 제시된 것이다. 이들은 본 발명을 설명한 것과 동일한 형태로 한정하려는 것이 아니며 이들 설명에 비추어 다수의 변경 및 변형예들이 있을 수 있다고 이해해야 한다. 상기 실시예들은 본 발명의 원리와 실제 적용을 설명하기 위해서 선택적으로 설명된 것이므로, 본 기술분야의 숙련된 자들은 다수의 변경예들을 갖는 본 발명과 다수의 실시예들을 특정 용도에 적합한 최선의 형태로 사용할 수 있다. 본 발명의 사상은 다음의 청구범위 및 그와 균등한 범주에 의해 정의되어 있다고 이해해야 한다. 본 발명에 인용된 모든 공보와 특허 출원은 개개의 공보 또는 특허출원이 참조로 구현될 수 있다고 특정적이고 별도로 지적되어 있는 바와 같이, 본 발명에 참조될 수 있다.

Claims (25)

  1. 반도체 웨이퍼 처리시스템으로서,
    반도체 웨이퍼를 이송하는 정면 로봇을 갖춘 대기압 정면 유닛과,
    복수의 수직 적층된 반도체 웨이퍼 처리챔버를 갖춘 다중 챔버 모듈과,
    각각의 반도체 웨이퍼 처리챔버에 제공되며 상기 로봇이 웨이퍼를 내측으로 이송하는 로드록 챔버, 및
    각각의 로드록 챔버와 각각의 웨이퍼 처리챔버 사이로 웨이퍼를 이송하도록 각각의 웨이퍼 처리챔버에 전용으로 사용되며 각각의 로드록 챔버에 제공되는 웨이퍼 이송장치를 포함하는 반도체 웨이퍼 처리시스템.
  2. 제 1 항에 있어서, 상기 복수의 처리챔버에 처리 화합물을 공급하는 공통의 처리 화합물 분배시스템을 더 포함하는 반도체 웨이퍼 처리시스템.
  3. 제 1 항에 있어서, 두 개 이상의 다중 챔버 모듈을 더 포함하며, 상기 다중 챔버 모듈은 선형으로 배열되어 있는 반도체 웨이퍼 처리시스템.
  4. 제 1 항에 있어서, 상기 웨이퍼 이송 장치는 상기 로드록 챔버 내에 피봇가능하게 장착된 이중-웨이퍼 단-축선 이송아암을 포함하며, 상기 이송 아암은 수축된 본래위치와 상기 처리챔버 내측으로 연장된 신장위치 및 주위에서 상기 이송아암이 상기 수축된 본래위치와 신장위치 사이에서 피봇되는 단일 피봇 축선을 갖는 반도체 웨이퍼 처리시스템.
  5. 제 4 항에 있어서, 상기 이송아암은 하부 웨이퍼 선반과 상부 웨이퍼 선반을 더 포함하는 반도체 웨이퍼 처리시스템.
  6. 제 4 항에 있어서, 상기 각각의 로드록 챔버는 상기 이송아암이 상기 수축된 본래위치에 있을 때 상기 이송아암 아래에 배열되는 냉각판을 더 포함하는 반도체 웨이퍼 처리시스템.
  7. 제 6 항에 있어서, 상기 냉각판은 웨이퍼를 상기 상부 웨이퍼 선반과 하부 웨이퍼 선반에 장전 및 장전해제시키기 위한 복수의 웨이퍼 리프트 핀을 더 포함하는 반도체 웨이퍼 처리시스템.
  8. 제 1 항에 있어서, 상기 각각의 처리챔버는 웨이퍼를 상기 이송아암으로부터 수용하여 웨이퍼를 상기 처리챔버 내부에 유지하는 웨이퍼 척 조립체를 더 포함하는 반도체 웨이퍼 처리시스템.
  9. 제 8 항에 있어서, 상기 웨이퍼 척 조립체는
    웨이퍼를 상기 웨이퍼 척에 고정하는 척 클램핑 표면, 및
    웨이퍼를 상기 이송아암과 상기 클램핑 표면 사이로 이송하는 복수의 리프트 핀을 포함하는 반도체 웨이퍼 처리시스템.
  10. 제 8 항에 있어서, 상기 웨이퍼 척 조립체는 웨이퍼를 장전위치와 처리위치 사이로 병진운동시키는 척 병진운동 프레임을 포함하는 반도체 웨이퍼 처리시스템.
  11. 제 10 항에 있어서, 상기 처리챔버에 대한 척 조립체의 피치, 롤 및 높이를 조절하는 척 조립체 조절기를 더 포함하는 반도체 웨이퍼 처리시스템.
  12. 제 10 항에 있어서, 상기 처리챔버에 대한 척 조립체의 피치, 롤 및 높이를 조절하는 3 개의 척 조립체 조절기를 포함하는 반도체 웨이퍼 처리시스템.
  13. 제 1 항에 있어서, 상기 처리챔버는
    상기 처리챔버에 단단히 장착되는 화학 증착 선형 인젝터와,
    상기 이송아암으로부터 웨이퍼를 수용하여 상기 선형 인젝터를 통과하도록 웨이퍼를 병진운동시키는 반도체 웨이퍼 처리시스템.
  14. 반도체 웨이퍼 처리장치로서,
    로드록 챔버와 반도체 웨이퍼 처리챔버 사이로 반도체 웨이퍼를 운반 및 이송하는 이중-웨이퍼 단-축선 이송아암을 포함하며,
    상기 이송아암은 단일 피봇 축선 주위의 상기 로드록 챔버 내부에 피봇가능하게 장착된 모놀리식 아암을 가지며, 상기 로드록 챔버와 처리챔버 사이에서 두 개 이상의 웨이퍼를 동시에 운반하는 반도체 웨이퍼 처리장치.
  15. 제 14 항에 있어서, 상기 이송아암은
    수축된 본래위치와 상기 이송아암이 상기 처리챔버 내측으로 연장되는 신장위치, 및
    피봇 아암이 상기 수축된 본래위치에 있을 때 상기 이송아암 아래에 배열되는 냉각판을 더 포함하며,
    상기 단일 피봇 축선은 상기 이송아암이 상기 수축된 본래위치와 신장위치 사이에 피봇될 수 있게 하는 반도체 웨이퍼 처리장치.
  16. 제 15 항에 있어서, 상기 냉각판은 상기 냉각판과 이송아암 사이로 웨이퍼를 이송하는 복수의 리프트 핀을 더 포함하는 반도체 웨이퍼 처리장치.
  17. 제 14 항에 있어서, 상기 이송아암은 처리된 웨이퍼를 운반하는 하부 웨이퍼 선반과 미처리된 웨이퍼를 운반하는 상부 웨이퍼 선반을 더 포함하는 반도체 웨이퍼 처리장치.
  18. 제 14 항에 있어서, 상기 이송아암은 하나의 미처리된 웨이퍼와 하나의 처리된 웨이퍼를 동시에 운반하는 반도체 웨이퍼 처리장치.
  19. 반도체 웨이퍼 처리방법으로서,
    미처리된 웨이퍼를 운반하는 상부 웨이퍼 선반과 처리된 웨이퍼를 운반하는 하부 웨이퍼 선반을 갖춘 이송아암을 구비한 로드록 챔버와 반도체 웨이퍼 처리챔버를 제공하는 단계와,
    상기 로드록 챔버와 처리챔버 사이로 처리된 웨이퍼와 미처리된 웨이퍼를 동시에 이송하는 단계를 포함하는 반도체 웨이퍼 처리방법.
  20. 제 19 항에 있어서, 처리 및 미처리된 웨이퍼를 상기 로드록 챔버와 처리챔버 사이로 동시에 이송하기 이전에 상기 로드록 챔버를 진공화하는 단계를 더 포함하는 반도체 웨이퍼 처리방법.
  21. 제 19 항에 있어서, 상기 제공단계는 상기 로드록 챔버 내부에 냉각판을 제공하는 단계를 더 포함하며, 상기 방법은
    상기 하부 웨이퍼 선반으로부터 냉각판으로 상기 처리된 웨이퍼를 이송하는 단계를 더 포함하는 반도체 웨이퍼 처리방법.
  22. 제 21 항에 있어서,
    상기 로드록 챔버로부터 처리챔버로 상기 상부 웨이퍼 선반 상의 미처리된웨이퍼를 이송하는 단계와,
    상기 상부 웨이퍼 선반으로부터 상기 반도체 웨이퍼 챔버 내에 장착된 웨이퍼 척으로 상기 미처리된 웨이퍼를 이송하는 단계, 및
    수축된 본래위치로부터 상기 반도체 웨이퍼 처리챔버 내에 장착된 화학 증착 인젝터를 지나서 신장위치로 상기 웨이퍼 척을 이송시키는 단계를 더 포함하며,
    상기 미처리된 웨이퍼는 처리된 웨이퍼 내측으로 처리되는 반도체 웨이퍼 처리방법.
  23. 제 19 항에 있어서, 상기 동시 이송단계 이전에
    제 1 미처리 웨이퍼를 이송아암에 수용하는 단계와,
    상기 제 1 미처리 웨이퍼를 처리 챔버로 이송하는 단계와,
    상기 제 1 미처리 웨이퍼를 제 1 처리 웨이퍼 내측으로 동시에 처리하여 제 2 미처리 웨이퍼를 이송아암에 수용하는 단계, 및
    상기 제 2 미처리 웨이퍼를 상기 이송아암에 유지하면서 상기 이송아암에 의해 상기 제 1 처리 웨이퍼를 회수하는 단계를 더 포함하는 반도체 웨이퍼 처리방법.
  24. 반도체 웨이퍼 처리방법으로서,
    반도체 웨이퍼를 이송하는 정면 로봇을 갖춘 대기압 정면 유닛과 복수의 수직으로 적층된 반도체 웨이퍼 처리챔버를 갖춘 다중 챔버 모듈과 각각의 반도체 웨이퍼 챔버를 위한 로드록 챔버 및 각각의 로드록 챔버를 위한 웨이퍼 이송 장치를 제공하는 단계와,
    상기 로봇을 경유하여 상기 대기압 정면 유닛과 로드록 챔버 사이로 웨이퍼를 이송하는 단계, 및
    상기 상기 웨이퍼 이송 장치를 경유하여 상기 하나의 로드록 챔버와 각각의 웨이퍼 처리챔버 사이로 웨이퍼를 이송하는 단계를 포함하며,
    상기 각각의 로드록 챔버와 각각의 웨이퍼 이송 장치는 각각의 웨이퍼 처리챔버에 전용으로 사용되는 반도체 웨이퍼 처리방법.
  25. 반도체 웨이퍼 처리시스템으로서,
    제거가능한 웨이퍼 장전용 카세트와,
    반도체 웨이퍼를 이송하는 정면 로봇을 갖춘 대기압 정면 유닛과,
    복수의 반도체 웨이퍼 처리챔버와,
    처리 위치와,
    수직 방위로 적층된 두 개 이상의 반도체 웨이퍼 처리챔버를 갖춘 다중 챔버 모듈, 및
    각각의 반도체 웨이퍼 처리챔버에 제공되는 로드록 챔버를 포함하며,
    상기 반도체 웨이퍼 처리챔버는
    웨이퍼를 웨이퍼 척에 고정하는 척 클램핑 표면을 가지며 상기 웨이퍼를 처리챔버 내부로 이송하는 웨이퍼 척 조립체와,
    상기 이송아암과 상기 클램핑 표면 사이로 웨이퍼를 이송하는 복수의 리프트 핀, 및
    장전위치와 처리위치 사이로 상기 웨이퍼를 병진운동시키는 척 병진운동 프레임을 포함하며,
    상기 로드록 챔버는
    상기 로드록 챔버와 반도체 웨이퍼 처리챔버 사이로 반도체 웨이퍼를 운반 및 이송시키는 이중-웨이퍼 단-축선 이송아암, 및 상기 피봇아암이 수축된 본래위치에 있을 때 상기 이송아암 아래에 배열되는 냉각판을 포함하며,
    상기 이송아암은 단일 피봇축선 주위의 로드록 챔버 내에 피봇가능하게 장착되는 모놀리식 아암을 가지며, 상기 이송아암은 이송아암이 상기 처리챔버 내측으로 연장되는 신장위치와 수축된 본래위치를 가지며, 상기 단일 피봇 축선은 이송아암이 상기 수축된 본래위치와 신장위치 사이에 피봇될 수 있게 하며, 상기 냉각판은 웨이퍼를 상기 냉각판과 이송아암 사이로 이송하는 복수의 리프트 핀을 포함하는 반도체 웨이퍼 처리시스템.
KR10-2001-7012462A 1999-04-02 2000-03-21 수직 적층된 처리챔버와 단일 축 이중 웨이퍼이송시스템을 갖춘 반도체 웨이퍼 처리시스템 KR100455226B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US12765099P 1999-04-02 1999-04-02
US12753299P 1999-04-02 1999-04-02
US60/127,650 1999-04-02
US60/127,532 1999-04-02
US09/483,945 2000-01-13
US09/483,945 US6610150B1 (en) 1999-04-02 2000-01-13 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system

Publications (2)

Publication Number Publication Date
KR20010110471A true KR20010110471A (ko) 2001-12-13
KR100455226B1 KR100455226B1 (ko) 2004-11-06

Family

ID=27383593

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7012462A KR100455226B1 (ko) 1999-04-02 2000-03-21 수직 적층된 처리챔버와 단일 축 이중 웨이퍼이송시스템을 갖춘 반도체 웨이퍼 처리시스템

Country Status (12)

Country Link
US (3) US6610150B1 (ko)
EP (1) EP1166180B1 (ko)
JP (2) JP2002541657A (ko)
KR (1) KR100455226B1 (ko)
CN (1) CN1348552A (ko)
AT (1) ATE311619T1 (ko)
AU (1) AU3906900A (ko)
CA (1) CA2369042A1 (ko)
DE (1) DE60024424T2 (ko)
IL (1) IL145678A0 (ko)
TW (2) TWI238438B (ko)
WO (1) WO2000060414A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100613343B1 (ko) * 2004-12-22 2006-08-21 동부일렉트로닉스 주식회사 반도체 소자의 제조 장치
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
KR100839653B1 (ko) * 2006-05-17 2008-06-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 클러스터 처리 장치

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
JP2004523880A (ja) * 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
JP3955724B2 (ja) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR20020072449A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020154975A1 (en) * 2001-04-18 2002-10-24 Applied Materials, Inc. Method and apparatus for wafer exchange employing stacked robot blades
US7231141B2 (en) * 2001-04-23 2007-06-12 Asm America, Inc. High temperature drop-off of a substrate
KR100417245B1 (ko) * 2001-05-02 2004-02-05 주성엔지니어링(주) 웨이퍼 가공을 위한 클러스터 툴
EP1263022B1 (en) * 2001-05-31 2007-04-25 S.E.S. Company Limited Substrate cleaning system
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
TWI274393B (en) * 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
AU2003233581A1 (en) * 2002-05-21 2003-12-12 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US7032287B1 (en) * 2002-07-19 2006-04-25 Nanometrics Incorporated Edge grip chuck
CN1711369B (zh) * 2002-11-15 2011-07-13 欧瑞康日光特吕巴赫股份公司 用于真空处理两维加长基片的装置及加工这种基片的方法
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
JP4303041B2 (ja) * 2003-06-18 2009-07-29 株式会社ディスコ 半導体ウエーハの加工装置
CN101894778A (zh) * 2003-08-29 2010-11-24 交叉自动控制公司 用于半导体处理的方法和装置
JP4137750B2 (ja) * 2003-09-17 2008-08-20 株式会社Sokudo 熱処理装置、熱処理方法および基板処理装置
KR100699994B1 (ko) * 2004-08-30 2007-03-26 삼성에스디아이 주식회사 라미네이션 장비 및 레이저 열전사 방법
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR100583724B1 (ko) * 2003-10-29 2006-05-25 삼성전자주식회사 기판 이송 장치
US20050115492A1 (en) * 2003-11-28 2005-06-02 Chia-Cheng Liu Method and apparatus of the chemical metal organic vapor epitaxy for the multi-chamber epitaxy layer deposition
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US6987272B2 (en) * 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7798764B2 (en) * 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
CN100394574C (zh) * 2005-12-08 2008-06-11 北京圆合电子技术有限责任公司 具有流量控制的平台真空气路系统及其控制方法
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
WO2007101207A2 (en) * 2006-02-27 2007-09-07 Anaconda Semi Lp Process chambers for substrate vacuum processing tool
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US20080210168A1 (en) 2007-01-18 2008-09-04 May Su Single chamber, multiple tube high efficiency vertical furnace system
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
WO2008116222A2 (en) * 2007-03-22 2008-09-25 Crossing Automation, Inc. A modular cluster tool
US7531368B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
KR100862895B1 (ko) * 2007-08-21 2008-10-13 세메스 주식회사 탄소나노튜브 합성 방법, 이를 적용한 탄소나노튜브 합성장치 및 시스템
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP4975605B2 (ja) * 2007-12-26 2012-07-11 東京エレクトロン株式会社 処理システム、処理システムの制御方法およびソフトウェアのバージョンアップ方法
US20110073469A1 (en) * 2008-03-19 2011-03-31 Yue Ma Electrochemical deposition system
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
KR101022314B1 (ko) * 2008-07-28 2011-03-21 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
JP5328726B2 (ja) * 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5611718B2 (ja) * 2009-08-27 2014-10-22 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US20110052795A1 (en) * 2009-09-01 2011-03-03 Samsung Mobile Display Co., Ltd. Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101084184B1 (ko) * 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) * 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101678056B1 (ko) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
KR102072200B1 (ko) 2011-04-22 2020-01-31 에이에스엠엘 네델란즈 비.브이. 리소그래피 머신들의 클러스터를 위한 네트워크 아키텍처 및 프로토콜
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
US9305815B2 (en) 2012-03-01 2016-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Automated material handling system and method for semiconductor manufacturing
CN103276369B (zh) * 2013-05-06 2016-02-17 南方科技大学 一种pecvd镀膜系统
CN105309062B (zh) * 2013-06-07 2018-12-21 株式会社富士 电子设备组装机
KR102108361B1 (ko) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
US10113236B2 (en) 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US11694907B2 (en) * 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism
JP6270952B1 (ja) * 2016-09-28 2018-01-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体。
US10003018B1 (en) * 2017-05-08 2018-06-19 Tokyo Electron Limited Vertical multi-batch magnetic annealing systems for reduced footprint manufacturing environments
US11061386B2 (en) 2017-05-16 2021-07-13 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3220331A (en) 1965-01-27 1965-11-30 Kulicke And Soffa Mfg Company Contact printing mask alignment apparatus for semiconductor wafer geometry
US4423701A (en) 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
DE3427057A1 (de) 1984-07-23 1986-01-23 Standard Elektrik Lorenz Ag, 7000 Stuttgart Anlage zum herstellen von halbleiter-schichtstrukturen durch epitaktisches wachstum
JPS63128710A (ja) 1986-11-19 1988-06-01 Mitsubishi Electric Corp 反応炉
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JP2502661B2 (ja) 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
JP2600399B2 (ja) * 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
JPH04141587A (ja) * 1990-10-01 1992-05-15 Nec Corp スパッタリング装置
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
WO1995016800A1 (en) 1993-12-17 1995-06-22 Brooks Automation, Inc. Apparatus for heating or cooling wafers
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
JPH0846013A (ja) 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
JPH07321178A (ja) * 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3483693B2 (ja) * 1995-02-02 2004-01-06 東京エレクトロン株式会社 搬送装置,搬送方法及び処理システム
TW297910B (ko) 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
JP3380652B2 (ja) * 1995-05-26 2003-02-24 東京エレクトロン株式会社 処理装置
US5607276A (en) 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
TW278200B (en) * 1995-07-06 1996-06-11 Brooks Automation Inc Door drive mechanisms for substrate carrier and load lock
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
TW318258B (ko) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5811823A (en) * 1996-02-16 1998-09-22 Eaton Corporation Control mechanisms for dosimetry control in ion implantation systems
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5810549A (en) * 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5954472A (en) * 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
JP3549674B2 (ja) * 1996-07-19 2004-08-04 東京応化工業株式会社 ロードロック室を備えた基板の処理装置
KR100269097B1 (ko) * 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JPH10107124A (ja) 1996-08-05 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
US5893699A (en) * 1996-10-31 1999-04-13 Phase Metrics, Inc. End effector for a robotic arm of a disk certifier
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
DE29716440U1 (de) 1997-09-12 1997-12-11 Balzers Hochvakuum Sputterstation
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100613343B1 (ko) * 2004-12-22 2006-08-21 동부일렉트로닉스 주식회사 반도체 소자의 제조 장치
KR100839653B1 (ko) * 2006-05-17 2008-06-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 클러스터 처리 장치
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템

Also Published As

Publication number Publication date
US20010010950A1 (en) 2001-08-02
TW200401331A (en) 2004-01-16
CN1348552A (zh) 2002-05-08
US20020033136A1 (en) 2002-03-21
US6610150B1 (en) 2003-08-26
CA2369042A1 (en) 2000-10-12
JP2006216983A (ja) 2006-08-17
EP1166180A4 (en) 2002-11-06
KR100455226B1 (ko) 2004-11-06
WO2000060414A1 (en) 2000-10-12
TWI238438B (en) 2005-08-21
DE60024424D1 (de) 2006-01-05
IL145678A0 (en) 2002-06-30
AU3906900A (en) 2000-10-23
TWI251252B (en) 2006-03-11
JP2002541657A (ja) 2002-12-03
ATE311619T1 (de) 2005-12-15
EP1166180A1 (en) 2002-01-02
US6846149B2 (en) 2005-01-25
DE60024424T2 (de) 2006-07-27
EP1166180B1 (en) 2005-11-30

Similar Documents

Publication Publication Date Title
KR100455226B1 (ko) 수직 적층된 처리챔버와 단일 축 이중 웨이퍼이송시스템을 갖춘 반도체 웨이퍼 처리시스템
US9378994B2 (en) Multi-position batch load lock apparatus and systems and methods including same
US6630053B2 (en) Semiconductor processing module and apparatus
KR101058326B1 (ko) 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼
US6174377B1 (en) Processing chamber for atomic layer deposition processes
US20080202892A1 (en) Stacked process chambers for substrate vacuum processing tool
US20080206036A1 (en) Magnetic media processing tool with storage bays and multi-axis robot arms
EP1182695B1 (en) Semiconductor processing module and apparatus
KR20010023463A (ko) 원자층 증착용 수직 적층 프로세스 반응기 및 클러스터 툴시스템
WO2000030156A1 (fr) Systeme de traitement sous vide
US20080202420A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20230154771A1 (en) Connected processing container and substrate processing method
KR102058985B1 (ko) 로드 스테이션
US20220170160A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US20080206022A1 (en) Mult-axis robot arms in substrate vacuum processing tool
US20080202419A1 (en) Gas manifold directly attached to substrate processing chamber
US20080202687A1 (en) Stacked process chambers for flat-panel display processing tool
US20080206021A1 (en) Stacked process chambers for magnetic media processing tool
US20080206023A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20080202686A1 (en) Self-contained process modules for magnetic media processing tool
US20080206020A1 (en) Flat-panel display processing tool with storage bays and multi-axis robot arms
US20080202410A1 (en) Multi-substrate size vacuum processing tool
US20080202417A1 (en) Self-contained process modules for vacuum processing tool
JP2001284334A (ja) 基板処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20071018

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee