TW480531B - Lower electrode design for higher uniformity - Google Patents

Lower electrode design for higher uniformity Download PDF

Info

Publication number
TW480531B
TW480531B TW089128050A TW89128050A TW480531B TW 480531 B TW480531 B TW 480531B TW 089128050 A TW089128050 A TW 089128050A TW 89128050 A TW89128050 A TW 89128050A TW 480531 B TW480531 B TW 480531B
Authority
TW
Taiwan
Prior art keywords
substrate
electrode
plasma
impedance
chuck
Prior art date
Application number
TW089128050A
Other languages
English (en)
Inventor
Fangli J Hao
Albert R Ellingboe
Eric H Lenz
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW480531B publication Critical patent/TW480531B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

480531 A7 B7 五、發明說明(1 ) 發明背景 (請先閱讀背面之注意事項再填寫本頁) 本發明與處理基底的裝置及方法有關,例如用於製造 I C的半導體基底,或用於平面顯示器的面板(例如玻璃 、塑膠或類似物)。更明確地說,本發明與具有橫跨整個 基底表面之高度處理均勻性之基底處理能力的處理方法與 裝置有關。 多年來,已引進及使用利用電感耦合電漿源、電子迴 旋磁力加速器共振(E C R )源、電容源或類似物的電漿 處理系統來處理半導體基底及顯示器面板。在製造這些產 品期間,會使用到多次沈積及/或蝕刻步驟。沈積期間, 在基底表面(如玻璃面板或晶圓)沈積材料。例如,沈積 層例如是成形在基底表面上各種類型的矽、二氧化矽、氮 化矽、金屬及類似物。蝕刻期間,將基底表面上預先定義 之區域上的材料選擇性地去除。蝕刻的特徵例如是成形在 基底各層中的孔道、接點、溝槽等。 現請參閱圖1 ,圖中顯示習用的電漿處理系統1 0。 經齊部智慧財4¾員工消費合作杜印製 爲處理基底,基底1 2放置在處理室1 6內的台座1 4上 ,處理氣體饋入處理室1 6。此外,供應能量給處理氣體 以灼熱處理室1 6內的電漿1 8。在電漿被灼熱之後,以 添加的能量維持,有各種熟知的方法稱合給電獎’例如電 容地、電感地、經由微波等。接著,電漿即被用於處理工 作,例如,在基底1 2上選擇性地鈾刻或沈積薄膜。在大 多數的情況中,在基底表面附近形成一護鞘電壓2 0,以 加速朝向基底1 2之電漿的離子,它們結合其它的作用物 -4 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^0531 ^0531 經濟部智慧財產局員工消費合作社印製 A7 B7 $、發明說明(2 ) 活化處理的反應。護鞘電壓與台座1 4與電漿1 8間產生 的電位有關。 不過,不幸的是,基底台座1 4與電漿1 8間的電氣 耦合並不均勻,因而致使整個基底1 2表面的處理性能發 生變異。特別是,基底中心與基底邊緣的處理傾向不同, 因此,中心與邊緣的良品率不同。因此,通常不使用基底 的邊緣來製造I C,因而使得製造成本提高。此外,現在 要求基底愈來愈大,增進基底邊緣的處理均勻性也愈來愈 重要。 由於以上所述,吾人需要增進基底表面處理均勻性的 方法與裝置。 發明槪述 本發明的一實施例與處理基底的電漿處理系統有關。 電漿處理系統包括一處理室,其內的電漿被灼熱並維持以 供處理。處理室具有較高端及較低端。電漿處理系統還包 括一電極,配置在處理室的較低端。電極被架構成產生處 理室內的電場。電漿處理系統還包括一控制該電極與該電 漿間阻抗的組件,該阻抗被用來影響該電場以增進該基底 之整個表面的處理均勻性。 本發明的另一實施例與處理基底的電漿處理系統有關 。電漿處理系統包括一處理室,其內的電漿被灼熱並維持 以供處理。電漿處理系統還包括一電極,配置在處理室的 內部。電極被架構成產生電漿與電極間的電場。電漿處理 系統還包括一卡盤,配置在電極上方。卡盤被架構成在處 -----------------------------^ (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -5- 480531 A7 B7 五、發明說明(3 ) (請先閱讀背面之注意事項再填寫本頁) 理期間固定基底。在卡盤區域中的電極與電漿間有第一阻 抗。電漿處理系統還包括一邊緣環,配置在電極上方及卡 盤附近。邊緣環被架構成至少能在將電漿中屏蔽電極。電 漿處理系統還包括一阻抗匹配層,配置在邊緣環與電極之 間。阻抗匹配層被架構成用以控制邊緣環區域中電極與電 漿間的第二阻抗。第二阻抗被安排成實質上等於第一阻抗 ,因此,當基底放置在卡盤上進行處理時,在基底表面之 電漿與電極間的電場實質上均勻。 經濟部智慧財產局員工消費合作社印製 本發明的另一實施例與用於以電漿處理基底的基底台 座有關。基底台座包括一電極,用以在基底上方產生電場 。電極的外圍大於基底的外圍。基底台座還包括一卡盤, 用以在處理期間固定基底。卡盤配置在電極的頂表面。基 底台座還包括一邊緣環,用以在電漿中屏蔽電極與卡盤。 邊緣環配置在電極上方。邊緣環具有第一部分及第二部分 -第·部分被架構成當基底被卡盤固定進行處理時,包圍 在基底邊緣。第二部分被架構成包圍在卡盤邊緣,其中, 第二部分在處理期間被配置在電極與基底之間。基底台座 也包括一阻抗匹配層,配置在邊緣環與電極之間。阻抗匹 配層被架構成控制通過卡盤、邊緣環及基底之電場的阻抗 。阻抗被用以影響電場,以增進整個基底表面的處理均勻 性。 圖式簡單說明 本發明由非限制之例示並配合圖式說明,其中,相同 -6- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480531 A7 B7 五、發明說明(4 ) 的編號代表相同的單元’其中: 圖1是習用電獎處理系統的側視圖; 圖2是按照本發明一實施例之電獎反應器的截面側視 圖。 圖3是按照本發明一實施例之均勻性台座的截面側視 圖。 圖4是按照本發明一實施例之均勻性台座的截面側視 圖。_ 符號說明 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 1 0 電 漿處 理 系 統 1 2 基 底 1 6 處 理室 1 4 台 座 1 8 電 漿 2 〇 護 鞘電 壓 1 〇 0 電 漿反 m 器 1 〇 2 處 理室 1 〇 3 電 漿 1 〇 4 上 電極 1 〇 6 第 一 R F 電 源 1 〇 8 氣 體入 □ 1 1 〇 基 底 1 1 2 台 座 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480531 A7
經濟部智慧財產局員工消費合作社印製 五、發明說明( 11 :5 ) 4 第二R F電源 1 1 6 排氣口 1 2 0 限制環 1 2 2 基底表面 1 2 1 護鞘電壓 1 3 〇 均勻性的台座 1 5 2 電極 1 5 4 卡盤 1 5 6 邊緣環 1 5 8 阻抗匹配層 1 6 0 基底 1 6 2 邊緣環的第一部分 1 6 4 邊緣環的第二部分 2 〇 〇 熱傳系統 2 〇 2 主通道 2 〇 4 卡盤通道 2 〇 6 邊緣環通道 2 0 8 第一間隙 2 1 0 第二間隙 (請先閱讀背面之注意事項再填寫本頁) 發明詳細說明 在基底的處理中,製程工程師最重要的參數之一是努 力增進處理的均勻性。如本文中所使用的名詞,處理的均 勻性是指橫跨整個基底表面處理的均勻性。如果是高均勻 -8- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480531 A7 B7 五、發明說明(6 ) 的處理,例如,在基底上每一點的處理速率應實質上相同 。在此情況,就不太可能某一區域的基底被過度地處理, (請先閱讀背面之注意事項再填寫本頁) 而其它區域的處理不夠。 因此,本發明屬於處理基底的增進方法與裝置。更明 確地說,本發明屬於一種基底台座,它可以在整個基底上 產生高度的處理均勻性。該台座被架構成可以減少經常在 基底邊緣附近所發現的電氣及熱的不連續。經由減少這些 不連續,在基底之中心與邊緣間所發現的處理變異可以實 質地減少。結果是,有較多的基底可以用來製造I C,裝 置的良品率因而增加。 以下參考圖2 - 4討論本發明的實施例。不過,熟悉 此方面技術的人士應很容易瞭解,關於本文對這些圖式所 做的詳細描述,其目的只是解釋,本發明的範圍超越這些 有限的實施例。 經濟部智慧財產局員工消費合作社印製 在較佳實施例中,本發明是用於電漿反應器,如電容 耦合電漿反應器,可從加州的Lam Research Corporation of Fermont處獲得。雖然是以電容耦合的電漿反應器來顯示及 描述,但須瞭解,本發明可應用到任何一種適合形成電漿 的電漿反應器,如電感耦合或E C R反應器。 圖2說明按照本發明的電漿反應器1 0 0。電漿反應 器100 —般包括處理室102 ,其內有電漿103 ,電 漿被灼熱並維持以進行處理。處理室1 0 2的內部一般配 置有上電極1 0 4,它經由匹配網絡(未顯不)親合到弟 一 RF電源供應器1〇6。第一 RF電源供應器106 — -9- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480531 A7 _ B7 五、發明說明(7 ) (請先閱讀背面之注意事項再填寫本頁) 般被架構成供應上電極1 0 4所需的R F能源。氣體入口 1〇8配置在上電極1 0 4內,用以將氣體的源材料,例 如蝕刻的源氣體,釋放到上電極1 0 4與基底1 1 〇間的 作用區。氣體源材料也可從處理室本身之壁上的孔釋放。 經濟邹智慧財產局員工消費合作社印製 基底1 1 0被送入處理室1 0 2內,並放置在台座 1 1 2上,它做爲卡盤及下電極。台座1 1 2由第二rf 電源供應器1 1 4偏壓(典型上也是經由匹配網絡)爲佳 ,以供應台座1 1 2所需的R F能源。台座1 1 2的卡盤 部分例如是E S C (靜電)卡盤,它是以靜電力將基底 1 1 0緊固在卡盤表面。不過,須瞭解,也可以使用機械 式卡盤。在下文中將詳細描述台座1 1 2。此外,基底 1 1 0代表要被處理的工件,例如可以是要被蝕刻、沈積 或做其它處理的半導體基底,或要被處理成平面顯示器的 玻璃面板。此外,排氣口 1 1 6 .用於排放處理期間形成的 副產品氣體,通常是配置在處理室1 0 2的室壁及台座 1 1 2上。在大多數的實施例中,排氣口 1 1 6是耦合到 邦浦,以保持處理室1 0 2內適當的壓力。此外,在處理 室1 0 2內部的上電極1 0 4與台座1 1 2間配置一限制 環1 2 0,以限制基底1 1 0上方的電漿1 〇 3。 雖然圖中所顯示及描述的台座1 1 2是親合到R F電 源供應器1 1 4 ,但很明顯,也可以使用其它的結構以適 應不同的處理室,或順應能量耦合所需要的其它外部因數 。例如,在某些單頻的電漿反應器中,台座可耦合接地。 爲產生電漿1 0 3 ,典型上要將處理氣體經由氣體入 -10- 本紙張尺度適用甲國國家標準(CNS)A4規格(21〇 x 297公釐) 480531 Α7 __ Β7 五、發明說明(8 ) (請先閱讀背面之注意事項再填寫本頁) 口 1 0 8輸入到處理室1 0 2內。接下來,當一或兩個 R F電源供應器被激勵,經由電極;l 〇 4及/或1 1 2在 處理室內耦合一大電場。電場在處理室1 〇 2的內部激勵 出少量的電子,致使它們與處理氣體的氣體分子碰撞。結 果使氣體分子失去電子,並留下帶正電的離子。一旦電子 的產生率超過它們的損失率,電漿即被灼熱。接著,電漿 1〇3即被用於處理工作,例如,在基底1 1 〇上選擇性 地蝕刻或沈積一薄膜。在大多數的情況中,會在基底表面 1 2 2附近形成一護鞘電壓1 2 1 ,以將電漿1 〇 3的離 子朝向基底1 1 0加速,它們可以結合其它作用物活化處 理反應。 經濟部智慧財產局員工消費合作社印製 須注意,雖然所詳細描述的是電漿反應器1 〇 〇,但 發明本身並不限於任何特定類型的基底處理裝置,且可適 用於任何一種已知的基底處理系統,包括但不限於適用於 沈積處理,包括化學氣相沈積(C V D )、電漿加強化學 氣相沈積(P E C V D ),物理氣相沈積(P V D ),如 濺射。此外,本發明也適用於任何一種適合及已知的蝕刻 處理,包括適用於乾蝕、電漿蝕刻、反應離子蝕刻( R I E )、磁加強反應離子蝕刻(Μ E R I E )、電子迴 旋磁力加速器共振(E C R )或類似物。此外,本發明可 實用於上述任何一種反應器,以及其它適用的電漿處理反 應器。請注意,以上與傳送能量給電漿的方法也真正無關 ,無論是直接電流的電漿源,經由平行電極板的電容耦合 ,經由E C R微波電漿源,或經由電感耦合的R F源,如 -11 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經齊部智慧財t咼員工消費合作钍印製 480531 A7 ___ B7 五、發明說明(9 ) 螺旋極化天線(helicon )、螺旋形共振器、R F天線(平 面或非平面)。 按照本發明的一態樣,提供一種均勻性的台座,它可 以在整個基底表面產生高度均勻性的處理。特別是,均勻 性的台座被架構成能產生均勻的電場。圖3說明按照本發 明之實施例的均勻性台座1 3 0。均勻性台座1 3 0可對 應於圖2的台座1 1 2。 均勻性台座1 30 —般包括電極1 52、卡盤1 54 、邊緣環1 5 6及阻抗匹配層1 5 8。電極1 5 2被架構 成能產生電場,它的強度足以將能量耦合通過卡盤1 5 4 、邊緣環1 5 6、阻抗匹配層1 5 8及基底1 6 0。如例 示,電極1 5 2所產生的能量可以在基底表面與電漿間形 成一護鞘電壓,它用來加速從電漿到基底的離子。所耦合 之能量的量,通常能影響用來處理基底之電漿的密度與能 量。例如,如果耦合的能量大,離子能量傾向高,如果耦 合的能量小,離子能量傾向低。相對地,在基底處理期間 的離子能量高傾向較活潑,基底處理期間的離子能量低則 傾向較不活潑。 此外,電極1 5 2的頂表面被架構成實質地均勻且實 質地平行於該基底1 6 0,以提供分布均勻的能量。此外 ,電極1 5 2通常是由適合的導電材料製成,例如鋁。電 極1 5 2的外緣被架構成至少延伸超過基底1 6 0的外緣 。不過,須注意,要小心不要使電場超出基底1 6 0邊緣 太遠,以免大量的功率損失於該區域。在一實施例中,電 -------^---------^-- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -12- 480531 A7 __ B7 五、發明說明(10) (請先閱讀背面之注意事項再填寫本頁) 極1 5 2被架構成所耦合的能量超過基底1 6 0邊緣2毫 米。電極延伸超過基底邊緣特別有利的一點是使基底邊緣 的電氣特性傾向更均勻。易言之,在基底邊緣附近的能量 耦合傾向更均勻,結果是,在整個基底表面的處理都傾向 更均勻。 卡盤1 5 4被耦合到電極1 5 2的頂表面,並包括一 陶瓷層(例如A 1 3〇2 ),它被架構成當基底1 6 0被放 置在均勻性台座1 3 0上處理時,用以接受基底1 6 0的 背面。一般言之,卡盤1 5 4與基底1 6 0實質上平行。 例如卡盤1 5 4可以是E S C (靜電)卡盤,它以靜電力 將基底1 6 0固定在卡盤表面。可用於均勻性台座1 3 0 的E S C卡盤結構例如詳細描述於Kulby等人的美國專利 5 ’ 793 ,192,該文全文倂入本文參考。在大多數 的實施例中,卡盤1 5 4的外緣小於基底1 6 0的外緣, 如此’當基底1 6 0放在非均勻性的台座上處理時,卡盤 1 5 4被基底1 6 0完全覆蓋。在一特定的實施中,卡盤 1 5 4的外緣超出基底1 6 0的外緣大約2毫米。或者, 經濟部智慧財產局員工消費合作社印製 卡盤1 5 4的外緣可被架構成延伸超過基底1 6 0的外緣 〇 在某些電漿反應器中(例如高功率反應器),鄰接基 底1 6 0的表面也許會因電漿磨耗(即離子轟擊)而被破 壞’ S此’在電極的上方配置邊緣環1 5 6 ,用以屏蔽在 電獎1 0 3中的電極1 5 2及卡盤1 5 4。在大多數的實 施例中’邊緣環1 5 6被架構成是消耗性組件,在過度磨 -13- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) 480531 A7 B7 五、發明說明(n) 耗後可以更換。爲有效地屏蔽電極1 5 2及卡盤1 5 4, 邊緣環1 5 6典型上具有第一部分1 6 2 ,它包圍在基底 (請先閱讀背面之注意事項再填寫本頁) 1 6 0的外緣,它的第二部分1 6 4典型上毗鄰卡盤 1 5 4的外緣,且是配置在電極1 5 2與基底1 6 0之間 .如圖所示,當基底1 6 0放置到均勻性台座1 3 0上進 行處理時,第二部分1 6 4被基底1 6 0覆蓋。在一實施 中,邊緣環的第二部分在基底的下方延伸大約2毫米。 經濟邹智慧財產局員X-消費合作社印製 此外,邊緣環1 5 6的外緣被架構成向外延伸至少到 達電極1 5 2的外緣。不過,一般言之,較佳的情況是邊 緣環1 5 6的長度(橫跨底表面量測)保持小,以降低處 理基底1 6 0所需的功率。長度在2到大約1 5毫米間都 能工作良好。如同延伸的電極,邊緣環提供的耦合區以延 伸超過基底的邊緣爲佳,因此,橫跨基底的電氣特性傾向 更均勻。此外,邊緣環1 5 6的頂表面(例如第一部分 1 6 2 )稍低於或與基底1 6 0的頂表面同高,因此,卡 盤與邊緣環共同形成一凹部,用以接受要被處理的基底。 不過,須注意,邊緣環之頂表面的高度可以按照每一個電 漿處理系統的特定設計而變(例如,可以延伸高於基底或 傾斜)。 此外,邊緣環1 5 6可以電氣地漂浮或電氣地耦合到. D C接地(即不需要R F接地)。此外,邊緣環通常是由 適當的介電材料製成,例如矽、二氧化矽、氮化矽、碳化 矽、石英及類似物。例示的邊緣環是由矽製成,更明確地 說,是由單晶矽製成,工作良好。 •14- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480531 A7 _ B7 五、發明說明(12 請 先 閱 讀 背 面 之 注 意 事 項 再 填 寫 本 頁 均勻性台座1 3 0也包括阻抗匹配層1 5 8 ,它配置 在邊緣環1 56與電極1 52之間。阻抗匹配層1 58被 架構成用以控制電極1 5 2在整個基底表面所產生之電場 的阻抗。更明確地說,阻抗匹配層1 5 8被架構成用以改 變產生在基底1 6 0邊緣附近之電場的阻抗。經由改變阻 抗,在整個基底表面可以產生更均勻的能量耦合。結果是 ,可以得到處理的均勻性,如此,在基底中心的處理速率 可以實質上等於基底邊緣的處理速率。因此,基底的邊緣 也可用來製造I C,藉以提高產量。在某些情況,本發明 允許基底的最後3毫米都可以使用。 此外,所使用之材料的種類及厚度也是有效控制電極 與電漿間阻抗的重要因素。一般言之,阻抗匹配層的厚度 在大約0 · 1 0到大約1 0毫米之間,且適合阻抗匹配層 的材料例如矽、二氧化矽、氮化矽、碳化矽、石英、鋁、 陽極處理的鋁及鋁陶瓷,例如鋁的氧化物都工作良好。 經濟部智慧財產局員工消費合作社印製 阻抗匹配層的長度(或覆蓋量)也是有效控制電極與 電漿間阻抗的重要因素。在一實施例中,阻抗匹配層的長 度等於邊緣環的長度(例如,橫跨邊緣環的底表面)。在 另一實施例中,阻抗匹配層的長度小於邊緣環的長度。在 此特定的實施例中,較小的阻抗匹配層可朝邊緣環的內緣 、邊緣環的外緣或邊緣環的中間放置。例示的阻抗匹配層 配置在邊緣環與電極之間,僅在基底的區域內。 典型上,所得到的能量耦合程度,是電漿與電極間每 單位面積之總阻抗的因數。如熟悉此方面技術之人士所瞭 -15- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480531 A7 B7 五、發明說明(13 ) (請先閱讀背面之注意事項再填寫本頁) 解,阻抗一般的定義是對阻止電荷流動或電流通過電路的 -種量測。當某一功率橫跨基底時,橫跨整基底有阻抗高 及低的區域,一般相信,基底之阻抗低的區域,所耦合的 能量也傾向較高,在阻抗較高的區域,基底所耦合的能量 也較低。因此,能量耦合的均勻性極度依賴均勻性台座的 阻抗。 一般言之,單位面積的總阻抗是基底每單位面積的阻 抗,卡盤每單位面積的阻抗,邊緣環每單位面積的阻抗, 阻抗匹配層每單位面積的阻抗,以及它們之間任何間隙每 單位面積的阻抗的函數。不過,不幸的是,通過卡盤及基 底中心所產生的阻抗,與通過卡盤、邊緣環及基底邊緣所 產生的阻抗不同,因爲上述組件之間在基底邊緣有空氣間 隙及介面,且卡盤與邊緣環的材料不同。結果是,一般言 之,耦合到基底邊緣的能量與耦合到基底中央能量不同( 例如非一均勻)。 涇齊部智慧財4¾員X.消費合阼f£印製 在較佳實施例中,在基底的邊緣配置阻抗匹配層以調 整阻抗(例如護鞘電壓),以使基底邊緣的阻抗等於基底 中央的阻抗。按此方式,使整個基底表面的能量耦合能更 均勻,並因此可得到處理的均勻性。 在一實施例中,邊緣環的厚度及阻抗匹配層的厚度被 最佳化以得到所要的耦合效果。例如,減少/增加邊緣環 的厚度,以及增加/減少阻抗匹配層的厚度,可以減少/ 增加基底邊緣的阻抗。在另一實施例中,可以調整阻抗匹 配層的材質(例如介電常數)以使基底邊緣的阻抗與基底 -16- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 480531 A7 _ B7 五、發明說明(14 ) (請先閱讀背面之注音?事項再填寫本頁) 中央的阻抗匹配。例如,減少/增加介電常數的値可以減 少/增加基底邊緣的阻抗。在又一實施例中,阻抗匹配層 的長度與位置的最佳化也可以得到所要的親合效果。例如 較小/較大的阻抗匹配層長度可以減少/增加基底邊緣的 阻抗。此外,阻抗匹配層相對於邊緣環之邊緣的位置,也 可減少/增加基底邊緣的阻抗。 因此,阻抗匹配層的厚度,以及,邊緣環的厚度、阻 抗匹配層的材質特性,以及阻抗匹配層的長度與位置,都 可用來使基底邊緣的阻抗與基底中央的阻抗匹配。 在一特定實施例中,邊緣環第二部分(例如延伸到基 底下方的部分)的厚度大約1毫米,且阻抗匹配層的厚度 大約1毫米。此外,邊緣環的介電常數與卡盤的陶瓷層相 Μ ’以及’阻ί/L匹配層的介電常數大於邊緣環的介電常數 。在此例中,介電常數較大以補償環氧樹脂(例如增加阻 抗)’環氧樹脂存在於卡盤之陶瓷部分的邊緣。環氧樹脂 通常是用來保護卡盤免受區域性場的破壞(例如形成電弧 )。 經齊邹智慧財轰咼員X消費合作钍印製 雖然所顯示及描述的基底台座可以產生一均勻的電場 ,但須瞭解,基底台座也可以被架構成產生一非一均勻的 電場’以補償其它的處理非-均勻性,例如非-均勻的電 漿密度。如前述,阻抗的量通常會影響耦合之能量的量, 耦合之能量的量,通常會影響用來處理基底之電漿的密度 與能量。因此,經由故意設計一種有能力改變電極所產生 之電場的基底台座,將可增進系統整體的處理均勻性。在 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) -17 - 480531 A7 __B7 五、發明說明(15) 一特定的實施例中,配置阻抗匹配層以改變基底台座的阻 抗,以便提供橫跨整個基底表面變化的電場。 (請先閱讀背面之注意事項再填寫本頁) 除了電氣耦合之外,基底與卡盤間的熱接觸,以及電 極與邊緣環間的熱接觸,通常不足以消散掉處理期間所產 生的熱。熟悉此方面技術的人士都瞭解,基底處理(例如 離子轟擊)傾向會增加基底以及包圍基底之毗鄰表面的溫 度。當溫度增加,即形成橫跨整個表面的局部溫差,此傾 向造成晶圓面壓力及氣體流率改變。於是,這些變化造成 局部面積的電漿密度變高或降低,此傾向影響處理的均勻 性(例如處理速率)。此外,基底的溫度可能會上升到無 法接受的位準。 因此,按照本發明的另一實施例,配置一熱傳系統以 控制處理期間基底與邊緣環的溫度。熱傳系統通常被架構 成用以將熱傳媒體分配到基底/卡盤的介面,以及邊緣環 --線· /電極的介面。 經濟部智慧財產局員Η消費合作社印製 爲便於討論此實施例,圖4說明一熱傳系統2 0 0, 它配置在均勻性台座1 3 0的內部。如所述,均勻性台座 1 3 0被架構成用以支撐基底1 6 0,通常包括電極 1 5 2、卡盤1 5 4、邊緣環1 5 6,以及阻抗匹配層 1 5 8。熱傳系統2 0 0通常包括主通道2 0 2 ,用以將 熱傳媒體分配到複數個卡盤通道2 0 4及複數個邊緣環通 道2 0 6。卡盤通道2 0 4被架構成將熱傳媒體分配到位 於卡盤1 5 4與基底1 6 0背側間的第一間隙2 0 8。邊 緣環通道2 0 6被架構成將熱傳媒體分配到位於電極 -18- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480531 A7 B7 五、發明說明(16 ) 1 5 2與邊緣環1 5 6背側間的第二間隙2 1 〇。 (請先閱讀背面之注咅?事項再填寫本頁) 在一實施例中,在壓力下(在此實施例中大約2 0托 )將冷卻氣體氨氣引入熱傳系統做爲熱傳媒體’用以在處 理期間精確控制基底與邊緣環的溫度,以確保處理結果的 均勻及重現性。在另一實施例中,邊緣環1 5 6以栓 2 5 0耦合到電極,以固定邊緣環同時提供適當的間隙以 釋出第二間隙的熱傳媒體。此外或另者’可以在基底台座 的內部配置加熱器,以提供更均勻的溫度控制。 經濟部智慧財產局員工消費合作社印製 從前述中可看出,本發明提供極多優於習知技術的優 點。例如,本發明有能力在整個基底表面以高度的處理均 勻性處理基底。特別是,基底台座配置一阻抗匹配層,它 提供控制基底台座之阻抗的能力,並因此控制從其耦合的 電場。在一實施例中,配置阻抗匹配層,以使基底台座在 處理室內產生一均勻的電場。結果,用來處理基底的離子 密度與離子能量更均勻,並因此獲得均勻的處理。在另一 種結構中,配置阻抗匹配層以使基底台座產生變化的電場 ,以補償其它的非-均勻性(例如非一均勻的電漿密度) 。結果,處理的均勻性得以增進。此外,本發明提供一基 底台座,它被架構成在處理期間可以冷卻基底與邊緣環, 它可以降低溫度、壓力及傳導的變動,這些都傾向產生處 理的非-均勻性。因此,本發明可減少被丟棄的邊緣,並 增加基底的產量。 雖然是以數個較佳實施例描述本發明,但它們的改變 、替換、或相等物,都在本發明的範圍之內。須注意,本 -19- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮) 480531 A7 --------B7 五、發明說明(17 ) 發明的實施方法及裝置可有很多種變化。因此,要將以下 的所附申請專利範圍解釋成包括所有在本發明之真正精神 與範圍內的這類改變、替換及相等物。 經濟部智慧財產局員工消費合作社印製 -20- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 480531 A8 B8 C8 D8 六、申請專利範圍 1 · 一種用於處理基底的電漿處理系統,包括: 一其內有電漿的處理室,電漿被灼熱並維持以進行該 處理,該處理室具有較高端與較低端; --電極,配置在該處理室的較低端,該電極被架構成 在該處理室內產生電場;以及 一組件,用以控制該電極與該電漿間的阻抗,該阻抗 被安排成能影響該電場,以增進橫跨該基底之整個表面的 處理均勻性。 2 ·如申請專利範圍第1項的電漿處理系統,其中該 阻抗被架構成可降低該電場的改變。 3 ·如申請專利範圍第1項的電漿處理系統,其中該 阻抗被架構成可改變該電場。 4 ·如申請專利範圍第1項的電漿處理系統,當該基 底被放置到該處理室進行處理時,其中的該電場在該基底 表面與該電漿間產生一護鞘電壓。 5 .如申請專利範圍第1項的電漿處理系統,進一步 包括一邊緣環,配置在該電極配置的上方,該組件配置在 該邊緣環與該電極配置之間。 6 ·如申請專利範圍第1項的電漿處理系統,其中該 組件被配置在該基底的邊緣,用以控制該電極與該電漿之 間的該阻抗。 7 ·如申請專利範圍第6項的電漿處理系統,當該基 底被置入該處理室進行處理時,其中該組件中一部分配置 在該基底與該電極之間。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 面 之 注 意 事 項 頁 經濟部智慧財產局員工消費合作社印制取 -21 - 480531 A8 B8 C8 D8 六、申請專利範圍 8 . —種用於處理基底的電漿處理系統,包括: 一其內有電漿的處理室,電漿被灼熱並維持以進行該 處理; 一電極,配置在該處理室內,該電極被架構成在該電 漿與該電極間產生電場; 一卡盤,配置在該電極上方,該卡盤被架構成在處理 期間固定該基底,該電場在該電極與該電漿間之該卡盤的 區域內具有第一阻抗; 一邊緣環,配置在該電極上方,並毗鄰該卡盤,該邊 緣環被架構成至少在該電漿中屏蔽該電極; 一阻抗匹配層,配置在該邊緣環與該電極之間,該阻 抗匹配層被架構成控制該電極與該電漿在該邊緣環區域的 第二阻抗,其中第二阻抗被安排成實質上等於第一阻抗, 如此,當該基底被放置在該卡盤進行處理時,該電漿與該 電極間在該基底表面的該電場被實質上均勻化。 9 .如申請專利範圍第8項的電漿處理系統,其中該 卡盤耦合到該電極。 1〇.如申請專利範圍第8項的電漿處理系統,其中 經濟部智慧財產局員工消費合作社印?^ ---------------裝--- (請—2I1H 頁) --線· 該卡盤是一靜電卡盤。 1 1 ·如申請專利範圍第8項的電漿處理系統,其中 該阻抗匹配層接合到該邊緣環。 1 2 ·如申請專利範圍第8項的電漿處理系統,其中 該阻抗匹配層接合到該電極。 1 3 .如申請專利範圍第8項的電漿處理系統,其中 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480531 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 該阻抗匹配層相對於該邊緣環的長度及位置被調整以控制 該第二阻抗。 1 4 ·如申請專利範圍第8項的電漿處理系統,其中 製造該阻抗匹配層的材料具有一介電常數,其中該介電常 數被調整以控制該第二阻抗。 1 5 .如申請專利範圍第8項的電漿處理系統,其中 該阻抗匹配層的厚度被調整以控制該第二阻抗。 1 6 .如申請專利範圍第8項的電漿處理系統,其中 該電極具有一外緣,它大於或等於該基底的外緣。 1 7 ·如申請專利範圍第8項的電漿處理系統,其中 ,當該基底被放置到該卡盤進行處理時,該電場在該基底 的表面產生一均勻的護鞘電壓。 1 8 ·如申請專利範圍第8項的電漿處理系統,進一 步包括一 R F電源供應器,它耦合到該電極,該R F電源 供應器被架構成供應R F能量給該電極。 1 9 ·如申請專利範圍第8項的電漿處理系統,進一 步包括一熱傳系統,用以在處理期間控制該基底與該邊緣 環的溫度,該熱傳系統包括第一通道’延伸通過該電極到 達該卡盤與該基底間的介面,以及’第二通道延伸通過該 電極到達該電極與該邊緣環的介面’該熱傳系統被架構成 經由該通道提供熱傳媒體。 2 〇 .如申請專利範圍第1 9項的電漿處理系統,其 中該熱傳媒體是氦氣。 2 1 · —種用於以電漿處理基底的基底台座,包括: (請先閱讀背面之注意· 事項再填»頁) 裝 一5J·- :線· 本紙張尺度適用中0喊標準(CNS)A4規格(210 X 297公釐) 480531 A8 B8 C8 __D8 六、申請專利範圍 一電極’用以在該基底上產生電場,該電極具有一外 緣,它大於該基底的外緣; 一卡盤;用以在處理期間固定該基底,該卡盤配置在 該電極的頂表面; > 一邊緣環’用以在電漿中屏蔽該電極及該卡盤,該邊 緣環配置在該電極的上方,該邊緣環具有第一部分及第.二 部分’當該基底被該卡盤固定進行處理時,該第一部分被 架構成包圍在該基底邊緣的四周,該第二部分被架構成包 圍在該卡盤邊緣的四周,在處理期間,其中,該第二部分 配置在該電極與該基底之間;以及 一阻抗匹配層,配置在該邊緣環與該電極之間,該阻 抗匹配層被架構成控制通過該卡盤、該邊緣環及該基底之 該電場的阻抗,該阻抗被用以影響該電場,以增進整個基 底表面的處理均勻性。 (請先閲讀背面之注意事項再填Λ頁) 裝 =0 . •線- 經濟部智慧財產局員工消費合作社印製 適 度 尺 張 一紙 本 準 標 家 國 釐 公 97 2 X 10 2 ¥( 規 ^4
TW089128050A 1999-12-30 2000-12-27 Lower electrode design for higher uniformity TW480531B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/475,824 US6363882B1 (en) 1999-12-30 1999-12-30 Lower electrode design for higher uniformity

Publications (1)

Publication Number Publication Date
TW480531B true TW480531B (en) 2002-03-21

Family

ID=23889316

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089128050A TW480531B (en) 1999-12-30 2000-12-27 Lower electrode design for higher uniformity

Country Status (9)

Country Link
US (2) US6363882B1 (zh)
EP (1) EP1249033A1 (zh)
JP (2) JP5238114B2 (zh)
KR (1) KR100743875B1 (zh)
CN (2) CN100385620C (zh)
AU (1) AU2593401A (zh)
MY (2) MY126731A (zh)
TW (1) TW480531B (zh)
WO (1) WO2001050497A1 (zh)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
KR100502268B1 (ko) * 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
JP2002110652A (ja) * 2000-10-03 2002-04-12 Rohm Co Ltd プラズマ処理方法およびその装置
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
JP2005520337A (ja) * 2002-03-12 2005-07-07 東京エレクトロン株式会社 プラズマ処理のための改良された基板ホルダ
TW554465B (en) * 2002-08-27 2003-09-21 Winbond Electronics Corp Apparatus for supporting wafer in semiconductor process
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
DE10255936B4 (de) * 2002-11-29 2005-12-29 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Isolationsschicht und Verfahren zum Steuern einer Stickstoffkonzentration während der Herstellung der Isolationsschicht
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) * 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
JP2005303099A (ja) 2004-04-14 2005-10-27 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US7570130B2 (en) * 2004-07-12 2009-08-04 Applied Materials, Inc. Apparatus and methods for a fixed impedance transformation network for use in connection with a plasma chamber
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US20060021980A1 (en) * 2004-07-30 2006-02-02 Lee Sang H System and method for controlling a power distribution within a microwave cavity
US7271363B2 (en) * 2004-09-01 2007-09-18 Noritsu Koki Co., Ltd. Portable microwave plasma systems including a supply line for gas and microwaves
US7189939B2 (en) * 2004-09-01 2007-03-13 Noritsu Koki Co., Ltd. Portable microwave plasma discharge unit
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
JP5102500B2 (ja) * 2007-01-22 2012-12-19 東京エレクトロン株式会社 基板処理装置
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
JP5227197B2 (ja) * 2008-06-19 2013-07-03 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
CN101754565B (zh) * 2008-12-03 2012-07-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种电极组件及应用该电极组件的等离子体处理设备
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
JP2010278166A (ja) * 2009-05-27 2010-12-09 Tokyo Electron Ltd プラズマ処理用円環状部品、及びプラズマ処理装置
KR101559913B1 (ko) * 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
CN102387655B (zh) * 2010-09-06 2015-10-21 北京北方微电子基地设备工艺研究中心有限责任公司 用于等离子体设备的下电极及等离子体设备
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN102280342B (zh) * 2011-08-19 2013-08-21 中微半导体设备(上海)有限公司 等离子体处理装置
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
CN105551925A (zh) * 2015-12-08 2016-05-04 武汉华星光电技术有限公司 干刻蚀装置
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10923385B2 (en) * 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems
JP7018331B2 (ja) 2018-02-23 2022-02-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102223759B1 (ko) * 2018-06-07 2021-03-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7129307B2 (ja) * 2018-10-10 2022-09-01 東京エレクトロン株式会社 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法
US11682574B2 (en) 2018-12-03 2023-06-20 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
WO2020149972A1 (en) * 2019-01-15 2020-07-23 Applied Materials, Inc. Pedestal for substrate processing chambers
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7454961B2 (ja) 2020-03-05 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置
US20210287881A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for tuning semiconductor processes
KR102274530B1 (ko) * 2021-01-11 2021-07-07 티오에스주식회사 초고속 다채널 플라즈마 감지 장치
CN115537917A (zh) * 2022-10-10 2022-12-30 浙江合特光电有限公司 一种钙钛矿外延生长工艺及用于该工艺的沉积设备

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4133738A (en) 1977-07-18 1979-01-09 General Electric Company Electrode with a graded electrical resistance substrate
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
TW277139B (zh) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
TW299559B (zh) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5716485A (en) 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP3424903B2 (ja) * 1997-01-23 2003-07-07 東京エレクトロン株式会社 プラズマ処理装置
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US5978202A (en) 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
WO1999014788A1 (en) 1997-09-16 1999-03-25 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
JP3565309B2 (ja) * 1997-11-28 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP4130255B2 (ja) * 1998-04-08 2008-08-06 キヤノンアネルバ株式会社 プラズマ処理装置
JP3583289B2 (ja) * 1998-05-28 2004-11-04 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
KR100743875B1 (ko) 2007-07-30
CN100385620C (zh) 2008-04-30
US6363882B1 (en) 2002-04-02
JP2012186497A (ja) 2012-09-27
US20020059981A1 (en) 2002-05-23
WO2001050497A1 (en) 2001-07-12
JP5921952B2 (ja) 2016-05-24
EP1249033A1 (en) 2002-10-16
CN1437759A (zh) 2003-08-20
CN1822317A (zh) 2006-08-23
CN1252792C (zh) 2006-04-19
MY126731A (en) 2006-10-31
US7524397B2 (en) 2009-04-28
JP5238114B2 (ja) 2013-07-17
JP2003519907A (ja) 2003-06-24
KR20020063278A (ko) 2002-08-01
AU2593401A (en) 2001-07-16
MY139877A (en) 2009-11-30

Similar Documents

Publication Publication Date Title
TW480531B (en) Lower electrode design for higher uniformity
KR102594442B1 (ko) 플라즈마 처리 장치
KR100518617B1 (ko) 플라즈마처리장치 및 플라즈마 처리방법
US8988848B2 (en) Extended and independent RF powered cathode substrate for extreme edge tunability
TWI326940B (en) Antenna for producing uniform process rates
JP4869610B2 (ja) 基板保持部材及び基板処理装置
TW516113B (en) Plasma processing device and plasma processing method
KR102092623B1 (ko) 플라스마 처리 장치
KR20100126510A (ko) 플라스마 챔버의 조정가능한 접지 평면
JP2009231439A (ja) プラズマ処理装置
JP2011525694A (ja) 異なる高さの内側及び外側電極を備えたカソード
JP5970268B2 (ja) プラズマ処理装置および処理方法
KR20100128238A (ko) 플라즈마 처리용 원환 형상 부품 및 플라즈마 처리 장치
KR102218686B1 (ko) 플라스마 처리 장치
KR20200101993A (ko) 기판 지지부를 위한 프로세스 키트
KR100806522B1 (ko) 유도 결합 플라즈마 반응기
US20040163595A1 (en) Plasma processing apparatus
TW404146B (en) Equipment for fabricating semiconductor device using plasma
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
KR100721573B1 (ko) 유도결합형 플라즈마 처리장치
TWI840341B (zh) 用於基板支撐件的處理套組
US20230071494A1 (en) Conductive Member for Cleaning Focus Ring of a Plasma Processing Apparatus
CN213660342U (zh) 一种静电夹盘及等离子体处理装置
EP4148774A1 (en) Conductive member for cleaning focus ring of a plasma processing apparatus
JP2004241592A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent