TW463242B - Method of depositing a stack including titanium and titanium nitride films on a wafer surface in a single chamber - Google Patents

Method of depositing a stack including titanium and titanium nitride films on a wafer surface in a single chamber Download PDF

Info

Publication number
TW463242B
TW463242B TW089107467A TW89107467A TW463242B TW 463242 B TW463242 B TW 463242B TW 089107467 A TW089107467 A TW 089107467A TW 89107467 A TW89107467 A TW 89107467A TW 463242 B TW463242 B TW 463242B
Authority
TW
Taiwan
Prior art keywords
titanium
film
deposited
depositing
patent application
Prior art date
Application number
TW089107467A
Other languages
English (en)
Inventor
Gerrit J Leusink
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW463242B publication Critical patent/TW463242B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

46324 2
經濟部智慧財產局員工消f合作社印製 五、發明說明(1 ) 本申請案爲美國專利申請案序列號第〇9/294,487號之部 分延續’(歸檔於丨999年4月2〇曰),其内容明確以參考之 方式併於本文。 發明範圍 本發明係關於以化學氣相沉積法(CVD)將薄膜塗層覆至 基材,更明確關於以CVD方法將阻擋疊層(例如鈦及氮化 鈦)覆至半導體晶片基材。 發明背景 在形成積體電路(IC’s)時’經常使含金屬元素之薄膜沉積 到基片表面上,如沉積於半導體晶片上。沉積薄膜用於在 電路和各種IC器件間提供導電接觸及歐姆(電阻)接觸。例 如’可使所需薄膜覆到半導體晶圓上觸點或通路孔之暴露 表面’該薄膜通過晶圓之絕緣層提供導電材料插塞,以跨 越絕緣層製造内部互連。 沉積金屬薄膜的一種熟悉方法爲化學氣相沉積法 (CVD) ’在各種沉積中,薄膜係用化學反應或反應劑氣體 於基片表面上沉積。在CVD方法中,反應氣體係以泵送入 反應室内基片之近處’該氣體隨後於基片表面反應,產生 一種或多種反應副產物’在基片表面上形成薄膜入沉積後 將保留的任何副產物自該室移除。雖然CVD方法爲沉積薄 膜的一種有用技術,但很多傳統CVD方法基本上爲熱方 法’爲獲得必要反應,需要超過5〇〇或10CKTC之高溫。由於 南溫對電器件組成1C的各種其它方面和層具有一定影響, 所以沉積溫度時常太高,以致於不能實用於I C製造。因 -4- 本紙張尺度適計國國家梯準(CNS)A4規格(210 X 297公釐) {請先閱讀背面之注^項再填寫本頁) -裝· I — I,I 訂 **ιιιι·ι—Λ 463242 A7 B7
五、發明說明(2 ) 經濟部智慧財產局負工消費合作社印製 此,一種用於降低反應溫度之CVD方法爲使一種或多種反 應氣體離子化。此類技術一般稱爲電漿增強化學氣相沉積 (PECVD)。一種有效PECVD方法在普通轉讓美國專利第 5,975,9 12號中闡述,其標題爲"低溫電漿增強形成積體電 路"(Low Temperature Plasma-Enhanced Formation of Integrated Circuits),其内容完全以參考之方式併於本文。 第912號專利揭示一種低溫(<500°(:)?£(:乂0-丁丨和1'丨>4薄膜之 單室方法。美國專利第5,567,243及第5,716,870號描述沉積 PECVD-Ti薄膜之硬體設計及方法。 在諸多應用中,需要在沉積某種金屬導體(如鋁或鶴)前 沉積氮化鈦阻擋層。可由化學氣相沉積法沉積氮化鈦。化 學氣相沉積之反應劑和副產物-尤其爲四氣化鈦-作用蝕刻 鈦接觸層。因此,必須在氮化鈦氣相沉積前將鈦氮化。因 而產生出疊層’其包括欽薄膜、鈥薄膜之氮化層及上部氮 ,所有各層均介於下面基片或導體和上層金屬之 間。 、 施加及使鈦氮化之習用方法爲PECVD法。沉積氮化鈦習 用熱CVD方法。此等PECVD及熱CVD反應包括不同製程參 數’且需要不同處理設備。因此,代表性欽、經氮化鈇及 氮化鈦疊層製造製程序列係於兩個單獨模塊中進行,二者 通常與丰導體晶圓製造群集設備的公共眞空轉移模塊相 連。其製程序列包括,首先以相當架空時間將各晶圓轉移 至PECVD模塊’在用於Ti-PECVD之模塊中建立穩定製造 條件’在鈦沉積及氮化經沉積鈦層後,抽吸該模塊,使晶 -5- 冬紙诋尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I 1 n ϋ Lw ϋ n *1 n 1 — * i f I ϋ i n ϋ I I # Γ清先閱讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 463242 A7 ------- B7 __ 五、發明說明(3 ) 圓通過轉移模塊送至熱CVD模塊,以沉積氮化鈦層,其包 括在熱CVD-TiN沉積模塊中建立穩定製造條件,然後自熱 C VD-TiN模塊移出該晶圓。 不同製程參數阻礙在相同模塊中結合pECVQ-Ti和熱 CVD-TiN之積成。傳統上’用於pECVD_Ti之喷^淋頭溫度至 少爲425 °C,因爲更低溫度形成易於自噴淋頭剝離的 TiClxHY,但不超過500T,因爲更高溫度將對金屬噴淋頭 產生氣腐蝕’該溫度對電漿產生、溫度控制以及PECVD反 應器中的其它因素較佳。另—方面,在TiN沉積所用之熱 CVD反應器中’噴淋頭溫度—般至少爲15〇°C,因爲更低 溫度將導致NHqCl凝聚,但不超過250Ό,因爲更高溫度將 在喷淋頭上產生TiN沉積。晶圓溫度及室壓一般亦因 PECVD-Ti及熱CVD反應而不同。溫度和壓力循環使過多薄 片自反應器組件中沉積’需要頻繁就地及外部清理,所有 這些均降低產量及增加架空時間,尤其增加恢復製程參數 變化之穩定時間。 現已經在同一反應器中用TiN沉積及Ti沉積之PECVD方 法沉積Ti及TiN,但用TiN之熱CVD產生之TiN薄膜性能及 沉積效率具有較佳優勢。 因此’需要沉積Ti ’經氮化Ti及TiN之疊層之更充分和有 效之方法,特別爲使用PECVD-Ti和熱CVD-TiN之方法。 發明概述 本發明提供於單一室中連續沉積鈦和氮化鈦之CVD方 法,特別藉由PEC VD法沉積鈦,隨後氮化,且由熱CVD法 -6- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 ----II 訂--I ----—-! 463242 A7 五、發明說明(4 沉積TiN。爲此曰认、 將鈦薄膜藉由接基:=據本發明之方法’在反應室中 衆沉積到基片表面上接二成四“減體和氨氣之電 氣、氮氣或氨氣/氮氣旧入:、严内藉含11風體(如氨 jr ^ ^ ^ 气巩邮&物)亂化經沉積之鈦薄膜,隨後 在间—至内由埶C VD .,表你各V, 膜上。 …VD^使氮化鈦薄膜沉積到經氮化之鈦薄 根據本發明的—個M彳 们軚佳具體實施例,噴淋頭溫度、基片 ΰ®度及室内厚力力下;,”社_ 士麵,— 積、丁丨氮化及丁iN沉積製程中保持 隨·亙疋’如此減少剥落和顆粒產生,減少由製程參數變 化產生之架空。本發明之單室方法藉結合⑽沉積和下面 鈦沉積及氮化製程而增加生產率。 在:發明的某些具體實施例中’基片溫度較佳在整個製 程中高於鈥㈣在同-室反應之最低溫度,且低於四氣化 鈦蝕刻矽(最高溫度。例如,該基片溫度較佳在整個製程 中保持在至少㈣(TC^T、高於·。c之溫度。選擇基片溫 度提供至少約58(TC最佳界限更佳。喷淋頭溫度較佳保持 在至少約425°C溫度,以防止不穩定鈥化合物自噴淋頭剌 洛,但在整個製程中較佳不超過約7〇〇χ:之最大製程溫 度。對金屬噴淋頭而言,喷淋頭溫度保持在不大於5〇〇。〇 溫度更佳,以避晃腐蝕金屬。在整個製程中,内室壓力 佳保持在約1托(torr)至約1 〇托之壓力。如此提供在單個 應室内積合鈦及氮化鈦之沉積方法。 繪圖簡述 該圖爲用於本發明沉積室之部分截面側視圖。對該裝置 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I I I--- I - — I (請先閱讀背面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消费合作社印製 較 反 46324 2 A7 經濟部智慧財產局員工消费合作社印製 _______B7_五、發明說明(5 ) 之改進揭示於美國專利第5,628,829號,其内容全部以參考 之方式併於本文。 發明詳述 有效PECVD方法頃於普通轉讓美國專利5,975,9 12號闡 明,其標題爲"低溫電漿增強形成積體電路",其全部内容 以參考之方式併於本文。第912號專利揭示低溫(<5〇〇°C ) PECVD-Ti和TiN薄膜之單室製造方法。美國專利第 5,567,243及5,716,870號描述沉積1^(:乂〇-1^薄膜之硬體設計 及方法’二者分別以參考之方式併於本文。普通轉讓及同 在申請中美國專利申請案序列號第09/063,196號揭示在 PECVD-Ti模塊中產生有價値/穩定PECVD‘Ti製程之製程流 動參數,其標題爲M鈍化及改良Ti-PECVD製造室及操作之 方法 ”(Method of Passivating And Conditioning Ti-PECVD Process Chamber And Method of Processing Therewith)" > 其 全部内容併於本文以供參考。普通轉讓及同在申請中之美 國專利申請案序列號第08/9 14,673號標題爲"TiCl4蝕刻方法 在積合C —VD-Ti/TiN晶片製程中之用途"(Use of TiCl4 Etch Back Process During Integrated CVD-Ti/TiN Wafer Processing)" > 其揭示在CVD-TiN沉積前使鈦薄膜蝕離氧化表面之方法, 其内容明確以參考之方式併於本文。該方法消除在隨後 CVD-TiN製程中由高分壓TiCl4侵蝕鈦薄膜產生之問題。美 國專利第5,279,857及5,308,655號揭示藉助安卡爾氨 (ammonia anneal)減小CVD-TiN薄膜電阻率之方法,二者全 部以參考之方式併於本文。普通轉讓及同在申請中美國專 (請先閲讀背面之注意事項再填寫本頁) -8 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 46324 2 A7 經濟部智慧財產局貝工消t合作社印製 B7_____五、發明說明(6 ) 利案序列號第08/940,779號標題爲11在CVD及PECVD反應中 防止反應氣體之過早混合物之方法及裝置(Apparatus and Method for Preventing the Premature Mixture of Reactant Gases in CVD and PECVD Reactions),所揭示噴淋頭設計 使兩種反應氣體非經混合引入C VD室,該案内容全部以參 考之方式併於本文。普通轉讓及同在申請中美國專利申請 案序列號第09/153,128號標題爲”在PECVD操作室電隔離電 極之裝置(Apparatus for Electrically Isolating an Electrode in a PECVD Process Chamber),其内容以參考之方式併於 本文,其設計揭示防止在RF(射頻)供電表面及接地表面 間之絕緣體上形成導電通路。美國專利第5,593,51 1號揭示 鈦層之低溫氮化,其全部内容以參考之方式併於本文。 繪圖顯示本發明所用CVD反應器的一個具體實施例。類 似結構揭示於美國專利第5,647,9i 1號,其揭示内容全部以 參考之力式併於本文。反應器20包括沉積室外殼22,沉 積室外殼22界定反應或沉積空間24。可選擇性將反應器 20(具體爲外殼22内之反應空間24)排空至各種不同内部 壓力。對Ti和TiNCVD反應而言’此等壓力一般於〇.2至20 托(T〇rr)範圍内。支持基片2δ之基座26與軸承30結合。爲 使基座26能夠加熱其上承載之基片28,亦可由加熱元件 (未顯示)加熱基座2 6,以達到實施製程所需之控制溫度。 該溫度通常至少爲約500°C,而對本文所述方法而言,該 溫度較佳自約580°C至約700°C。 自外殼22之上壁32向下延伸爲圓柱形裝配34,該装配 -9 - (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS>A4規格(210 X 297公釐) 463242 A7 _______B7 五、發明說明(7 ) 連接至氣體分散噴淋頭36。嘴淋頭36藉裝配㈣浮於基 片28上。圓柱部件34與上殼壁32中形成之開口u奸入, 於外殼蓋46和噴淋頭36間延伸形成—般垂直液動通道 44。喷淋頭3 6較佳爲後混合類型噴琳頭,其類型描述於 1997年9月30日歸檔的美國專利案序列號第⑽削〇,川 號’其内容以參考之方式併於本文,其標題爲"在⑽及 PECVD反應中防止反應氣體之過早混合物之方法及裝置 ,·。噴淋頭36經延伸通過蓋46適合RF進料管線裝配“連 接至RF(射頻)電源38。封閉結構49封閉進料管線裝配4〇 周圍之開口。進料管線40可包括傳熱管(未顯示),以驅散 不需要之熱量。因此,可將噴淋頭用作電漿增強⑽(例 如,用於沉積鈦及使鈦氮化)之電極及氣體引入元件,或 者用作沉積氮化欽之氣體分布喷淋頭。 貪淋頭3 6用.R F (射頻)電源施加偏壓,以用作π。Vd技 術之RF.i;極。RF電極之近間距以及所得濃電漿極有用於 ^ECVD,特別用於含鈦薄膜之PECVD。RF電源以通過 管線裝配4 0對噴淋頭3 6施加偏壓,以將該噴淋頭作用作 RF電極。因此,接地基座26可形成另一個平行電極。 經濟部智慧財產局員工消費合作社印ΙΪ %車又佳產生於喷淋頭3 6及基座2 6之間。經施加偏壓喷淋 ·=*負3 6產生之r p·场激發通過孔洞6 4分配之電槳氣體,使電 水儿即在嘴淋頭36下方產生’而不產生在高於喷淋頭/電 極的流動空間4 4範圍内。可在RF進料管線裝配4 〇内用絕 緣套筒使R F管線與外殼2 2和圓柱裝配3 4之金屬絕緣。可 用石英絕緣環62將噴淋頭36與圓柱裝配34分隔。可用基
本紙張尺度適財S 8家標準(CNSM4規格(210 X 297公釐) 463242 經濟部智慧財產局員工消費合作社印裂 A7 B7____ 五、發明說明(8 ) 座26旋轉保證均句流動氣體生成用於均勻沉積之電漿氣 體。 反應氣體(如TiCU)係通過環5 0和5 2引入。來自環5 〇及 5 2之氣泥在流動空間4 4段内推進,使氣體運行至噴淋頭 3 ό。反應氣體之氣態微粒係藉噴淋頭3 6及基座2 6產生之 RF電場激發。因此,受激反應氣體微粒之氣態混合物以 及電漿氣體之原子團和離子集中在基片28上方以及該基 片附近。圓柱裝配34之尺寸使噴淋頭36和基片28之間距 較佳低於2 5毫米,約2 〇毫米更佳。 用於PECVD噴淋頭3 6之RF能量頻率介於(例如)45〇千赫 ^t(KHz)和13.56千千赫茲較為適宜,儘管pecvd-Ή反應及 氮化反應對頻率不特別敏感。在接近基片2 8使用噴淋頭 36使具高密度之有用氣體原子團和離子之濃電漿接近該 基片表面29。 廢氣通過出口 53自反應空間24排出。可提供擋板27穩 定基座2 6周圍之氣流。 該反應器20用於電漿增強化學氣相沉積鈦、氮化鈦、矽 化鈦、亦用於前沉積鈦薄膜退火生成氮化鈦。用上述反應 器20及下述製程條件,使鈦沉積、氮化和氮化鈦沉積全 部在單室反應器2 0内連續進行。 基片28可為任何類型IC基片,包括矽或氧化矽以及具 金屬導體、觸點、絕緣層及類似之經塗覆或部分塗覆基 質。本發明的某些方面特別用於沉積到矽上。 為沉積鈦薄膜,需將氫氣加至南化鈦氣體(如四氣化鈦) -11- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ------------Ϊ --------訂---------r (請先閱讀背面之;i意事項再填寫本頁} 4 哚濟部智慧財產局員工消費合作社印製 6324 2 A7 _____B7______五、發明說明(9 ) 中。在該反應中,四氣化鈦之流速為約2至约〖〇〇 sccm( — 般為約5 seem) ’且伴有有意義過量之氫氣流。通常,氫氣 流速為四氣化鈦流速約i 〇至約500倍,例如約3〇〇倍,亦可 使用氬’從而部分排除氫氣。用於混合此等氣體之氣體入 口溫度或噴淋頭溫度確定於約425χ;至約500°C,而基片被 加熱為至少約500°C和約700t:之間,約580X:最佳。反應室 之壓力可自約0.2托至約20托,一般约1.0托至約10托。在 高於20托壓力時,可能將不會形成電漿。 RF電極係於約100瓦至約5千瓦最大功率(在此功率時, 设備可能損壞)操作。但出於實施需要,約350瓦足夠。 R F電極之頻率設置於約3 3千千赫茲至約5 5千赫茲,約 450千赫兹可以接受。該頻率為聯邦通訊委員會(Federal Communication Commission)確定之頻率,大多數設備為該 頻率建立。然而’該頻率當然不決定本發明之最佳頻率β 因而’經混合南化鈦和氫氣氣體注入圓柱裝配3 4,且通 過RF電極/噴淋頭。電漿經產生,使鈦生成及沉積到基片 28上。氫與南反應而被耗盡,如與氯反應生成氣化氫。 繼續反應,沉積鈥薄膜,直到覆上所需厚度之薄膜。該厚 度根據特殊及所需應用,可自約2〇埃至約2,〇〇〇埃(人)變 化。 ’ 可視需要使矽化鈦沉積至基片表面2 9上,如在接觸孔或 通路内沉積。為生成矽化鈦,使齒化鈦氣體(較佳用四氣 化致)與碎烷反應生成矽化鈦及氯化氫。如必要,可引入 惰性氣體(如氬或氦)保持壓力B 然後在同一反應器2 0内將上述所沉積鈦薄膜氮化。在該 -------------------訂---------^.5; 〈請先閱讀背面之注意事項再填寫本頁) -12- 本纸張尺度刺_國國家標(CNS>A4規格(210 X 297公爱) 46324 2 A7 B7 經濟部智慧財產局貝工消費合作社印製 五、發明說明(1〇 ) 反應中,基片28足表面29上所沉積鈦薄膜係暴露於含氮 電漿下,如氨及/或氮電漿。較佳使用氨,因爲其反應性 良好。該電漿係藉由使氮化氣體簡單以高溫及減壓經過 Rr %極產生。氮化氣體較佳爲氨或氣氣,其流速可自约 10 sccmi約5000 seem。該RF頻率較佳爲58〇千赫茲。反 應溫度(即基片溫度)至少爲约500χ,至少約58crc更佳。 用於氮化之較佳基片溫度與沉積鈦薄膜所用溫度相同,使 得在製程間大體上沒有基片溫度變化。在氮化鈦沉積期 間,噴淋頭較佳保持在於約425。(:至約5〇(rc,較佳與沉積 鈦薄膜所用噴淋頭溫度相同。 氮化期間,反應室之内壓必須保持低於大氣壓,—般可 自約0.2托至約2 0托,較佳約i至約丨〇托。反應室於鈦氮 化期間之内壓與沉積鈦薄膜所用壓力相同,使得製程間没 有壓力變化。在氮化反應中,反應時間可自约i秒至約i⑼ 秒,較佳約30-50秒。 氮化飲係藉由熱CVD法沉積於經氮化之經沉積鈦薄膜 上,如藉四氣化鈦或其它由化鈦與氮源(如氨氣或氮氣和 氫氣之混合物)反應,產生氮化鈦及氣化氫副產物。齒化 鈦之流速較佳自約0.5至約5〇 sccm ^氮源氣體之流速應自 约5 0至约5〇〇〇 sccm。反應溫度或基片溫度至少爲約5⑽ °C,較佳與沉積鈦薄膜及鈦薄膜氮化所用基片溫度相同, 使得在製程間没有基片溫度變化。氮化鈦沉積期間,嘴淋 頭較佳保持於約425°C至約500。(:,較佳與沉積鈦薄膜及欽 薄膜氮化所用噴淋頭溫度相同。與習用熱CVD_TiN沉積製 -13- 本紙張尺度適用中關家標準(CNS)A4規格(210 * 297公爱) -!裝--------訂. (請先閲讀背面之注意事項再填寫本頁) A7 46324 2 __B7__ 五、發明說明(11 ) 程中以較低溫度防止TiN沉積於噴淋頭上不同,較高溫度 使TiN沉積於噴淋頭上,用TiN沉積集合Ti、氮化及ήν沉 積之製程條件,使反應器更加穩定。使用恆定溫度避免在 溫度循環下產生顆粒。亦可使用580°C或590°C之噴淋頭溫 度,用噴淋頭之陶磁性或其它抗腐蝕塗層防止熱反應氣體 與喷淋頭發生腐蝕反應時,亦可使噴淋頭溫度達到650 °C,或甚至700Ό。 在TiN之熱CVD期間,該反應室之内部壓力可保持於約 0.2托至約2 0托,較佳約1至1 〇托。該反應室之内部壓力 較佳保持恆定’較佳與沉積鈦薄膜及鈦薄膜氮化所用壓力 相同,使得在製程間實質上沒有壓力變化。 因此,多重分層係根據本發明在單個反應室内沉積於基 片上。首先使鈦層沉積於基片上,隨後由氨或氮電漿退火 將鈦氮化。然後根據前述步驟沉積氮化鈦層。基片溫度、 噴淋頭溫度及内I一直保持在大體恆定値較爲有利。在製 程間避免溫度及壓力變化,能夠減少剝落及顆粒產生。避 免參數變化更利單室使用,因爲能消除抽吸和清潔時間, 特別可消除或減少由製程參數變化導致之穩定化時間,因 而大大增加產量。而且,以一室代替二室時,可用兩個單 室結合Ti/TiN處理模塊雙倍處理以不同室中同時處理兩塊 晶圓之多個基片。這更進一增加產量,而且亦由消除架空 (與在兩個室中連續實施製程有關)而增加產率。本發明之 單至方法更允s午限制單套參數,然後在整個製程中使用。 在本發明的另-個具體實施例中,積合接觸金屬敷錢製 (請先Μ讀背面之注意事項再填寫本頁) 裝 - ----I — 訂--I I--I--始.” 經濟部智慧財產局員工消費合作社印製 -14· 463242 A7 B7 12 五、發明說明( 程係首先以PECVD法使鈥沉積到砂上,且在製程中於砂表 面和鈸薄膜之間形成-層石夕化鈇。欽沉積後,用氣或氮氣 退火提供經氮化珍化鈥之上層。最後,再次於同一反應室 中藉熱CVD法沉積氮化鈦層。 在將氮化鈦沉積到經氮化鈦薄膜或經氮化矽化鈦薄膜 後,沉積低電阻率金屬填充層,如銅、銘或鶴。然而,該 最後沉積-般需要使用賤射沉積技術之單獨室。可將㈣
濺射沉積室用於該方面。濺射沉積方法為熟讀此藝者所熟 悉D —在本發明的某些具體實㈣中’當將氮化鈇薄膜沉積到 經氮化之鈥薄膜或經氮化之硬化鈥薄膜 兩步驟沉積。在姆驟令,欽係在四氣化欽貧化條 沉積’例如,四氣化欽流速約為8咖,氨流速約為8〇 seem,作為稀釋劑之氮氣約為丨升/分鐘,壓力約!托。經 沉積(例如)約5〇至5〇〇A氮化鈦薄層後,將四氣化鈦之= 速加太到飽和狀態,例如約3〇 sccm ’而氨和氮氣流速較 佳保持接近恆定。使氮化鈦沉積至所需厚度。 在鈦沉積、氮化及氮化鈦沉積期間,TiN(clx)薄膜沉積 到熱内室表面,如噴淋頭、絕緣體以及晶圓外側之基座表 面上。頃發現,在單室中用恆定製程參數進行積合製程, 能夠在無需清潔該反應器下處理數百塊晶圓。在處理數百 或T千左右晶圓後,可周期性就地清潔該反應器,而很少 經常濕洗。濕洗或就地清潔該處理室後,必須在繼續處理 製造晶圓前預塗該内室表面。在先前方法中使用兩個室, 15· 本紙張尺度適用中國國家標準(CNS〉A4規格(2〗〇 X 297公釐 *--! M.---------訂--------- (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 463242 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(13 ) 應用兩種不同預塗處理方法。對於鈦沉積室,預塗方法方 包括PECVD鈦,隨後經氨退火用約3800人Ti(N)塗覆該内室 表面。對於TiN沉積室,該預塗方法包括CVD TiN,以約 5000A TiN塗覆該内室表面。由於兩種預塗方法在内室表 面得到基本相同的薄膜,所以可用其中任何一種預塗方法 預塗本發明單室處理所用之内室表面。可以相信,室之預 塗及條件最佳闡述於申請中美國專利案序列號第 09/063;196號,該申請案歸檔於1998年4月20日,其標題 為”鈍化及穩定Ti-PECVD製造室之方法,以及結合Ti-PECVD/TiN-CVD之處理方法及裝置(Method of Passivating and Stabilizing a Ti-PECVD Process Chamber and Combined Ti-PECVD/TiN-CVD Processing Method and Apparatus),其 内容以參考之方式併於本文,隨後進行熱CVD氮化鈦預塗 處理。 雖然為最佳處理積體及減少清潔頻率,本發明之較佳具 體實施例在整個單室Ti/ TiN沉積中包括保持恆定基片溫 度、喷淋頭溫度及壓力,但本發明之特徵為用PECVD Ti、電漿氮化及隨後熱CVD TiN完成單室積合Ti/ TiN製 程。在此等方法中,熱CVD TiN期間可用約150°C至約250 °C級別之較低喷淋頭溫度,儘管由於PECVD-Ti沉積之薄膜 在低噴淋頭溫度時附著不良,必須經常清潔内室表面。因 此,使用與PECVD Ti相同之較高噴淋溫度較為有利,以 避免經常清潔。 雖然本發明藉其具體實施例說明,雖然對該具體實施例 -16- 本纸張尺度適用中國國家標準(CNSM4規格(210 X 297公爱) ------------:裝—— (請先閱讀背面之注意事項再填寫本頁) VSJ·. 4 6 3 2^2 A7 _______B7 五、發明說明(14 ) 描述相當詳盡,但未打算以此類細節約束或以任何方式限 制申請專利之範圍。其它優勢及改良對熟諳此藝者極爲明 顯。因此,本發明在其較寬方面不受具體細節、代表性裝 置和方法以及所示及描述之圖解實例限制。所以,可在不 離開申請者一般發明概念之範圍或主旨内離開此類細節。 ---------:裝--------訂· {靖先閲讚背面之注意事項再填寫本頁) 經濟部智慧財產局貝工消費合作社印製 本紙張尺度適用乍國國家標準(CNS)A4規格(210 X 297公爱)

Claims (1)

  1. 經濟部智慧財產局員工消費合作社印製 46324 2 as ___s_ 六、申請專利範圍 ι· 一種在單室中使包括鈦和氮化鈦薄膜之疊層沉積至晶圓 表面之方法,其包括以下步驟: 將具晶圓表面之基片以相隔於喷淋頭關係置入反廡 室; 在室中藉由在室内形成氣體混合物之第一電漿使欽薄 膜沉積至晶圓表面上,且該氣體混合物包括齒化鈦和氨 氣; 在室内藉由產生氣體之第二電漿將經沉積之鈦薄膜氣 化’該氣體選自氨'氮氣及其混合物组成者;及 在室内藉由熱CVD製程及使用包含鹵化鈦及氮源氣體 之氣體混合物,使氮化鈦薄膜沉積到經氮化之沉積鈦薄 膜上。 2.根據申請專利範圍第丄項之方法,其中該沉積鈦薄膜之 步驟係在至少約500°C溫度之晶圓表面進行。 3_根據申請專利範圍第2項之方法,其中該沉積鈦薄膜之 步驟係在約550°C至約700Ό溫度之晶圓表母進行。 4. 根據申請專利範圍第2項之方法’其中該沉積鈦薄膜之 步驟係在至少約580°C溫度之晶圓表面進行。 5. 根據申請專利範園第!項之方法,其中該氮化經沉積鈦 薄膜之步驟係在至少約500χ:溫度之晶固表面進行。 6. 根據中請專利範圍第2項之方法,其中該氮化經沉積欽 薄膜之步驟係在與沉積該鈦薄膜步驟相同之溫度之曰圓 表面進行。 7. 根據中請專利範圍第6項之方法,其中該沉積氮化欽薄 -18- 本紙張尺度適用中國國家標準(CNS)A4規格(210 297公爱) (請先閱璜背面之注意事項再填寫表頁) 裝------ 11111 — - ^63242 8 098 a^cd 經濟部智慧財產局員Η消費合作社印製 六、申請專利範圍 膜^步騾係用在沉積鈦薄膜及氮化經沉積鈦薄膜步騾相 同之溫度之晶圓表面進行。 8.根據申請專利範圍第丨項之方法,其中該沉積氮化鈦薄 膜之步騾係在至少約58〇t溫度之晶圓表面進行。 9·根據申請專利範圍第丨項之方法,其中該沉積鈦薄膜、 氮化經沉積鈦薄膜及沉積氮化鈦薄膜之步騾係在至少約 580°C之大體恆定溫度之晶片表面進行。 10. 根據申請專利範園第t項之方法,其中該室於沉積鈦薄 膜之步騾期間具有約1托(torr)至約1〇托之内部壓力。 11. 根據申請專利範圍第丨項之方法,其中該室於沉積氮化 欽薄膜之步騾期間具有約0.2托至約20托之内部壓力。 12. 根據申請專利範圍第丨項之方法,其中該室於沉積鈦薄 膜、氮化經沉積鈦薄膜及沉積氮化鈦薄膜之步癀期間具 有約1托至約1 0托之大體恆定内部壓方。 13 ’根據申請專利範圍第1 2項之方法,其申該伍定内部壓 力約為5托》 14. 根據申請專利範圍第1項之方法,其中該沉積鈦薄膜之 步驟係在约425°C至約70(TC溫度之噴淋頭進行。 15. 根據申請專利範圍第1項之方法,其中該氮化經沉積飲 薄膜之步騾係在約425°C至約700°C溫度之噴淋頭進行^ Μ.根據申請專利範圍第1項之方法,其中該沉積氮化鈦薄 膜之步驟係在約425°C至約700°C溫度之喷淋頭進行。 17.根據申請專利範園第1項之方法,其中該沉積鈦薄膜、 氮化經沉積鈦薄膜及沉積氮化鈦薄膜之步驟係在約425 -1 9- 尺度朝巾國國家標準(CNSM4規格(210 X 297公釐) ------ C請先閱讀背面之注意事項再填寫本 裝 訂 經濟部智慧財產局員工消費合作社印製 4 6 3 2 4 2 A8 BS C8 D8 六、申請專利範圍 t:和約70CTC間之太體伍定溫度之噴琳頭進行。 18. 根據中請專利範園第Θ之方法,其中該喷淋頭為金 屬,該沉積鈇薄膜、氮化經沉積欽薄膜及沉積氮化致薄 膜之步驟係在約42rc和約500t間邊定溫度之喷淋 頭進行- 19. 根據中請專利範圍第Η之方法,丨進—步包括在整個 於室内沉積輯膜、氮化經沉積欽薄膜以及在經氮化之 經沉積飲薄膜上沉積氮化欽薄膜期間,該晶圓表面保持 在大體恆定溫度,該室内總氣體壓力保持在大體恆定壓 力,且該噴淋頭溫度保持大體恆定溫度。 20. 根據申請專利範圍第丨項之方法,其進一步包括在整個 於室内沉積鈥薄膜'氮化經沉積&薄膜以及在經氮化之 經沉積鈦薄膜上沉積氮化鈦薄膜期間,該晶圓表面保持 在至少約580。(:之大體怪定溫度,該室内總氣體壓力保 持在約1托和約10托間之大體恆定壓力,該噴淋頭溫度 保持在約425°C和約500°c間之大體恆定溫度。 21. 根據申請專利範圍第1項之方法,其進—步包括在清潔 該室表面後用T i N預塗至少一次内室表面之初始步騾/ 22. 根據申請專利範圍第!項之方法,其中該沉積氮化鈦薄 膜工步驟包括,在四氣化鈦貧化條件下第一熱CVD沉積 第一厚度氮化鈦’在四氯化鈦飽和條件下第二熱CvD沉 積最終厚度氮化鈦。 23. 根據申請專利範圍第i項之方法,其中該晶圓表面為 矽,且進一步包括在沉積鈦薄膜之步驟期間介於矽晶圓 -20- 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐) - — — I1III — 1I- i , I I I —---訂· —丨 — ι!·^β (請先閱讀背面之注意事項再填寫本頁> 463242 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 表面和經沉積鈦薄膜之間形成矽化鈦層。 24. 根據申請專利範圍第1項之方法,其中該第一及第二電 衆係於晶圓表面之25毫米範圍内產生。 25. 根據申請專利範圍第1項之方法,其中該沉積鈦薄膜、 氮化經沉積之鈦薄膜及沉積氮化鈦之步騾係在約l50°c 至約2 5 0 eC間溫度之喷淋頭進行 26. 根據申請專利範圍第1項之方法,其中該南化鈦為四氯 化鈦。 27· —種在單室中使包括鈦及氮化鈦薄膜之疊層沉積到基片 表面上之方法,其包括: 將具表面之基片以相隔於喷淋頭關係置入反應室; 在該室中藉由電漿增強化學氣相沉積法使鈦薄膜沉積 至該表面上;隨後 在該室中藉由氣體之電漿氮化經沉積之鈦薄膜,該氣 體選自由氨和氮或氨和氮之混合物組成之群;隨後 在該室中藉由熱CVD方法使氮化鈦薄膜沉積於經氮化 之經沉積鈦薄膜上;及 在遠鱼 >儿積跃薄膜、氮化經沉積之鼓薄膜以及於經氮 化之經沉積鈦薄膜上沉積氮化鈦薄膜期間,該表面保持 在至少約580°C之大體恆定溫度’該室内總氣體壓力保 持在約1托和約1 0托間之大體怪定壓力,該喷淋頭保持 在至少約425°C之大體恆定溫度。 28.根據申請專利範圍第2 7項之方法,其中該喷淋頭為金 屬,且保持在約425°C和約500°C間之大體值定溫度。 -21- f請先閱讀背面之注意事項再填寫本頁) *裝---- 訂---------綠- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) 經濟部智慧財產局員工消費合作社印製 4 6 324 2 B8 _ D8 六、申請專利範圍 29.根據申請專利範圍第2 7項之方法,其中該噴淋頭溫度係 保持於約500Ό和約700°C間之大體恆定溫度,且其中該 喷淋頭包食在高於約500°C抗腐蝕之表面材料。 30-根據申請專利範圍第2 7項之方法,其中將氮化鈦薄膜於 該室沉積至經氮化之經沉積致薄膜上,其包括: 在初始步驟由熱CVD法於鹵化鈦貧化狀態沉積氮化 鈇’且該,卣化欽以第一流速流動’其中該自化妖係用含 氮氣體之氣流稀釋;及 經初始步驟沉積氮化鈦後,增加自化鈦流速至飽和狀 態流速’由之於第二步驟使氮化鈦沉積於經初始步驟沉 積之氮化飲上= 31··根據申諳專利範圍第2 7項之方法,其中將氮化鈦薄膜於 該室沉積至經氮化之經沉積鈦薄膜上,其包括: 在初始步驟以四氣化鈦貧化CVD方法沉積氮化鈦薄 膜,且四氣化鈦以約8 seem流速流動,氨以約80 seem流 速流動,氮氣作爲稀釋劑以1升/分鐘流速流動,壓力約 爲5托,由之形成約1〇〇至500埃厚度之TiN層;隨後 將四氣化鈦流速增加至約30 seem之飽和狀態流速,氨 和氮之流速保持恆定,由之在該薄層上形成另一層 TiN。 32.根據申請專利範圍第27項之方法,其中該Ti之PECVD包 括在該室内形成氣態混合物之第一電漿,且該氣態混合 物包括鹵化鈦及氫氣,其中該第一電漿係於該基片表面 之25毫米範圍内產生。 -22- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------ — ----II 訂--------鱗 Γ清先閱讀背面之注意事項再填寫本頁) A8 B8 C8 Ό8 463242 六、申請專利範圍 3)·根據申蜻專利範圍第2 7項之方法,其中該氮化期間之 電漿係於經沉積鈦薄膜之25毫米範圍内產生。 34. 根據中請專利範園第27項之方法,其中該基片表面之 溫度不大於7〇〇°c。 35. 根據申請專利範圍第27項之方法,其進一步包括在清 潔該室表面後用™預塗至少一次内室表面之相始: 驟。 36. 根據申請專利範圍第27項之方法, .,且其進-步包括在沉積欽薄膜期間於該基砂 和该經沉積鈦薄膜間形成一層矽化鈦。 ---.---------贏--------訂i f請先閱讀背面之注意事項再填寫本頁) 結 經濟部智慧財產局員工消費合作社印製 -23· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉
TW089107467A 1999-04-20 2000-04-20 Method of depositing a stack including titanium and titanium nitride films on a wafer surface in a single chamber TW463242B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US29448799A 1999-04-20 1999-04-20

Publications (1)

Publication Number Publication Date
TW463242B true TW463242B (en) 2001-11-11

Family

ID=23133656

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089107467A TW463242B (en) 1999-04-20 2000-04-20 Method of depositing a stack including titanium and titanium nitride films on a wafer surface in a single chamber

Country Status (7)

Country Link
US (1) US6274496B1 (zh)
EP (1) EP1090417A1 (zh)
JP (1) JP3782938B2 (zh)
KR (1) KR100428521B1 (zh)
CN (1) CN1187795C (zh)
TW (1) TW463242B (zh)
WO (1) WO2000063959A1 (zh)

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
EP1280928B1 (en) * 2000-05-10 2016-11-30 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Resistance to acetohydroxyacid synthase-inhibiting herbicides
US6455414B1 (en) * 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP2003193237A (ja) * 2001-12-26 2003-07-09 Ulvac Japan Ltd 金属窒化物膜の形成方法
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
DE10240116A1 (de) * 2002-08-30 2004-03-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung lokaler Verbindungsbarrierenschichten
US7183120B2 (en) * 2002-10-31 2007-02-27 Honeywell International Inc. Etch-stop material for improved manufacture of magnetic devices
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
JP4325301B2 (ja) * 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
JP4543611B2 (ja) * 2003-03-06 2010-09-15 東京エレクトロン株式会社 プリコート層の形成方法及び成膜方法
JP4583764B2 (ja) * 2004-01-14 2010-11-17 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4403882B2 (ja) * 2004-05-31 2010-01-27 株式会社島津製作所 成膜システム
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070161233A1 (en) * 2005-12-28 2007-07-12 Seok Ka M Semiconductor Device and Method of Manufacturing the Same
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
US8895107B2 (en) * 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
CN102245802A (zh) * 2008-12-12 2011-11-16 东京毅力科创株式会社 成膜方法、成膜装置和存储介质
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103515201B (zh) * 2012-06-29 2016-01-06 林慧珍 利用化学键结形成化合物磊晶层的方法及磊晶产品
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US11043414B2 (en) 2019-10-16 2021-06-22 Micron Technology, Inc. Microelectronic devices with conductive contacts to silicide regions, and related devices
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102553296B1 (ko) 2019-12-12 2023-07-10 주식회사 원익아이피에스 박막 형성 방법
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656995A (en) 1969-05-02 1972-04-18 Texas Instruments Inc Chemical vapor deposition coatings on titanium
US4749589A (en) 1984-12-13 1988-06-07 Stc Plc Method of surface treatment
KR100228259B1 (ko) 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
JPH06349774A (ja) * 1993-06-08 1994-12-22 Sony Corp 埋込みプラグの形成方法
WO1995034092A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
JPH10508656A (ja) * 1994-10-11 1998-08-25 ゲレスト インコーポレーテツド コンフオーマルなチタン系フイルムおよびその製造方法
JP3050152B2 (ja) * 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5926737A (en) * 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing

Also Published As

Publication number Publication date
CN1187795C (zh) 2005-02-02
CN1304549A (zh) 2001-07-18
KR100428521B1 (ko) 2004-04-29
KR20010053027A (ko) 2001-06-25
JP3782938B2 (ja) 2006-06-07
JP2002542399A (ja) 2002-12-10
EP1090417A1 (en) 2001-04-11
US6274496B1 (en) 2001-08-14
WO2000063959A1 (en) 2000-10-26

Similar Documents

Publication Publication Date Title
TW463242B (en) Method of depositing a stack including titanium and titanium nitride films on a wafer surface in a single chamber
TW396579B (en) Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and insitu plasma nitrudation
TW482828B (en) Method of low temperature plasma enhanced chemical vapor deposition of TiN film over titanium for use in via level applications
KR100355914B1 (ko) 저온플라즈마를이용한직접회로제조방법
US6977225B2 (en) Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
KR100356264B1 (ko) 암모니아를사용하는질화티타늄의플라즈마강화화학증착
US20010019889A1 (en) Method for PECVD deposition of selected material films
US20050281951A1 (en) Dielectric barrier discharge method for depositing film on substrates
WO2004066377A1 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
JP2002517901A (ja) 抵抗率の低下のための堆積膜の処理方法
KR100247515B1 (ko) 플라즈마 cvd에 의한 박막형성방법
KR100427905B1 (ko) 금속의 화학 증착에서 모서리 효과를 제거하기 위한 방법
TW501256B (en) Method of manufacturing a copper metal wiring in a semiconductor device
JP2000058484A (ja) プラズマcvdによる薄膜形成方法とプラズマcvd装置
Lee et al. Preparation of TiN films at room temperature by inductively coupled plasma assisted chemical vapor deposition
TW202240004A (zh) 高通量沈積方法
JPS6310892B2 (zh)
JPH01239850A (ja) 薄膜形成装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees