KR100356264B1 - 암모니아를사용하는질화티타늄의플라즈마강화화학증착 - Google Patents

암모니아를사용하는질화티타늄의플라즈마강화화학증착 Download PDF

Info

Publication number
KR100356264B1
KR100356264B1 KR1019970706285A KR19970706285A KR100356264B1 KR 100356264 B1 KR100356264 B1 KR 100356264B1 KR 1019970706285 A KR1019970706285 A KR 1019970706285A KR 19970706285 A KR19970706285 A KR 19970706285A KR 100356264 B1 KR100356264 B1 KR 100356264B1
Authority
KR
South Korea
Prior art keywords
titanium nitride
substrate
ammonia
plasma
vapor deposition
Prior art date
Application number
KR1019970706285A
Other languages
English (en)
Other versions
KR19980702877A (ko
Inventor
로버트 에프. 포스터
조세프 티. 힐만
리크히트 아로라
Original Assignee
머티리얼스 리퀴데이션 코포레이션
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 머티리얼스 리퀴데이션 코포레이션, 도쿄 엘렉트론 가부시키가이샤 filed Critical 머티리얼스 리퀴데이션 코포레이션
Publication of KR19980702877A publication Critical patent/KR19980702877A/ko
Application granted granted Critical
Publication of KR100356264B1 publication Critical patent/KR100356264B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 기판(11) 상에 티타늄 질화물막을 형성시키는 방법은 반응 물질 가스 혼합물의 플라즈마를 형성시키는 단계를 포함한다. 반응 물질 가스 혼합물은 티타늄 사염화물, 암모니아 및 질소를 포함한다. 암모니아에 대한 질소의 비율은 약 10 : 1 내지 10000 : 1 로 설정되고, 티타늄 사염화물의 분압은 티타늄 질화물의 형성을 보장하도록 설정된다. 플라즈마는 400 ℃ 내지 약 500 ℃로 가열된 기판(11)에 접촉된다. 이것은 미리 증착된 알루미늄 부재를 갖는 집적 회로를 해치지 않는 온도에서 우수한 균일성을 갖는 고순도 티타늄 질화물막을 제공한다.

Description

암모니아를 사용하는 질화티타늄의 플라즈마 강화 화학 증착{PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF TITANIUM NITRIDE USING AMMONIA}
질화티타늄은 집적 회로 제작용의 다양한 응용 분야에 사용된다. 이것은 국부 상호 연결부로서 그리고 확산 장벽(barrier)으로서 텅스텐 막용의 부착층으로 사용되어 왔다.
부착층으로서의 질화티타늄은 텅스텐이 접촉 구멍 및 비아 충전(via filling)용으로 사용되는 응용 분야에 장점들을 제공한다. 그 공정은 일반적으로 텅스텐과 하부 유전체 사이의 부착성을 개선시키는 작용을 하는 박층 재료를 증착시킴으로써 시작된다. 텅스텐은 유전체 재료에 불량하게 부착되므로, 유전체에 양호하게 부착되고 게다가 텅스텐에도 양호하게 부착되는 재료가 사용되어야 한다. 질화티타늄은 이러한 재료로서, 예를 들어 매우 낮은 저항 및 텅스텐을 에칭하도록 사용되는 화학 물질에 대한 내성과 같은 여러 유용한 특성을 제공할 뿐만 아니라 유전체 막 및 텅스텐 막 모두에 대한 양호한 부착성을 나타낸다.
질화티타늄은 또한 실리콘에 대한 불침투성 장벽으로서 작용하기 때문에 장벽 층으로서 사용될 수 있다. 또한, 다른 재료보다 높은 활성 에너지를 갖는다. 예를 들어, 질화티타늄에 구리를 확산시키기 위한 활성 에너지는 4.3 eV 인 것으로 보고되지만, 구리로부터 대부분 금속으로의 활성 에너지는 약 1 내지 2 eV 정도이다.
전형적으로, 질화티타늄은 질소 분위기하에서 티타늄의 기화에 의해서, 질소/아르곤 혼합물에서 티타늄을 반응적으로 스퍼터링시킴으로써, 아르곤 분위기하에서 대상물로부터 질화티타늄을 스퍼터링시킴으로써, 연속적인 질화 단계에서 티타늄을 증착시킨 후 이를 질화티타늄으로 변환시킴으로써, 또는 사염화티타늄 및 암모니아 또는 금속 유기 전구 물질(precursor)을 사용하는 열적 화학 증착(thermal chemical vapor deposition) 반응에 의하여 형성된다.
이러한 기술들 대부분에는 제한들이 있다. 스퍼터링된 막의 경우에, 높은 종횡비의 비아(vias)에서는 불량한 균일성(poor conformality)이 문제가 된다. 열적 화학 증착 막의 경우에 높은 종횡비의 기하학적 구조물보다 균일성이 뛰어나다. 그러나, 사용된 높은 증착 온도는 질화티타늄의 화학 증착을 다중 레벨 금속화 계획에 사용하는 데 있어 비실용적으로 만든다. 400℃ 이상의 온도는 알루미늄 층에 바람직하지 못한 열 응력을 야기시켜 작은 돌출부(hillock)를 형성하고 금속 유전체 층간에 손상을 발생시킨다.
독일 특허 공개 공보 제DE-A-4012901호에는 가열된 기판과 사염화티타늄, 암모니아 및 질소 가스의 혼합물을 사용하는 질화티타늄용의 CVD 시스템이 개시된다.
도1은 본 발명의 공정에 사용하기 위한 반응기의 단면도이다.
따라서, 본 발명의 목적은 알루미늄을 손상시키지 않을 만큼 충분히 낮은 증착 온도로 막을 형성시키지만, 열적 화학 증착과 관련된 양호한 균일성을 유지하는 플라즈마 강화 화학 증착(plasma enhanced chemical vapor deposition) 기술을 사용하는 저온 공정을 제공하는 것이다. 이들 목적은 사염화티타늄, 질소 및 암모니아를 포함하는 반응 가스로부터 형성된 플라즈마를 사용하는 질화티타늄의 플라즈마 강화 화학 증착을 사용함으로써 달성된다. 코팅되는 기판의 표면에 플라즈마를 생성함으로써, 낮은 저항을 갖는 매우 균일한 막과 낮은 레벨의 염소와 같은 불순물이 500℃ 미만의 상대적으로 낮은 온도에서 달성된다.
또한, 반응 물질의 분압을 조절함으로써, 형성된 질화티타늄 막의 특성은 상당히 개선된다. 본 발명의 상기 목적 및 장점은 하기의 상세한 설명과 도면에 비추어 더욱 이해될 것이다.
본 발명에 따르면, 질화티타늄은 사염화티타늄, 암모니아 및 희석 가스를 반응시킴으로써 형성된 질화티타늄의 플라즈마 강화 화학 증착에 의해 형성된다. 본 발명을 실행하는데 사용하기 위한 적절한 장치는 양자 모두 1994년 6월 3일의 우선일을 갖고 현재 계류 중이며 그 개시 내용이 본 명세서에서 참조되는 "회전 서스셉터 반응기를 사용하는 저온 플라즈마 강화 화학 증착에 의한 박막 제조용 방법 및 장치(Method and Apparatus for Producing Thin Films by Low Temperature Plasma-Enhanced Chemical Vapor Deposition Using A Rotating Susceptor Reactor)" 명칭 하의 국제 특허 출원 공개 제WO95/33866호 및 제WO95/33867호에 개시된다.
도1에는 플라즈마 강화 화학 증착용으로 적합한 장치(20)의 단면도가 도시된다. 장치(20)는 RF 피드라인(feedline) 조립체(24)에 의해 바이어스된 RF 샤워헤드(showerhead)/전극(22)을 포함한다. 플라즈마 및 반응 물질 가스는 실린더 조립체(26)를 통해 서스셉터(30) 상의 기판(11)에 펌핑된다. 장치(20)는 하우징 커버(32)를 갖는 하우징을 포함하고, 또한 RF 공급 조립체(34)와, 냉각 자켓(37) 및 관련된 유체 공급 라인을 갖춘 가열 파이프 조립체(36)와, 밀봉 조립체(41)를 갖춘 가스 분배 커버(39)를 포함한다. 석영과 같은 절연 재료로 제조된 실린더(38)가 RF 피드 라인 조립체(24)를 둘러싼다.
실린더(38)는 양호하게는 헤레아우스 아메실(Hereaus Amersil)로부터 입수 가능한 Quartz T08-E와 같은 고품질 석영으로부터 정형화된다. 석영 실린더(38)는 니켈-200과 같은 도전성 금속으로 제작된 샤워헤드/전극(22)에 의해 지지된다. 환형 보어(40)는 실린더(38)의 상단부(42)를 수용하도록 하우징 커버(32) 내에 형성된다. 계단형 보어(40)와 실린더(38) 사이의 공유면에 있는 O-링(43, 44)은 공유면에 밀봉부를 형성한다. 실린더(38)의 하단부(46)에서, 실린더(38) 내의 환형 노치(48)는 샤워헤드/전극(22)의 주연 모서리(50)를 수용한다. 실린더(38)의 노치(48)는 샤워헤드/전극(22)의 주연 모서리(50) 상에 안착된다. 샤워헤드/전극(22)은 단일 RF 라인(56)을 형성하도록 예를 들어 지점(55)에서 용접에 의해 RF 라인 배관(54)에 부착된 스템(52)을 포함한다. RF 라인(56)은 칼라(collar; 58)에 의해 자체의 상단부에서 마찰식으로 유지되고 지지된다. RF 라인은 이어서 서스셉터(30) 상에 샤워헤드/전극(22)을 지지한다. 또한, 샤워헤드/전극(22)은 실린더(38)를 노치(48)에 인접시키고 이를 보어(40)에 유지시킴으로써 실린더 조립체(26) 내에 실린더(38)를 지지한다. 샤워헤드/전극 주연 모서리(50)와 실린더 노치(48) 사이의 공유면은 선반(shelf; 48)과 샤워헤드/전극(22)의 주연 모서리(50)에 형성된 유사한 대응 환형 노치(60) 사이에서 압축되는 압축식 O-링(59)에 의해 밀봉된다. 다수의 가스 헤일로(halo) 또는 링(62, 64)은 반응 물질 가스를 실린더(38) 내에 유입시킨다.
일반적으로, 기판(11)은 샤워헤드/전극(22)으로부터 약 0.635 내지 7.62 cm(0.25 내지 3 inch)정도 이격 된다. 이 거리는 활성 이온이 기판에 충돌할 정도로 되어야 한다.
일반적으로, 반응 물질 가스는 링(62, 64)을 통해 유입된다. 이들 가스들은 실린더(38)를 통과하고, 플라즈마는 가스들이 샤워헤드/전극(22)을 통과할 때 발생된다. 플라즈마는 기판(11)에 충돌한다.
이 장치는 다수의 상이한 재료 또는 기판 위에 질화티타늄을 증착시키는 데 사용된다. 이들 기판들은 예를 들어 실리카, 유리 및 열적 산화물을 포함한다. 또한, 기판은 예를 들어 비아, 채널, 도전층, 저항기 등과 같이 기판의 표면 상에 미리 증착 및/또는 에칭된 집적 회로의 다양한 요소를 포함한다.
질화티타늄 막을 증착하는 경우, 반응 물질 가스의 플라즈마는 장치(20)를 사용하여 샤워헤드(22)에서 형성된다. 반응 물질 가스들은 사염화티타늄, 암모니아 및 희석제를 포함한다. 수소, 헬륨 및 아르곤과 같은 희석제가 사용될 수 있지만, 질소가 바람직하다. 이들은 서로 조합되어 실린더(38) 안으로 유입된다.
실린더(38)는 약 0.5 내지 20 Torr의 압력으로 유지되는 데, 약 5 Torr의 압력이 바람직하다. 기판은 약 400℃ 내지 500℃의 온도로 유지되는 데, 약 450℃의 온도가 바람직하다. 기판은 일반적으로 서스셉터(30)로부터 열을 제공함으로써 가열된다. 지지체 자체는 바람직하게는 약 100 rpm 이상으로 회전되어 보다 균일한 분배를 제공한다. 그러나, 기판은 전혀 회전할 필요가 없다.
가스의 농도는 유속에 의해 조절된다. 일반적으로, 사염화티타늄은 약 1 내지 40 sccm의 유속으로 유입되는 데, 약 10 sccm의 유속이 바람직하다. 사염화티타늄(TiCl4)의 분압은 TiN을 형성하도록 충분히 낮아야 한다. 사염화티타늄의 분압이 너무 높으면, TiN이 아닌 흑색 분말(black powder)이 형성된다. 전압이 665 Pa(5 Torr)이면, 사염화티타늄의 분압은 2.66 Pa(0.02 Torr) 미만, 바람직하게는 1.33 Pa 내지 0.133 Pa(0.01 내지 0.001 Torr)이어야 한다. 저압[즉, 0.0133 Pa(0.0001 Torr)]에서, 반응 속도는 상당히 감소되고 스텝 커버리지(step coverage)는 허용될 수 없다. 전압이 665 Pa(5 Torr)로부터 증가할 때, 사염화티타늄의 분압은 그에 따라 증가할 수 있다. TiN이 유용하게 사용되기 위해서는, 기판 상의 막이 부착성이 있고 연속적이어야 한다. 이러한 성질의 막으로는 유색 금(gold in color)이 있다. 형성된 흑색 분말은 비부착성(용이하게 제거될 수 있음)을 갖는다. 그러므로, 사염화티타늄의 분압의 상한치는 흑색 분말이 기판 상에 형성되기 시작하는 분압이다. 물론, 이것은 전압에 따라 변할 수 있다. 일반적으로, 암모니아 대 사염화티타늄의 몰비는 2 : 1 로부터 최대 100 : 1 이 될 것이다. 이러한 높은 비율에서, 반응 속도는 매우 낮게 된다. 바람직하게는, 이 비율이 약10 : 1 이다.
일반적으로, 희석제 대 암모니아의 비율은 약 10 : 1 로부터 최대 약 10000 : 1 의 범위일 것이다. 그러나, 이 비율은 증착 속도에 상당한 영향을 미치지 않는다.
본 발명을 증명하기 위하여, 질화티타늄은 다음 조건하에서 증착된다.
서스셉터 온도 467 ℃
웨이퍼 온도 450 ℃
반응기 압력 665 Pa(5 Torr)
TiCl4유속 10 sccm
암모니아 유속 100 sccm
질소 유속 5000 sccm.
공정 순서에서, RF 플라즈마원은 질소/암모니아에 665 Pa(5 Torr)의 반응기 압력을 설정한 후 턴 온(turn on)된다. 사염화티타늄 유동은 플라즈마가 점화된 후 30초에 개시된다. 이들 실행에 사용된 RF 동력은 500 watt이다. 이 공정으로 얻어진 막 특성은 하기와 같다.
증착 속도 120 내지 150 Å/분
질화티타늄 저항 103 내지 148 μΩ-cm
질소 대 티타늄의 비율 1.07
염소 함량 0.6 원자%
원소 분석을 수행하는 데 사용된 RBS 기술은 대부분의 막에서 어떠한 산소도 검출하지 않았다. 스텝 커버리지는 80 %인 것으로 측정되었다.
추가적인 막이 하기 조건 하에서 증착되었다.
Figure pct00001
따라서, 본 발명의 방법을 이용하면, 매우 고품질의 질화티타늄 막이 증착된다. 이 막은 화학 증착막의 바람직한 특성, 즉 우수한 균일성을 갖고, 동시에 비교적 낮은 온도에서 증착된다. 또한, 증착된 막은 상대적으로 낮은 저항을 갖고, 불순물 함량, 특히 염소 함량 및 산소 함량이 매우 낮다. 따라서, 본 발명은 알루미늄막을 손상시킴이 없이 알루미늄을 갖는 기판 위에 질화티타늄을 증착시키는 데 사용될 수 있는 매우 고품질의 질화티타늄 막을 상대적으로 낮은 온도에서 제공하는 목적을 달성한다.
여기서는 본 발명을 수행하는 바람직한 방법과 함께 본 발명의 설명이 제공된다. 그러나, 본 발명 자체는 첨부된 특허청구의 범위에 의해서만 한정된다.

Claims (8)

  1. 사염화티타늄 및 암모니아를 반응 가스로 이용하여 화학 증착에 의해 기판(11) 상에 질화티타늄을 증착시키는 방법에 있어서,
    상기 기판으로부터 0.635 내지 7.62 cm(0.25 내지 3 inch)의 거리로 이격된 샤워헤드(22)에서 상기 반응 가스의 플라즈마를 생성시키는 단계와,
    질화티타늄이 상기 기판 상에 증착되도록 상기 플라즈마와 상기 기판을 접촉시키는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 상기 반응 가스와 희석제를 화합시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제1항에 있어서, 사염화티타늄은 TiN을 형성하는 데 효과적이고 0.133 Pa(0.001 Torr) 이상인 분압에서 존재하는 것을 특징으로 하는 방법.
  4. 제3항에 있어서, 상기 플라즈마는 66.5 Pa 내지 2660 Pa(0.5 내지 20 Torr)의 압력을 갖는 분위기하에서 형성되는 것을 특징으로 하는 방법.
  5. 제3항에 있어서, 상기 기판은 400 ℃의 온도로부터 500 ℃의 온도까지 가열되는 것을 특징으로 하는 방법.
  6. 제2항에 있어서, 상기 희석제는 질소이고, 질소 대 암모니아의 비율은 몰비로 10 : 1 내지 10000 : 1로 정해지는 것을 특징으로 하는 방법.
  7. 제3항에 있어서, 사염화티타늄의 상기 분압은 0.133 내지 1.33 Pa(0.001 Torr 내지 0.01 Torr)의 범위인 것을 특징으로 하는 방법.
  8. 사염화티타늄, 암모니아 및 질소의 혼합물로 구성되고 암모니아 대 사염화티타늄의 비율이 2 : 1 내지 100 : 1인 반응 물질 가스 혼합물을 이용하여 화학 증착에 의해 기판 상에 질화티타늄을 증착시키는 방법으로서, 상기 기판을 400 ℃ 내지 500℃ 의 온도로 가열하는 단계를 포함하는 방법에 있어서,
    상기 기판으로부터 0.635 내지 7.62 cm(0.25 내지 3 inch)의 거리로 이격된 샤워헤드에서 상기 반응 가스 혼합물의 플라즈마를 생성하는 단계와,
    질화티타늄이 상기 기판 상에 증착되도록 66.5 Pa 내지 2660 Pa(0.5 Torr 내지 20 Torr)의 압력에서 상기 플라즈마와 상기 기판을 접촉시키는 단계로 구성되는 것을 특징으로 하는 방법.
KR1019970706285A 1995-03-10 1995-11-27 암모니아를사용하는질화티타늄의플라즈마강화화학증착 KR100356264B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08-401859 1995-03-10
US08/401,859 US5610106A (en) 1995-03-10 1995-03-10 Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
PCT/US1995/015427 WO1996028586A1 (en) 1995-03-10 1995-11-27 Plasma enhanced chemical vapor deposition of titanium nitride using ammonia

Publications (2)

Publication Number Publication Date
KR19980702877A KR19980702877A (ko) 1998-08-05
KR100356264B1 true KR100356264B1 (ko) 2002-11-18

Family

ID=23589517

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970706285A KR100356264B1 (ko) 1995-03-10 1995-11-27 암모니아를사용하는질화티타늄의플라즈마강화화학증착

Country Status (9)

Country Link
US (1) US5610106A (ko)
JP (1) JPH11501987A (ko)
KR (1) KR100356264B1 (ko)
AU (1) AU4463596A (ko)
CH (1) CH689640A5 (ko)
DE (1) DE19581936T1 (ko)
LU (1) LU90134B1 (ko)
TW (1) TW369675B (ko)
WO (1) WO1996028586A1 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
JP3469420B2 (ja) * 1996-12-20 2003-11-25 東京エレクトロン株式会社 Cvd成膜方法
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US6037252A (en) * 1997-11-05 2000-03-14 Tokyo Electron Limited Method of titanium nitride contact plug formation
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
US6022800A (en) * 1998-04-29 2000-02-08 Worldwide Semiconductor Manufacturing Corporation Method of forming barrier layer for tungsten plugs in interlayer dielectrics
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6221174B1 (en) 1999-02-11 2001-04-24 Applied Materials, Inc. Method of performing titanium/titanium nitride integration
GB2347686B (en) * 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6328871B1 (en) * 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes
US6436819B1 (en) 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6436820B1 (en) 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
US6403478B1 (en) 2000-08-31 2002-06-11 Chartered Semiconductor Manufacturing Company Low pre-heat pressure CVD TiN process
US6508919B1 (en) * 2000-11-28 2003-01-21 Tokyo Electron Limited Optimized liners for dual damascene metal wiring
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US20030091739A1 (en) * 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US6750146B2 (en) * 2002-04-03 2004-06-15 United Microelectronics Corp. Method for forming barrier layer
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
KR100568256B1 (ko) * 2003-12-11 2006-04-07 삼성전자주식회사 반도체 소자 제조 장비의 세정 방법
KR100615602B1 (ko) 2004-09-15 2006-08-25 삼성전자주식회사 매끄러운 표면을 갖는 타이타늄 나이트라이드 막의 형성방법들 및 이를 이용한 반도체 장치의 형성방법들
US8257790B2 (en) * 2006-02-24 2012-09-04 Tokyo Electron Limited Ti-containing film formation method and storage medium
KR101427142B1 (ko) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US9023721B2 (en) 2010-11-23 2015-05-05 Soitec Methods of forming bulk III-nitride materials on metal-nitride growth template layers, and structures formed by such methods
FR2968831B1 (fr) 2010-12-08 2012-12-21 Soitec Silicon On Insulator Procedes de formation de materiaux massifs de nitrure iii sur des couches matricielles de croissance de nitrure de metal et structures formees par ces procedes
US8824200B1 (en) 2013-12-17 2014-09-02 The United States Of America As Represented By The Secretary Of The Army Nonvolative memory cells programable by phase change
US10266947B2 (en) 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1089240B (de) * 1954-03-05 1960-09-15 Metallgesellschaft Ag Verfahren zur Herstellung von UEberzuegen aus harten, hochschmelzenden Nitriden
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH0364473A (ja) * 1989-04-25 1991-03-19 Varian Assoc Inc コールドウォールcvd反応器における窒化チタンの蒸着
JPH0394069A (ja) * 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5308655A (en) * 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5434110A (en) * 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5416045A (en) * 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5378501A (en) * 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
WO1995033866A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor

Also Published As

Publication number Publication date
AU4463596A (en) 1996-10-02
CH689640A5 (de) 1999-07-30
DE19581936T1 (de) 1998-04-16
LU90134B1 (fr) 1997-11-27
WO1996028586A1 (en) 1996-09-19
KR19980702877A (ko) 1998-08-05
US5610106A (en) 1997-03-11
JPH11501987A (ja) 1999-02-16
TW369675B (en) 1999-09-11

Similar Documents

Publication Publication Date Title
KR100356264B1 (ko) 암모니아를사용하는질화티타늄의플라즈마강화화학증착
EP0832311B1 (en) Process for plasma enhanced anneal of titanium nitride
EP0936284B1 (en) Method and apparatus for producing thin films
US5975912A (en) Low temperature plasma-enhanced formation of integrated circuits
USRE35785E (en) Low-pressure chemical vapor deposition process for depositing high-density highly-conformal, titanium nitride films of low bulk resistivity
US5252518A (en) Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US6274496B1 (en) Method for single chamber processing of PECVD-Ti and CVD-TiN films for integrated contact/barrier applications in IC manufacturing
US5399379A (en) Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US6531192B2 (en) Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound
US6878625B2 (en) Method for manufacturing semiconductor device
US5827408A (en) Method and apparatus for improving the conformality of sputter deposited films
US5741547A (en) Method for depositing a film of titanium nitride
US5972790A (en) Method for forming salicides
US6168837B1 (en) Chemical vapor depositions process for depositing titanium silicide films from an organometallic compound
JP2677230B2 (ja) TiN膜の形成方法

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110811

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20120907

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee