TW390914B - Fluid delivery system and method - Google Patents

Fluid delivery system and method Download PDF

Info

Publication number
TW390914B
TW390914B TW087110916A TW87110916A TW390914B TW 390914 B TW390914 B TW 390914B TW 087110916 A TW087110916 A TW 087110916A TW 87110916 A TW87110916 A TW 87110916A TW 390914 B TW390914 B TW 390914B
Authority
TW
Taiwan
Prior art keywords
processing chamber
delivery system
patent application
item
scope
Prior art date
Application number
TW087110916A
Other languages
English (en)
Inventor
Lawrence Lei
Son Trihn
Joel M Huston
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW390914B publication Critical patent/TW390914B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

經濟部中央標準局貝工消费合作社印製 A7 B7 五、發明説明() 發明领域: 本發明係關於半導體基材處理設備之領域。更詳言之 本發明係關於一種流體傳送系統,其以緊鄭該處理室的方 式被裝設且此有助於一模組處理系統設計之產生。 發明眢景: 於積體電路之製造中,設備已被發展成可在未將基材 移出一真空環境下進行數種順序之處理步驟來自動化進 行基材處理’故而減少基材之輪送時間及污染。此等系統 現已揭示於例如梅登等(Maydan et al)之美國專利第 4,951,601號,其中複數個處理室被連接至輸送室。於中 央輸送室内一機械手臂令基材通過不同之相連處理室内 之缝閥且於該♦處理室内處理完畢後收受該等基材。 於真空處理宣内進行之處理步驟典型地需要沉積或 蝕刻於一基材面上之多重金屬,介電層及半導體膜層。此 等處理之實例包括化學氣相沉積(CVD),物理氣相沉積 (PVD),及蝕刻方法。雖然本申請案主要係討論諸cvd處 理室及系統’本發明相同地可施用與其它諸處理室及系 統’其使用一流體來做氣體傳送或產生氣體。 處理室被用來沉積薄膜於半導體基材上。沉積該等薄 膜之處理使用多種氣體提供於室内以進行該處理。例如, 諸處理室典型地使用一種洗滌氣體如氬被導至基材邊緣 之背面,提Hi条氣體遮罩〃防止於該基材邊緣及背面 沉積。此外,欲沉積至基材上之材料典型地被引入—懸浮 第4·頁 --7------φ^-衣-- (請先閲讀背面之注意事項再填寫本頁) 訂 X, 經濟部中央標準局員工消費合作社印製 A7 ---- ----B7 五、發明説明() 一種載送氣體如氦之處理室。通常用於沉積諸膜於基材上 之諸材料於室溫下係呈其液態相(如DMAH,TEOS, TDMAT)。故而,為了將此等材料引入該處理室,該材料 典型地被充填至一氣化器使得其變得與該載送氣體混合 且為該載送氣體所携帶》—種氣化器之實例為一鼓泡器。 於一鼓泡器中,一載送氣體被引入沉浸於該液體材料之喷 嘴以產生該載送氣體之之氣泡,其通過該液體上升。結 果,該液體材料被氣化至該載送氣體中且與該載送氣體混 合且該混合物被引入處理室中以於該基材上沉積該材 料。其Έ氣體亦常用於諸基材之處理如以作為一種系統洗 滌劑(如氮)或一種反應劑(如氫及氧)。 如第1圖所示之先前技藝圖式,用於控制且傳送該氣 體至不同處理室之氣體傳送系統通常係被安置於該系統 之背面。故而,必須安裝導管來將各處理室連接至該氣體 傳送系統。該導管典型係由該氣體傳送系統平台下方延伸 至諸各別處理室》該系統之安裝及維修以及任—系統組件 之替換基於該實體之導管故而需要實質之人力。 此外,於該處理系統背面安置該氣體傳送系統需要將 該氣體傳送系統之控制器安置在離該處理室一段實質距 離外,典型地平均約1〇英呎。故而,連接至該處理室之 氣體傳送(例如該氣體由該氣體傳送系統抵達該處理室之 時間)對於該處理室相對於該氣體傳送系統之位置可能很 敏感,其可影響該步驟之重覆性及可導致系統内之冷凝。 進而,將該氣體傳送系統置於該系統背面浪費空間且降低 第5頁 本紙張尺度適财aii縣(CNS) A4i格(21gx 297m ) - -一--- (#先閱讀背面之注意事項再填寫本頁)
經濟部中央標隼局員工消費合作社印聚 A7 B7 五、發明説明() 該系統組件之移動性,阻礙互換性及彈性,使得該模組系 統較不方便》 故而’有需要增加該系統之可重覆係及提供一氣體傳 送系統其可促進互換性及一模組之設計。 發明目的及蛔诚: 本發明一般係提供一種接近該處理室來安置之氣體 傳送系統。更詳言之,本發明提供一種處理基材之設備, 其包括一處理室及一氣體傳送系統。該氣體傳送系統係以 流體連通且被用以供應一或多種處理氣體至該處理室 中。該氣體傳送系統係鄰近該處理室來安置且較佳地連接 於其上。較佳地該氣體傳送系統離該處理室不超過2至3 英叹遠’使得該氣體僅必.需於其間移動2至3吸遠。 本發明一要點係在提供一種處理室模組,其包含一支 撐該處理室之模組支撐架及該氣體傳送系統。該模組支撐 架具有一組連接其上之輪子以加強該處理室模組之移動 性。本發明另一要點係在提供一種將一氣體傳送至一處理 室之方法,包括將該氣體傳送系.統安置於接近該處理室處 及提供其間之流體連通。 圖式簡軍說明: 於上簡要摘述之本發明之更詳細插述可參考其由後 附圖式顯示之具體例來提供,使得本發明上述特性優點及 目的得以達成且更詳細地被理解。 第6頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨0\297公楚) (讀先閱讀背面之注意事項再填寫本頁)
A7 __B7 五、發明説明() 然而應注意地’後附圓式僅顯示本發明之典型具體例 其故而不應視為限制本發明範令,因為本發明可用於其它 相同有效之具體例。 第1圖為一先前.技藝處理系統之部份透視圈,該系統具有 氣體傳送系統安置於該系統之背面。 第2圖為該處理室及該氣體傳送系統之透視圓,該氣禮傳 送系統被緊鄰固定在具有輪子之模组支撐架上。 第3圖為第2圖所示系統之正視圖,顯示一特殊氣體傳送 系統之各別组件。 emu dn HI n^i ^ m^i I (請先閏讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印聚 圖號對照說明: 10 氣趙傳送系統 30 處理室 1 1 92 負載鎖定件 90 輪送室 訂 1 22 氣化器 50 模组支撐架 I 1 94 平台 52,54 輪子 1 1 14 流體供應器 16 液流計 1 1 18 注入控制閥 20 閥 >· 1 12 氣體供應管線 12a 氬氣供管線 1 1 12b 氩氣 12c 氮氣 j 12d 氦氣 1 1 發明詳細說明: 1 1 1 本發明一般提供一 種氣體傳 送系統10 ’其緊鄰該處理 I 1 | 室30設置且連接至該處理室。 為求描述之清晰及簡易, 1 1 第7頁 本紙張尺度適财@财辟(CNS ) A4im ( 210 X2*9^^- 經濟部中夫標準局負工消費合作社印裝 A7 -----— —_ B7 五'發明説明() ~ — 如下說明王要係參考一種CVD處理室及系統,不過本發 明同樣地可施用於使用流體傳送系統之其它類型處理。此 外,雖然說明經常使用術語"氣體",應了解地本發明可施 用於所有類型之流體。 如第1圖所示,基材處理系統典型地包含一種組合, 有負載鎖定件92透過其基材被引入該系統,一輸送室9〇 其座落一機械手臂來移動系統内之基材,及複數個處理室 3〇各被用於在基材上進行特殊的處理步驟。典型地,在處 理室30内進行的步驟涉及在基材面上薄膜材料之沈積。 如先前所述地,該膜係藉由以氣體形式將基材上欲沉積之 材料引入處理室30中來沉積,使得可產生更均勻之膜。 通常將被沉積的材料於室溫下係呈流體形式.再者, 該材料於一氣化器22(示於第3圖)如一鼓泡器來被氣化進 入一載送氣體。支撐該材料之載送氣體而後被通入處理室 3 0以將材料沉積於基材上。故而,該處理系統必需亦包括 一氣體傳送系統10(其含氣化器22)及亦包括其它流體傳 送组件,其為導引及控制含該材料之載送氣體流流至該處 理室30。 此外,處理室30需要其它氣體以完成該處理。例如, 一硃滌氣如氬典型地被引導於該基材邊緣之背面以防止 於該基材之傾斜邊緣及相對粗糙背面處該材料之沉積。以 此方式,該洗滌氣有助於減低在系統内粒子產生之風險。 而且,有些氣體如氮氣被用於在起動時(start_up)或後續處 理時來清洗系統。類似地’其它氣體如氧及氦被用來作為 第8頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X29?公益} (請先閱讀背面之注意事項再填寫本1)
經濟,邓中央標準局員工消費合作社印製 A7 B7_ — 五、發明説明() 反應物以促進該材料在基材上之沉積。從而,該氣體傳送 系統1 0必須要供應且控制於各處理室3 0之處理中所須之 .所有氣體。 如前所述’.先前技藝之系統將氣體傳送系統10設置 於該系統之背面且而後於該氣體傳送系統10及該處理室 30間提供管道或流體連通管線來產生氣體運送,其依該處 理室30於系統内之位置而定》—般地,該氣體傳送系統 10離該處理室30平均約1〇呎遠。故而,於先前技藝設計 中氣體傳送之變數如氣體抵達該處理室30之時間’該氣 體最初進入該處理室30之濃度及氣體之終止,大部份依 該處理室30於該系統内之位置而定。此種遙遠設置可能 會導致在連通管線中冷凝作用及可影響該系統之重覆 性0 如此,於克服此等問題及提供更大重覆性之努力下’ 本發明將伴隨一已知處理室30之氣體傳送系統10設置於 接進該處理室處,較佳地連接於其上及/或於一常見之模組 支撐架上。較佳地,該氣體傳送系統1 0係相對於該處理 室夠近處設置使得用於將氣體運送至該處理室30之連通 管線最多僅約2至3呎遠。故而,如在此使用般,術語" 接埤","緊鄰"及"鄰近"當係指該氣體傳送系統10對該處 理室3 0之相對位置時,意指該等組件相隔不大於約5呎 遠。將氣體傳送系統10接近該處理室10安置會增加重覆 性因為該系統對該處理室30之位置並不敏感。同樣地, 基於該氣體必需行經距離之減少’該系統遭受較少冷凝及 第9貰 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公益) (請先聞讀背面之注意事項再填寫本頁)
經濟部中央標準局負工消费合作社印M A7 B7 五、發明説明( 較佳導電性(conductance)。 第2圖顯示該處理室30及被固定在—常見模組支撐 架5 0之該氣體傳送系統。如圖所示,該模組支撐架$ 〇為 一種架組#,由S硬之材料所構<,該#料可輕易地 支撐該處理室30及該氣體傳送系統1〇之重量。雖然模組 支撐架50可固定地被固定至(或一部份之)平台94(見第工 圖)’該模組支撐架較佳地為一分離元件’其包括被固定 於下端之一組輪子52以提供該模組支撐架5〇移動性。較 佳地,該模組支撐架50包括至少4個輪子以提供穩定性。 應注意該模組支撐$ 5G為較佳,因為其對該系統加添一 移動元件及模組性。然而,本發明之主要焦點係在重新安 置該氣體傳送系統10至較先前設計距該處理室3〇更近之 處。故而,雖然如下說明主要係指連接於該模组支撐架5〇 上之各別組件,該模組支撐架5〇可被去除且該處理室3〇 及該氣體傳送系統10可被固定在任合可利用表面只要它 們緊鄰被固定》 據此,於-較佳具體例中,該處理室3〇被連接至該 模組支撐架50。類似地,該氣體傳送系統含有伴隨於該處 理室30之氣體傳送組件亦被連接至該模组支撐架5〇。該 ”傳送系統10及該處理室3〇藉由流體連通管線來相互 連接。該處理纟30可為任何處理室,其中基材被處理且 於該處理中其使用一流體β此等處理室3〇之實例包括CM 處理室及PVD處理室。 該氣體處理系統1〇通常包含於該伴隨之處理室 第10頁 (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS ) Λ4規格 (210X 297公益 經濟部中央標準局員工消費合作社印裝 Α7 Β7 五、發明説明() 進行之處理所需之所有氣體傳送元件。第3圖顯示—被固 定於一模組支撐架50上之CVD型處理室3〇連通至亦被 固定於該模組支撐架50上之一氣體傳送系統上。該氣體 傳送系統1 〇被打開以顯示與此特殊處理室3 〇結合之諸氣 體傳動組件。注意本發明並不限於此特殊氣體傳送系統 10,但可適用至任何型式之氣體傳送系統1〇。於第3圖内 所顯示之實施例,該氣體傳送系統1〇包含之此種處理之 典型組件包括閥20’ 一液流計16,連通管線#,一注入控 制閥18’迂迴管線#,包括一流體供應器14之氣化器22。 其它典型之组件包括它如測量裝置(如熱電偶),監控顯示 器’脫氣器’氣體供應器,泵,及溫度控制系統(如電熱 器)。 於第3圖所示之實例包括四組氣體供應管線。一組氣 體供應管線12a供應氬至該處理室以作為底洗滌氣,其預 防於該基材之背面及邊緣沉積。其它三組氣體供應管線12 提供氫12b,氮12c及氦12d至該處理室30。該氫及氦典 型地與含有結合被氣化材料之載送氣體被引入該系統。氦 亦作為該系統之載送氣體。如於圖所顯示,該連通管線將 氦導引至該氣化器或鼓泡器,於該處材料被氣化至該載送 氣蟫。含有該材料(如TEOS或TDMAT)之載送氣體於伴隨 氬及氫通至該處理室30前通過二液流計16且而後通過該 注入控制閥1 8。該氮氣主要作為洗滌氣於維修或起動後洗 條該系統。一旦氣體離開該氣體傳送系統1 〇其僅需要最 多流過2至3呎遠,因為於本發明中該氣體傳送系統1 〇 第11頁 本紙張尺度適用中國國家標準(CNS ) Λ4规格(210χ297公总) —/---^-----— (請先閱讀背面之注意事項再填寫本頁) ,-ιτ -^>7 經濟部中央標準局員工消费合作社印製 A7 ~~-—-------±1___ 五、發明説明( ) ~" — 接近該處理室來設置,且較佳地被連接至該處理室上。 除了増加系統之重覆性且減少於系統内形成之冷凝 外,本發明藉由將該氣體傳送系統i 0接近該處理室3 0來 故置亦促成一模組系統,其中各別處理室3 〇可被移動且 替代無需重新設計整個系統。於先前系統中,如於第i圖 所顯示者,該處理室30於該系統内被固定至該平台94。 故而’若處理室30中其中一者壞了,該系統被關閉直至 抽壞疋處理室30被修復或替代。然而,一固定之處理室 30之替代需要該處理室30由該平台94及該系統上物理地 被拆離及移開。雇而’於該系統維修期間該系統可被關閉 相當長時間。此外,該系統之修正需要該系統被重新製造 以接受一新顆或不同處理室連接至該系統及該氣體供應 管線。為了克服先前技術之缺點,該產業已朝向更具模组 性設計來發展,其中各別之處理室3〇可彼此互換。以此 方式’當一處理室30損壞時,其可迅速地被移除且一新 顆之處理室30可輕易地被滾至該損壞之處理室位置,同 時損壞處理室被修復,類似地,使用模組設計之處理系統 基於其可互換性更容易設計及更新。 本發明藉由將結合各別處理室3 0之該氣體傳送系統 1〇_接至該處理室30且將彼此接近之該氣體傳送系統1〇 及處理室30設置在一可移動推車即該磨組支撐架上促成 此可互換性。將該等組件連接在一起消除將該處理室30 以管連通至一遙遠氣體傳送系統之需求。此外,當系統被 改變時’該系統之氣體送系統1 〇不必重設計因為該傳送 第12頁 本紙張尺度適用中國國g準(CNS )八4規格(210Χ 297公泣) ~~'~一 (請先閲讀背面之注意事項再填寫本頁)
A7 B7 五、發明説明() 系統10被連接至相關之處理室上。更者,伴隨該處理室 3 0及該氣體傳送系統10之維修問題可以更輕易地被處理 因為一替代模组可以輕易地迅速插入該系統同時該模組 被修復。更者’將氣體傳送系統1〇接近該處理室來設 置減少伴隨該處理室30及該模组製造及組合之時間,人 力及成本’因為彼此相連之連通管線較短且可於工廢安 裝,於該處諸組件更容易被接近而非位於遙遠位置之清潔 室。 雖然前述說明係指本發明之較佳具體例,本發明其它 及更多具體例可在未悖離其基本領域下被設計且其範鳴 係由申請專利範圍來決定。 (請先閱讀背面之注意事項再填寫本頁) -訂; 經濟部中央標準局員工消费合作社印裝 肓 3 一家 國 -國 中 用 適 度 尺 張 :紙 一賴

Claims (1)

  1. 1. 一種使用一或多種氣體來處理一基材之設備,其至少包 含:. (請先閱讀背面之注意事項再填寫本1) 一處理室(30); 一氣體傳送系統(1 〇)以流體連通至該處理室(3 0)且 供應該一或多種氣體至該處理室(30);及 該氣體傳送系統(10)接近該處理室(30)設置。 2 ·如申請專利範圍第1項所述之設備,其中該氣體傳送系 統被連接至該處理室。 3. 如申請專利範圍第1項所述之設備,其更包含: 一模組支撐架(50),其中該處理室及該氣體傳送系統 被連接至該模組支撐架。 4. 如申請專利範圍第3項所述之設備,其更包含輪子其連 接在該模組支撐架(50)之下端。 經濟部智慧財產局員工消骨合作社印製 5 ·如申請專利範圍第1項所述之設備,其中該處理室為 CVD處理室。 6.如申請專利範圍第1項所述之設備,其更包含: 一組氣體供應管線(1 2)與該處理室以流體相連通; 及 複數個閥(20)與該組氣體供應管線及該處理室以流 第u頁 本紙張尺度適用中國國家操準(CNS )八4规格(210X2【)7公釐)
    1. 一種使用一或多種氣體來處理一基材之設備,其至少包 含:. (請先閱讀背面之注意事項再填寫本1) 一處理室(30); 一氣體傳送系統(1 〇)以流體連通至該處理室(3 0)且 供應該一或多種氣體至該處理室(30);及 該氣體傳送系統(10)接近該處理室(30)設置。 2 ·如申請專利範圍第1項所述之設備,其中該氣體傳送系 統被連接至該處理室。 3. 如申請專利範圍第1項所述之設備,其更包含: 一模組支撐架(50),其中該處理室及該氣體傳送系統 被連接至該模組支撐架。 4. 如申請專利範圍第3項所述之設備,其更包含輪子其連 接在該模組支撐架(50)之下端。 經濟部智慧財產局員工消骨合作社印製 5 ·如申請專利範圍第1項所述之設備,其中該處理室為 CVD處理室。 6.如申請專利範圍第1項所述之設備,其更包含: 一組氣體供應管線(1 2)與該處理室以流體相連通; 及 複數個閥(20)與該組氣體供應管線及該處理室以流 第u頁 本紙張尺度適用中國國家操準(CNS )八4规格(210X2【)7公釐) A8 B8 B90914 〇l —-------------—-----:--------— 申請專利範圍 體相連通以控制於其間之流動。 7.如申請專利範圍第6項所述之設備’其更包含一氣化器 (22)。 8 ·如申請專利範園第1項所述之設備’其中該氣體傳送系 統(10)及該處理室間之距離少於3呎大於〇呎。 9 · 一種處理室摸組設備,其至少包含: 一模組支撐架(50); 一處理室(30)連接至該模組支撐架(50);及 一氣體運輸系統(10)連接於該模組支撐架(50)且用 以供應一或多種氣體至該處理室(30)。 1 0.如申請專利範圍第9項所述之設備,其中該氣體傳送系 統(10)接近該處理室(30)設置。 1 1 ·如申請專利範圍第9項所述之設備’其中該氣體傳送系 統(10)被連接至該處理室(30)。 ’ 1 2.如申請專利範圍第9項所述之設備,其更包含輪子其連 接在該模組支撑架(5〇)之下端。 1 3 .如申請專利範園第9項所述之設備,其中該處理室為 第15貫 本紙張収_悄卿斜·( (請先閲讀背面之注意事項再填寫本頁) 〕-----------IT—----- 經濟部智.1財產局員工消費合作社印製 ABCD S90914 六、申請專利範圍 CVD處理室。 (請先閲讀背面之注意事項再填寫本頁) 1 4 ·如申請專利範圍第9項所述之設備,其中該氣體傳送系 統(1 0)及該處理室(3 0)間之距離少於3呎大於0呎。 15.—種傳送一或多種氣體至處理室之方法,其至少包含下 列步驟: 接近該處理室設置一氣體傳送系統以供應該一或多 種氣體;及 於該氣體傳送系統及該處理室間提供流體連通。 1 6 .如申請專利範圍第1 5項所述之方法,其更包含連接該 氣體傳送系统及該處理室至一常見之模組支撐架。 1 7.如申請專利範圍第1 5項所述之方法,其更包含將該氣 體傳送系統及該處理室以彼此相隔少於3呎大於0呎來 設置使得該一或多種氣體於其間之流動必少於3呎大於 0呎。 經濟部智慧財產局員工消费合作社印製 1 8 .如申請專利範圍第1 5項所述之方法,其更包含將該氣 體傳送系統及該處理室以彼此相隔少於2呎大於0呎來 設置使得該一或多種氣體於其間之流動必少於2呎大於 0呎。 第16頁 本紙張尺度適用中國家標準(CNS ) Λ4規格(210X 297公釐)
TW087110916A 1997-07-11 1998-07-06 Fluid delivery system and method TW390914B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/893,462 US6083321A (en) 1997-07-11 1997-07-11 Fluid delivery system and method

Publications (1)

Publication Number Publication Date
TW390914B true TW390914B (en) 2000-05-21

Family

ID=25401599

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087110916A TW390914B (en) 1997-07-11 1998-07-06 Fluid delivery system and method

Country Status (6)

Country Link
US (1) US6083321A (zh)
EP (1) EP0996966A1 (zh)
JP (1) JP2001509648A (zh)
KR (1) KR20010021733A (zh)
TW (1) TW390914B (zh)
WO (1) WO1999003137A1 (zh)

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
GB9904925D0 (en) * 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6799603B1 (en) * 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
WO2001034871A1 (en) * 1999-11-12 2001-05-17 Far West Electrochemical, Inc. Apparatus and method for performing simple chemical vapor deposition
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6397883B1 (en) * 1999-12-16 2002-06-04 The Boc Group, Inc. Equipment skid
US7030401B2 (en) * 2000-04-13 2006-04-18 Nanophotonics Ag Modular substrate measurement system
US6420864B1 (en) * 2000-04-13 2002-07-16 Nanophotonics Ag Modular substrate measurement system
US6596641B2 (en) 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
US6796828B2 (en) * 2001-06-01 2004-09-28 Sherwood Services Ag Return pad cable connector
US20030121796A1 (en) * 2001-11-26 2003-07-03 Siegele Stephen H Generation and distribution of molecular fluorine within a fabrication facility
US20030098038A1 (en) * 2001-11-26 2003-05-29 Siegele Stephen H. System and method for on-site generation and distribution of fluorine for fabrication processes
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
GB0204882D0 (en) * 2002-03-01 2002-04-17 Trikon Technologies Ltd Pedestal
US7192486B2 (en) * 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
US6828252B2 (en) * 2002-10-22 2004-12-07 Micron Technology, Inc. Method of etching a contact opening
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
JP4818589B2 (ja) * 2004-02-26 2011-11-16 東京エレクトロン株式会社 処理装置
JP4606388B2 (ja) * 2006-06-12 2011-01-05 川崎重工業株式会社 基板移載装置の搬送系ユニット
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US20100288625A1 (en) * 2007-02-28 2010-11-18 Ulvac, Inc. Film deposition apparatus and film deposition method
WO2009098554A1 (en) * 2007-11-07 2009-08-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process vacuum for semiconductor manufacturing wet chemical processes
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
KR20200020608A (ko) 2018-08-16 2020-02-26 에이에스엠 아이피 홀딩 비.브이. 고체 소스 승화기
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4852516A (en) * 1986-05-19 1989-08-01 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4722298A (en) * 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
JP2681405B2 (ja) * 1988-02-10 1997-11-26 富士通株式会社 光フアイバケーブルおよび光フアイバケーブルの製造方法
US4945856A (en) * 1988-06-23 1990-08-07 Jeffrey Stewart Parylene deposition chamber
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JP2775648B2 (ja) * 1989-08-10 1998-07-16 アネルバ株式会社 Cvd方法
JP3097136B2 (ja) * 1991-02-06 2000-10-10 ソニー株式会社 マルチチャンバプロセス装置を用いたウエハ処理方法
US5252134A (en) * 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
EP0598424A3 (en) * 1992-11-16 1996-05-15 Novellus Systems Inc Apparatus for removing dissolved gases from a liquid.
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5575854A (en) * 1993-12-30 1996-11-19 Tokyo Electron Limited Semiconductor treatment apparatus
US5451258A (en) * 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
JP2865585B2 (ja) * 1995-02-21 1999-03-08 シーケーディ株式会社 ガス供給集積ユニット及びそのシステム
US5641358A (en) * 1995-10-10 1997-06-24 Stewart; Jeffrey Modular parylene deposition apparatus having vapor deposition chamber extension
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5732744A (en) * 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5758680A (en) * 1996-03-29 1998-06-02 Lam Research Corporation Method and apparatus for pressure control in vacuum processors

Also Published As

Publication number Publication date
WO1999003137A1 (en) 1999-01-21
JP2001509648A (ja) 2001-07-24
EP0996966A1 (en) 2000-05-03
KR20010021733A (ko) 2001-03-15
US6083321A (en) 2000-07-04

Similar Documents

Publication Publication Date Title
TW390914B (en) Fluid delivery system and method
US7562672B2 (en) Chemical delivery apparatus for CVD or ALD
TW552372B (en) Integral blocks, chemical delivery systems and methods for delivering an ultrapure chemical
TW399237B (en) Film forming method and apparatus
TWI430366B (zh) 基板處理裝置、氣體供給部及過濾器
TW380191B (en) Uniform heat trace and secondary containment for delivery lines for processing system
WO2006057707A1 (en) Method and system for performing in-situ cleaning of a deposition system
CN107523804A (zh) 用于膜轮廓调节的喷头帘式气体方法和系统
CN104882363B (zh) 处理气体产生装置、处理气体产生方法、基板处理方法
TW530341B (en) Vaporization of precursors at point of use
WO2013016208A2 (en) Reactant delivery system for ald/cvd processes
JP2004036004A (ja) 気化液体反応物のパルス的供給の方法および装置
CN105714272A (zh) 用于提高膜均匀性的装置和方法
TW201833375A (zh) 前驅物控制系統及製程
TWI823889B (zh) 電漿處理裝置之零件之清潔方法
JP2016191140A (ja) 原料ガス供給装置及び成膜装置
TW516114B (en) MOCVD system
WO2007086393A1 (ja) 基板処理システム
TW464922B (en) Method and apparatus for improved control of process and purge material in a substrate processing system
TWI241636B (en) System architecture of semiconductor manufacturing equipment
TW201915214A (zh) 用於供薄膜製造的來源化學品之整合的合成、輸送及加工之方法及系統
TW201825508A (zh) 用於過渡金屬的金屬、金屬氮化物,及金屬氧化物系膜的沉積之前驅物
JP2010118691A (ja) 基板処理装置
JP2000174005A (ja) ヒーター内蔵バルブユニット
WO2024118124A1 (en) Vapor supply for substrate processing systems

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent