JP2001509648A - 流体分配システム及び方法 - Google Patents

流体分配システム及び方法

Info

Publication number
JP2001509648A
JP2001509648A JP2000502529A JP2000502529A JP2001509648A JP 2001509648 A JP2001509648 A JP 2001509648A JP 2000502529 A JP2000502529 A JP 2000502529A JP 2000502529 A JP2000502529 A JP 2000502529A JP 2001509648 A JP2001509648 A JP 2001509648A
Authority
JP
Japan
Prior art keywords
processing chamber
distribution system
gas distribution
processing
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000502529A
Other languages
English (en)
Other versions
JP2001509648A5 (ja
Inventor
ローレンス レイ
ソン トリン
ジョエル エム ヒューストン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001509648A publication Critical patent/JP2001509648A/ja
Publication of JP2001509648A5 publication Critical patent/JP2001509648A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 本発明は、一般に処理チャンバの近くに配置するためのガス分配システムを提供する。特に、本発明は、処理チャンバとガス分配システムを有する基板を処理するための装置を提供する。このガス分配システムは、処理チャンバと流体連通しており、1以上の処理ガス及び/又はキャリア/パージ・ガスを処理チャンバへ供給するのに適合されている。このガス分配システムは、処理チャンバから約2〜3フィート以内に処理チャンバに接近して配置されている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、半導体基板の処理装置の分野に関し、特に、チャンバに非常に接近
して取付けられ、モジューラ処理システム設計の創作を容易にする流体分配シス
テムに関する。
【0002】
【従来の技術】
集積回路の製造において、真空環境から基板を除去することなく処理ステップ
の幾つかのシーケンスを行なうことによって基板処理を自動化する装置が開発さ
れており、それによって基板の移送時間及び基板の汚染を減少している。このよ
うなシステムは、例えば、Maydan他による米国特許4,951,601号に開示されてお り、それには、複数の処理チャンバ外装チャンバに接続されている。中央の移送
チャンバにおけるロポットがそれぞれ接続された処理チャンバにおけるスリット
バルブを通して基板を通過させ、チャンバにおける処理が完了下後、それらを回
収する。
【0003】 真空チャンバで行なわれる処理ステップは、一般に基板表面上で多くの金属、
誘電体及び半導体フィルム層の堆積又はエッチングを必要とする。このような処
理の例には、化学気相堆積(CVD)、物理気相堆積(PVD)及びエチング処理等
が含まれる。本出願は、主としてCVD処理チャンバ及びシステムを説明するけ
れども、本発明は、ガス分配又はガス発生用の流体を利用する他の処理チャンバ
にも等しく応用することができる。
【0004】 処理チャンバは、半導体基板上に薄膜を堆積するために用いられる。薄膜を堆
積する方法は、その方法を行なうためにチャンバに与えられるいろいろなガスを
用いる。例えば、チャンバは、基板のエッジや裏面上での堆積を防ぐパージガス
のシールドを与えるために基板のエッジの裏側に向けられるアルゴンガスのよう
なパージガスを一般に利用する。更に、基板上に堆積されるべき物質が、一般に
キャリアガス、例えばヘリウムに懸濁されてチャンバに導入される。基板上に膜
を堆積するために用いられる物質は、室温において流体相にある(例えば、DM AH、TEOS及びTEMAT)。従って、これらの物質を処理チャンバへ導入 するために、物質は、それがキャリアガスと混合されて運ばれるように、エバポ
レータに入れられる。エバポレータの一つの例は、バブラー(bubbler)である。 バブラーにおいて、キャリアガスは、液体を通して上昇するキャリアガスの泡を
発生する液体につけられたノズルを通して導入される。結果的に、液体はキャリ
アガスに蒸発され、キャリアガスと混合され、そしてこの混合されたガスは基板
上へ物質を堆積するための処理チャンバに導入される。他のガスも、例えばシス
テムパージ(例えば、窒素)として、又はリアクタント(例えば、水素及び酸素)と
して、働かせるために基板の処理に用いられる。
【0005】 図1の従来例に示されるように、ガスをいろいろな処理チャンバに分配し、制
御するために用いられるガス分配システムは、システムの裏側に一般に配置され
る。従って、配管が、各々の処理チャンバをガス分配システムに接続するために
設けられる。この配管は、一般にプラットフォームの下にあるガス分配システム
から個々の処理チャンバまで延びている。従って、システムの据付やメンテナン
スばかりでなく、システム要素のいずれかの移動は、実際の配管による実際のマ
ンパワーを必要とする。
【0006】 更に、処理システムの裏側にガス分配システムを配置することは、処理チャン
バからの実際の距離、一般には平均約10フィート(約3メートル)、ガス分配の
ための制御装置を配置する必要がある。従って、チャンバへのガス分配(例えば 、ガス分配システムからチャンバへ到達するガスのための時間)は、処理の反復 性に影響を与え、システム内での凝縮につながる恐れのある、ガス分配システム
に関わるチャンバの位置に敏感である。更に、システムの後にガス分配システム
を置くことは、スペースを浪費し、またモジューラシステムを可能にしないで、
互換性やフレキシビリティを妨げてシステム要素の移動性を減少する。
【0007】 したがって、システムの反復性を増大し、互換性やモジューラ設計を可能にす
るガス分配システムを提供する必要性がある。
【0008】
【発明の概要】
本発明は、一般に処理チャンバの近くに配置するためのガス分配システムを提
供する。特に、本発明は、処理チャンバとガス分配システムを有する、基板を処
理するための装置を提供する。このガス分配システムは、処理チャンバと流体連
通し、1以上のガスを処理チャンバに供給するのに適合している。このガス分配
システムは、処理チャンバの近くに配置され、好ましくは、処理チャンバに取り
付けらえる。好適には、ガス分配システムは、ガスが処理チャンバとガス分配シ
ステム間に約2〜3フィート(約60〜90センチメートル)だけ移動するように
、処理チャンバから約2〜3フィート以下である。
【0009】 本発明の1つの特徴は、処理チャンバとガス分配システムを支持するモジュー
ル支持フレームを有する処理チャンバモジュールを提供する。このモジュール支
持フレームはそれらに取付けられたホイールのセットを有し、処理チャンバモジ
ュールの移動性を増大する。本発明の他の特徴は、処理チャンバの近くにガス分
配システムを配置し、それらの間で流体の伝達を行なうステップを有する処理チ
ャンバへガスを分配する方法を提供する。
【0010】
【発明の実施の形態】
添付図面に示された本発明の実施形態を参照することによって、本発明の上述
の特徴、利点、及び目的が達成され、詳細に理解されるであろう。
【0011】 しかし、添付図面は、本発明の特定の実施形態のみを示しており、したがって
、本発明の範囲を実施の形態に限定して考えるべきでないことに留意されるべき
である。
【0012】 本発明は、処理チャンバ30の近くに配置され、又取付けられるガス分配シス
テム10を提供する。本発明は、流体の分配システムを用いる他の形式の処理に
も等しく利用可能であるけれども、説明を明瞭にし、容易にするために、以下の
説明は主にCVD処理チャンバ及びシステムに言及する。更に、説明では、用語
“ガス”がしばしば用いられるけれども、それは本発明が他の全ての形式の流体
に応用できることを理解すべきである。
【0013】 図1に示されるように、基板処理システムは、一般に基板がシステムに導入さ
れるロードロック92、システム内で基板を移動するロボットを有する移送チャ
ンバ90、及び基板上で特定の処理ステップを実行するのに適合した複数の処理
チャンバ30の組合せを有する。一般に、処理チャンバ30において実行される
処理は、基板の表面上に物質の薄膜を堆積する処理を含む。前述したように、膜
(フィルム)は、一様な膜が形成されるように、基板上に堆積されるべき物質を処
理チャンバ30にガスの形状で導入することによって堆積される。
【0014】 しばしば、堆積されるべき物質は、室温で液体の状態にある。従って、物質は
、エバポレータ、例えばバブラーにおいてキャリアガスに蒸発される。その後、
物質を支持するキャリアガスは、基板上に物質を堆積するために処理チャンバ3
0に通される。従って、処理システムは、また、エバポレータ22を含み、物質
を含むキャリアガスの流れを処理チャンバ30に向かわせ、制御するために必要
な他の流体分配要素も含むガス分配システム10を有しなければならない。
【0015】 更に、処理チャンバ30は、処理を完成するために他のガスを必要とする。例
えば、パージガス、例えばアルゴンが一般に基板のエッジの裏側に向けられ、基
板の斜角がつけられたエッジや比較的粗い裏面上に物質が堆積されるのを防止す
る。この方法で、パージガスはシステムに粒子が発生する危険を減少するのを助
ける。又、あるガス、例えば窒素が始まり又はそれに続く処理においてシステム
を清掃するために用いられる。同様に、他のガス、例えば酸素及びヘリウムが基
板上への物質の堆積を容易にするために、リアクタントとして用いられる。従っ
て、ガス分配システム10は、各々の処理チャンバ30における処理に必要な全
てのガスの供給及び制御のために提供する。
【0016】 前述のように、従来システムは、システムの後にガス分配システム10を配置
しており、システムにおける処理チャンバ30の位置に依存しているガス分配を
生じるガス分配システム10と処理チャンバ30間に配管、即ち流体給送ライン
を備えていた。一般に、ガス分配システム10は、処理チャンバ30から約10
フィート(約3メートル)平均にある。従って、ガスが処理チャンバへ到達する時
間、処理チャンバへ最初に入るガスの濃度、及びガスの遮断のようなガス分配変
数は、これらの従来技術の設計におけるシステムの処理チャンバ30の位置に大
きく依存する。このはなれた位置によって、凝縮が給送ラインに形成するように
なるかもしれないし、システムの反復性に影響を与えるかもしれない。
【0017】 従って、これらの問題を克服し、大きな反復性を与える努力において、本発明
は、プロセスチャンバ30の近くに与えられた処理チャンバ30に関連して、好
ましくは、処理チャンバに及び/又は共通のモジュール支持フレーム50に取付
けられたガス分配システム10を配置している。好適には、ガス分配システム1
0は、ガスを処理チャンバ30へ分配するために用いられる給装ラインは高々約
2〜3フィートだけである処理チャンバ30に充分接近して配置される。したが
って、ガス分配システム10と処理チャンバ30との相対的位置に言及するとき
、ここで用いられている用語“近く(に)”、“非常に接近して”及び“接近し
て”は、これらの要素が約5フィート(約150センチメートル)より大きくない
程度離れていることを意味している。処理チャンバ30の近くにガス分配システ
ムを配置することは、反復性を増大する。何故ならば、そのシステムは処理チャ
ンバの位置に敏感でないからである。又、ガスが通過する距離を減少することに
よって、そのシステムは凝縮が起きず、良好なコンダクタンスを呈する。
【0018】 図2は、処理チャンバ30と共通のモジュール支持フレーム50上に取付けら
れたガス分配システム10を示す。図示されたように、このモジュール支持フレ
ーム50は、処理チャンバ30とガス分配システム10の重量を容易に支持する
ことができる実質的に堅牢な材料から作られている。このモジュール支持フレー
ム50はプラットフォーム94(図1参照)に(又はその一部に)しっかりと取付け
られることができるけれども、好ましくは、モジュール支持フレーム50は、下
端に取付けられた一組のホイール52を含む分離した部材であり、モジュール支
持フレーム50のために移動を可能にする。好ましくは、モジュール支持フレー
ム50は安定性のために少なくとも4つのホイールを有する。モジュール支持フ
レーム50は移動性とモジュール性の素子をシステムに加えるので、モジュール
支持フレームが選ばれることを留意されたい。しかし、本発明の主な点は、以前
の設計におけるよりも処理チャンバにより近くにガス分配システム10の位置を
変えることである。従って、以下の説明は、モジュール支持フレーム50に取付
けられた個々の要素に主として言及するけれども、モジュール支持フレーム50
は、除かれてもよく、処理チャンバ30とガス分配システム10は、それらが非
常に接近して取付けられる限り、あらゆる利用可能な面に取付けることができる
【0019】 従って、好適な実施形態では、処理チャンバ30はモジュール支持フレームに
取付けられる。同様に、処理チャンバ30に関連したガス分配要素を含むガス分
配システム10もモジュール支持フレーム50に取付けられる。ガス分配システ
ム10と処理チャンバ30は、流体給送ラインによって相互接続されている。処
理チャンバ30は、基板が処理され、処理において流体を利用する全てのチャン
バであることができる。この処理チャンバ30の例としては、CVD処理チャン
バ及びPVD処理チャンバを含む。
【0020】 一般に、ガス分配システム10は、関連した処理チャンバ30において行なわ
れるべき処理に必要な全てのガス分配要素を有する。図3は、CVD型処理チャ
ンバ30を示し、それは、モジュール支持フレーム50に取付けられたガス分配
システム10に相互接続されたモジュール支持フレーム上に取付けられている。
ガス分配システム10は、開放されて、この特定の処理チャンバ30と関連した
ガス分配要素を示している。本発明は、この特定のガス分配システム10に限定
されることなく、ガス分配システム10のあらゆる形状に適用されることに留意
されたい。図3に示された例において、ガス分配システム10は、バルブ20、
流体のフローメータ16、給送ライン17、インジェクション制御バルブ18、
バイパスライン19、及び流体供給装置14を含むエバポレータ22を有する処
理に代表的な要素を有する。他の代表的な要素は、測定装置(例えば、熱電対)、
モニターディスプレイ、ガス抜き装置、ガス供給装置、ポンプ、及び温度制御シ
ステム(例えば、ヒータ)等を含む。
【0021】 図3に示されたれは、46つのガス供給ライン12を有する。1つのガス供給
ライン12aは、アルゴンを処理チャンバに供給して、基板の裏側及びエッジへ
の堆積を防止するボトムパージとして働く。他の3つのガス供給ライン12は水
素12b、窒素12c、及びヘリウム12dを処理チャンバ30へ与える。水素
とヘリウムは、一般にそれらが合体された蒸発物質を含むキャリアガスと共にシ
ステムに導入される。ヘリウムもシステムのためのキャリアガスとして働く。図
示されたように、物質がキャリアガスに蒸発される。物質(例えば、TEOS又 はTDMAT)を含むキァリアガスが、フローメータ16を通過し、その後ヘリ ウムと水素と共に処理チャンバ30へ通過される前にインジェクション制御バル
ブ18を通過する。窒素は、メンテナンスや開始に続いてシステムをきれいにす
るパージガスとして主に働く。ガスがガス分配システム10を出ると、本発明に
おいては、ガス分配システム10は処理チャンバ近くに配置され、好適には、処
理チャンバに取付けられているので、ガスは、高々約2〜3フィート流れるだけ
である。
【0022】 システムの反復性を増加し、システムにおいて形成される凝縮を減少すること
に加えて、本発明は、処理チャンバ30の近くにガス分配システム10を配置す
ることによって、個々の処理システム30が全システムを再設計することなく、
移動され、置き換えられることができるモジューラシステムを容易にする。図1
に示される従来のシステムにおいては、処理チャンバ30はシステム内でプラッ
トフォーム94に固定される。したがって、もし、処理チャンバ30の1つが故
障した場合、故障した処理チャンバが修理されるか、取り替えられるまで、シス
テムは中止される。しかし、固定された処理チャンバ30の取り替えは、処理チ
ャンバ30がプラットフォーム94及びシステムから物理的にはずされ、除去さ
れることを要求する。従って、システムは、システムのメンテナンス中の延ばさ
れた期間の間中止される。更に、システムの変更は、システムがシステムとガス
供給ラインへの新しい、或いは異なる処理チャンバの取付けを行なえるように最
製造されることを要求する。従来システムの欠点を克服するために、産業界は、
個々の処理チャンバ30が互換することができる更にモジュール化した設計に向
かって動いている。このように、処理チャンバ30が故障すると、それは素早く
除去され、故障したチャンバが修理されている間に新しい処置チャンバ30が故
障した処理チャンバところに組み込まれる。同様に、互換可能性のために、モジ
ュール型の設計を用いる処理システムが容易に設計され、更新される。
【0023】 本発明は、個々の処理チャンバ30に関連したガス分配システムを処理チャン
バ30にリンクし、移動可能なカート、即ちモジュール支持フレーム50上に互
いに近づけてガス分配システム10と処理チャンバ30を配置することによって
、この互換可能性を容易にする。互いに要素を取付けることは、処理チャンバ3
0を離れたガス分配システム10に配管工事をする必要性を減少する。
【0024】 更に、ガス分配システム10は、関連した処理チャンバ30に取付けられてい
るので、システムが変更されたとき、システムのガス分配システム10は再設計
される必要がない。更に、取り替えモジュールは、モジュールが修理されている
間にシステムに素早く挿入されることができるので、処理チャンバ30やガス分
配システム10と関係したメンテナンス問題もより容易に扱うことができる。更
に、処理チャンバ30の近くにガス分配システム10を配置することは、時間、
労力、及び費用を減少する。何故ならば、相互接続給送ラインは短く、要素がク
リーンルームから遠い位置にあるより容易にアクセスすることができる工場に据
付けられるからである。
【0025】 以上は本発明の好適な実施形態について述べられたが、本発明の他の実施形態
は、本発明の基本的範囲から逸脱することなく発明することができ、本発明の範
囲は請求項によって決められるべきである。
【図面の簡単な説明】
【図1】システムの後に配置されたガス分配システムを有する従来の処理シ
ステムの部分斜視図である。
【図2】ホイールを有するモジュール支持フレーム上で非常に接近して取付
けらえれた処理チャンバとガス分配システムの斜視図である。
【図3】1つの特定のガス分配システムの個々の要素を示す図2に示された
システムの立面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 トリン ソン アメリカ合衆国 カリフォルニア州 95014 クーパーティノ アルカーデ ロ ード 22772 (72)発明者 ヒューストン ジョエル エム アメリカ合衆国 カリフォルニア州 94086 サニーヴェイル イースト エヴ リン アベニュー ♯836−730 Fターム(参考) 4K029 DA04 4K030 EA01 EA03 5F004 AA16 BC03 BD04 DA22 DA23 DA25 5F045 EB05 EB09 EC08 EC10 EE02 EE04 EE05

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】1以上のガスを用いて基板を処理するための装置であって、 処理チャンバ、及び 前記処理チャンバと流体連通し、前記1以上のガスを前記処理チャンバに供給
    するのに適合したガス分配システムを有し、前記ガス分配システムは、前記処理
    チャンバの近くに配置されていることを特徴とする装置。
  2. 【請求項2】前記ガス分配装置は、前記処理チャンバに取付けられている請
    求項1に記載の装置。
  3. 【請求項3】更に、モジュール支持フレームを有し、前記処理チャンバと前
    記ガス分配システムは、前記モジュール支持フレームに取付けられる請求項1に
    記載の装置。
  4. 【請求項4】更に、前記モジュール支持フレームの下端に取付けられるホイ
    ールを有する請求項3に記載の装置。
  5. 【請求項5】前記処理チャンバは、CVD処理チャンバである請求項1に記
    載の装置。
  6. 【請求項6】前記ガス分配システムは、更に前記処理チャンバと流体連通す
    るガス供給ラインのセット、及び前記ガス供給ラインのセット及び前記処理チャ
    ンバに流体連通する複数のバルブを有し、それらの間で流体の流れを制御するの
    に適合している請求項1に記載の装置。
  7. 【請求項7】更に、エバポレータを有する請求項6に記載の装置。
  8. 【請求項8】前記ガス分配システムと処理チャンバ間の距離は、約3フィー
    トより小さい請求項1に記載の装置。
  9. 【請求項9】処理チャンバモジュールであって、 モジュール支持フレーム、 前記モジュール支持フレームに取付けられた処理チャンバ、及び 前記モジュール支持フレームに取付けられ、1以上のガスを前記処理チャンバ
    に供給するのに適合したガス分配システム、 を有する処理チャンバモジュール。
  10. 【請求項10】前記ガス分配システムは、前記処理チャンバの近くに配置さ
    れる請求項9に記載の処理チャンバモジュール。
  11. 【請求項11】前記ガス分配システムは、前記処理チャンバに取付けられる
    請求項9に記載の処理チャンバモジュール。
  12. 【請求項12】更に、前記モジュール支持フレームの下端に取付けられるホ
    イールを有する請求項9に記載の処理チャンバモジュール。
  13. 【請求項13】前記処理チャンバは、CVD処理チャンバである請求項9に
    記載の装置。
  14. 【請求項14】前記ガス分配システムと処理チャンバ間の距離は、約3フィ
    ートより小さい請求項1に記載の装置。
  15. 【請求項15】1以上のガスを処理チャンバに分配する方法であって、 前記1以上のガスを供給するのに適合し、前記処理チャンバの近くにガス分配
    システムを配置するステップ、及び 前記ガス分配システムと前記処理チャンバ間に流体連通を与えるステップ、 を有する方法。
  16. 【請求項16】更に、共通のモジュール支持フレーム上にガス分配システム
    と前記処理チャンバを取り付けるステップを有する請求項15に記載の方法。
  17. 【請求項17】更に、前記1以上のガスが前記ガス分配システムと前記処理
    チャンバ間で約3フィート以下に流れなければならないように、互いに前記ガス
    分配システムと前記処理チャンバを約3フィート以下に配置するステップを有す
    る請求項15に記載の方法。
  18. 【請求項18】更に、前記1以上のガスが前記ガス分配システムと前記処理
    チャンバ間で約2フィート以下に流れなければならないように、互いに前記ガス
    分配システムと前記処理チャンバを約2フィート以下に配置するステップを有す
    る請求項15に記載の方法。
JP2000502529A 1997-07-11 1998-07-09 流体分配システム及び方法 Pending JP2001509648A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/893,462 1997-07-11
US08/893,462 US6083321A (en) 1997-07-11 1997-07-11 Fluid delivery system and method
PCT/US1998/014282 WO1999003137A1 (en) 1997-07-11 1998-07-09 Fuel delivery system and method

Publications (2)

Publication Number Publication Date
JP2001509648A true JP2001509648A (ja) 2001-07-24
JP2001509648A5 JP2001509648A5 (ja) 2006-02-09

Family

ID=25401599

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000502529A Pending JP2001509648A (ja) 1997-07-11 1998-07-09 流体分配システム及び方法

Country Status (6)

Country Link
US (1) US6083321A (ja)
EP (1) EP0996966A1 (ja)
JP (1) JP2001509648A (ja)
KR (1) KR20010021733A (ja)
TW (1) TW390914B (ja)
WO (1) WO1999003137A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005243858A (ja) * 2004-02-26 2005-09-08 Tokyo Electron Ltd 処理装置

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
GB9904925D0 (en) * 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6799603B1 (en) * 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
WO2001034871A1 (en) * 1999-11-12 2001-05-17 Far West Electrochemical, Inc. Apparatus and method for performing simple chemical vapor deposition
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6397883B1 (en) * 1999-12-16 2002-06-04 The Boc Group, Inc. Equipment skid
US7030401B2 (en) * 2000-04-13 2006-04-18 Nanophotonics Ag Modular substrate measurement system
US6420864B1 (en) * 2000-04-13 2002-07-16 Nanophotonics Ag Modular substrate measurement system
US6596641B2 (en) 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
US6796828B2 (en) * 2001-06-01 2004-09-28 Sherwood Services Ag Return pad cable connector
US20030121796A1 (en) * 2001-11-26 2003-07-03 Siegele Stephen H Generation and distribution of molecular fluorine within a fabrication facility
US20030098038A1 (en) * 2001-11-26 2003-05-29 Siegele Stephen H. System and method for on-site generation and distribution of fluorine for fabrication processes
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
GB0204882D0 (en) * 2002-03-01 2002-04-17 Trikon Technologies Ltd Pedestal
US7192486B2 (en) * 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
US6828252B2 (en) * 2002-10-22 2004-12-07 Micron Technology, Inc. Method of etching a contact opening
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
JP4606388B2 (ja) * 2006-06-12 2011-01-05 川崎重工業株式会社 基板移載装置の搬送系ユニット
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US20100288625A1 (en) * 2007-02-28 2010-11-18 Ulvac, Inc. Film deposition apparatus and film deposition method
WO2009098554A1 (en) * 2007-11-07 2009-08-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process vacuum for semiconductor manufacturing wet chemical processes
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
KR20200020608A (ko) 2018-08-16 2020-02-26 에이에스엠 아이피 홀딩 비.브이. 고체 소스 승화기
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03177576A (ja) * 1989-08-10 1991-08-01 Anelva Corp Cvd方法
JPH04502939A (ja) * 1988-02-10 1992-05-28 シンメトリックス・コーポレーション 材料析出方法及び装置
JPH04254350A (ja) * 1991-02-06 1992-09-09 Sony Corp マルチチャンバプロセス装置を用いたウエハ処理方法
JPH06508402A (ja) * 1991-05-31 1994-09-22 スターファー,クレイグ・エム 半導体処理のための非気体ソースからの化学蒸気のための一体化された送出システム
JPH08227836A (ja) * 1995-02-21 1996-09-03 Ckd Corp ガス供給集積ユニット及びそのシステム

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4852516A (en) * 1986-05-19 1989-08-01 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4722298A (en) * 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4945856A (en) * 1988-06-23 1990-08-07 Jeffrey Stewart Parylene deposition chamber
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
EP0598424A3 (en) * 1992-11-16 1996-05-15 Novellus Systems Inc Apparatus for removing dissolved gases from a liquid.
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5575854A (en) * 1993-12-30 1996-11-19 Tokyo Electron Limited Semiconductor treatment apparatus
US5451258A (en) * 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
US5641358A (en) * 1995-10-10 1997-06-24 Stewart; Jeffrey Modular parylene deposition apparatus having vapor deposition chamber extension
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5732744A (en) * 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5758680A (en) * 1996-03-29 1998-06-02 Lam Research Corporation Method and apparatus for pressure control in vacuum processors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04502939A (ja) * 1988-02-10 1992-05-28 シンメトリックス・コーポレーション 材料析出方法及び装置
JPH03177576A (ja) * 1989-08-10 1991-08-01 Anelva Corp Cvd方法
JPH04254350A (ja) * 1991-02-06 1992-09-09 Sony Corp マルチチャンバプロセス装置を用いたウエハ処理方法
JPH06508402A (ja) * 1991-05-31 1994-09-22 スターファー,クレイグ・エム 半導体処理のための非気体ソースからの化学蒸気のための一体化された送出システム
JPH08227836A (ja) * 1995-02-21 1996-09-03 Ckd Corp ガス供給集積ユニット及びそのシステム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005243858A (ja) * 2004-02-26 2005-09-08 Tokyo Electron Ltd 処理装置
WO2005083753A1 (ja) * 2004-02-26 2005-09-09 Tokyo Electron Limited 半導体処理装置

Also Published As

Publication number Publication date
WO1999003137A1 (en) 1999-01-21
EP0996966A1 (en) 2000-05-03
KR20010021733A (ko) 2001-03-15
US6083321A (en) 2000-07-04
TW390914B (en) 2000-05-21

Similar Documents

Publication Publication Date Title
JP2001509648A (ja) 流体分配システム及び方法
KR101247824B1 (ko) 증기 이송 용기 및 소스 물질 이송 방법
KR101070525B1 (ko) 화학적 증착 또는 원자 층 증착용 화학물 전달 장치
US8435351B2 (en) Method and system for measuring a flow rate in a solid precursor delivery system
US7846256B2 (en) Ampule tray for and method of precursor surface area
US7651570B2 (en) Solid precursor vaporization system for use in chemical vapor deposition
US7459396B2 (en) Method for thin film deposition using multi-tray film precursor evaporation system
US7485338B2 (en) Method for precursor delivery
TW471029B (en) Processing system and method for chemical vapor deposition of a metal layer using a liquid precusor
US10087523B2 (en) Vapor delivery method and apparatus for solid and liquid precursors
US7132128B2 (en) Method and system for depositing material on a substrate using a solid precursor
US7708835B2 (en) Film precursor tray for use in a film precursor evaporation system and method of using
US20070234955A1 (en) Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US20070215048A1 (en) Method and apparatus for reducing particle contamination in a deposition system
US20080149031A1 (en) Ampoule with a thermally conductive coating
CN107523804A (zh) 用于膜轮廓调节的喷头帘式气体方法和系统
US7892358B2 (en) System for introducing a precursor gas to a vapor deposition system
CN103688339A (zh) 用于ald/cvd工艺的反应物输送系统
US7488512B2 (en) Method for preparing solid precursor tray for use in solid precursor evaporation system
WO2006057707A1 (en) Method and system for performing in-situ cleaning of a deposition system
JP2001524661A (ja) 液体レベル圧力センサ及び方法
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
CN101608734B (zh) 试剂分配装置及输送方法
TW201833375A (zh) 前驅物控制系統及製程
US7858522B2 (en) Method for reducing carbon monoxide poisoning in a thin film deposition system

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050711

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050711

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080825

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100415