TW388082B - Selective removal of tixny - Google Patents

Selective removal of tixny Download PDF

Info

Publication number
TW388082B
TW388082B TW086119237A TW86119237A TW388082B TW 388082 B TW388082 B TW 388082B TW 086119237 A TW086119237 A TW 086119237A TW 86119237 A TW86119237 A TW 86119237A TW 388082 B TW388082 B TW 388082B
Authority
TW
Taiwan
Prior art keywords
patent application
scope
layer
tin
oxygen
Prior art date
Application number
TW086119237A
Other languages
English (en)
Inventor
Antonio L P Rotondaro
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of TW388082B publication Critical patent/TW388082B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

經濟部智慧財產局員工消費合作社印製 T專丨 iv叫 .丨'! f八 Α7 Β7
專利申請案第86119237號 _ ROC Patent Appln. No. 86119237 修正之中文說明書第3頁-附件一 Anended Fto 3 of the Chinese Scecificatiai- Eh± I '--:------ (88年9月7日送呈) i 五、發明說明(1.) (Submitted on September 7,1999)〔 i: 背景及發明之概述 本發明係關於積體電路結構及製造方法。 背景:去除TixNy薄膜 ’ 氮化鈦(TixNy)在積體電路處理為非常有用之材料,並 且在觸點,通路’及溝槽以及在互相連接疊層常使用作為 擴散障壁。其也可用作一供化學蒸敷(CVD)鎢之"粘膠層" 及一供CVD鎢及CVD鋁之核晶作用層。然而,自高縱橫比 結構去除TixNy薄膜,而最少浸蝕來自晶圓表面之其他材 料,在過去經証明困難。 例如,在W/TiN閘,不易對退火邊角損壞進行"微笑氧 化作用",因為鎢本身會氧化。因而必要下切TiN或將其 去除而不損壞閘氧化物。 目前,濕過程用以下切TiN,因為此等過程對鎢(W)缺 少必要之選擇性,閘結構需要在進行下切過程前,以一隔 板+以加蓋。這增加裝置製造過程之複雜性,並減少過程 "空窗"(亦即過程對變化之容限),因為下切之量係依隔板 厚度而定。 背景:無多晶矽電晶體閘 人們曾建議一種M0SFET閘結構(特別是供DRAM),其中 一擴散障壁層直接覆蓋閘氧化物,及一層金屬(而非多晶 矽)覆蓋擴散障壁。例如,此結構之一種實例將為一 WqiN 閘結構,如在 1995 年 Symposium on VLSI Technology Digest ’ p. 119-20(1995),Lee 等人之"Characteristics of CMOSFET*s with sputter-deposited W/ TiN stack gate"; 3 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — — — — — III — — — - I I I I I I I ' — — — — — —I — (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 T專丨 iv叫 .丨'! f八 Α7 Β7
專利申請案第86119237號 _ ROC Patent Appln. No. 86119237 修正之中文說明書第3頁-附件一 Anended Fto 3 of the Chinese Scecificatiai- Eh± I '--:------ (88年9月7日送呈) i 五、發明說明(1.) (Submitted on September 7,1999)〔 i: 背景及發明之概述 本發明係關於積體電路結構及製造方法。 背景:去除TixNy薄膜 ’ 氮化鈦(TixNy)在積體電路處理為非常有用之材料,並 且在觸點,通路’及溝槽以及在互相連接疊層常使用作為 擴散障壁。其也可用作一供化學蒸敷(CVD)鎢之"粘膠層" 及一供CVD鎢及CVD鋁之核晶作用層。然而,自高縱橫比 結構去除TixNy薄膜,而最少浸蝕來自晶圓表面之其他材 料,在過去經証明困難。 例如,在W/TiN閘,不易對退火邊角損壞進行"微笑氧 化作用",因為鎢本身會氧化。因而必要下切TiN或將其 去除而不損壞閘氧化物。 目前,濕過程用以下切TiN,因為此等過程對鎢(W)缺 少必要之選擇性,閘結構需要在進行下切過程前,以一隔 板+以加蓋。這增加裝置製造過程之複雜性,並減少過程 "空窗"(亦即過程對變化之容限),因為下切之量係依隔板 厚度而定。 背景:無多晶矽電晶體閘 人們曾建議一種M0SFET閘結構(特別是供DRAM),其中 一擴散障壁層直接覆蓋閘氧化物,及一層金屬(而非多晶 矽)覆蓋擴散障壁。例如,此結構之一種實例將為一 WqiN 閘結構,如在 1995 年 Symposium on VLSI Technology Digest ’ p. 119-20(1995),Lee 等人之"Characteristics of CMOSFET*s with sputter-deposited W/ TiN stack gate"; 3 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — — — — — III — — — - I I I I I I I ' — — — — — —I — (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印聚 A7 B7 五、發明説明(2 ) 或 Symposium on VLSI Technology Digest ’ Lee 等人之"Gate oxide integrity (GOI) of MOS transistors with W/ TiN stacked gate"中所說明;二:者均經予併入本案供作參考。 然而,此等先進結構在處理上有特定之困難。困難之一 在於正常之多晶矽閘結構,通常將有若干對閘邊角輪廓" 微笑"之元件。此係因為在多晶矽慣常暴露至短氧化作用 以密封其側壁時,在閘氧化物’在多晶矽閘之邊角下面也 發生若干另外之氧化作用。這恰好在邊角下面產生一種略 微較厚之閘氧化物,其復改進閘結構之完整性^ (否則為 在閘邊角之場增強,以及熱載體損壞之傾向,如果在此處 發生任何此種損壞’將會使閘邊角下面之氧化物為可能早 期失效之區域。)
TiN蝕刻過程
本案發明人發現一種使用外界電漿以激勵氟化氧源氣體 混合物,產生作用物質以最少浸蝕其他材料來蝕刻TixNy ’藉以去除TixNy薄膜之方法。特別是,使用各向同性 乾姓刻,供在W/TiN閘結構選擇性去除TiN,以下切TiN 薄膜。過程選擇性最佳化可最少去除閘氧化物及鎢,因此 無需帽蓋隔板。 本發明之TiN去除方法特別有利於次微米裝置過程。本 發明之創新方法所提供之優點包括: 改進選擇性; 降低化學品消耗; 降低環境影響; • ^——r———1L----------lr(------ΐτ 一 (請先聞讀背面之注意事項再填寫本頁) 4 經濟部中央標準局貝工消費合作社印^ A7 B7 五、發明説明(3 ) 去除過程之較佳控制; 無需帽蓋隔板以在TiN蝕刻保護w; 可容易控制過程終點’導致遠為較寬之過程空窗;以及 閘蚀刻過程可與抗蝕劑去除步驟整合,因此簡化製造流 附圖之簡要說明 所揭示之發明將參照附圖予以說明,其示本發明之重要 樣本實施例’並且其經予併入本案供作參考,在附圖中: 圖1示選擇性去除TiN之工序流程; '圖2A-2E示一 WTia傅結構之蝕刻;以及 圖3A-3C示自破化物結構選择性去除τ i N。 較佳實施例之詳細說明 本案之很多創新旨意將特別參照現為較佳實施例予以說 明。然而,請予瞭解’此類實施例僅提供很多有利使用本 案創新旨意之少數實例。通常,在本案詳細說明所作之陳 述不一定限定本發明申請專利範圍各項之任何一項。而且 ,有些陳述可適用於有些創新特色但不適用於於其他特色 〇 在本發明之創新方法’與使用液體化學品以進行TixNy 去除之標準現行過程比較,電漿釋放(諸如單原子氧及氟) 所產生之物質為過程之主要作用組份。供在W/TiN閘去除
TiN ’可使用一種最佳化各向同性乾蝕刻代替濕蝕刻處理 〇 樣本實施例:蝕刻W/TiN閘 5 本紙张尺度適用中國國家標準(CNS ) A4規格(210X297公釐) m n^i n^i il^^i i m m 1 t) mi n 一 s. 1 (請先聞讀背面之注項再填寫本I) 經濟部中央標率局貝工消费合作社印^ A7 B7__ 五、發明説明(4 ) 圓1示電晶體閘製造之一種較佳方法之工序流程,及 圚2A-2E示在製造樣本W/TiN閘結構之連續階段。 如在圖2A中可看出,在敷著一鈦氮化物障壁層220前 ,在一矽基片200上面形成一閘氧化物層210(例如Si02) 〇 物理蒸敷(PVD)及金屬有機化學蒸敷(MOCVD)過程可用以 敷著TiN層。另外,敷著可在供PVD及MOCVD過程之Applied Material ENDURA 5500 及 Applied Material P5000 反應 器進行。 隨後,在TiN層敷著一層鎢230,並塗布一光敏抗蝕劑 240,其然後予以作成圖案(步驟110)。 在任選之步驟120,光敏抗蝕劑240然後予以矽烷化( 使用"DESIRE"過程),以形成一硬掩模層250 »鎢蝕刻(步 驟130)使用一種習知氟基蝕刻化學性質,並且這導致囷2B 之鲒構。請察知,鎢蝕刻在蝕刻部份有略微浸蝕之TiN層 220,但未姓穿》 在界定鎢層230後之清理過程,必須去除位於結構間之 光敏抗蝕劑240及其餘TiN220。在此清理過程,所使用 之基片溫度及氣體予以選擇為供對鎢及Si02之高選擇性 。一乾燥過程用以脫除抗蝕劑240及TiN層.220,而有小 TiN下切及可忽視之氧化物耗失。無需使用離子轟擊,其 免除需要微笑氧化作用過程。再者,在TiN去除過程可使 用一挎柵以保護結構。 然後進行蝕刻步驟(步驟140),使用一種有02及C2F6 本紙張尺度適用中國國家棣隼(CNS ) A4規格(210X297公釐)
經濟部中央標準局貝工消費合作社印製 源之氣體外界電漿釋放。如在囷2C中可看出,此步驟去 除硬掩模250 ’使鬆散抗蝕劑240露出,並脫除不在閘下 面之一部份或所有TiN220。為避免損壞下面之薄閘氧化 物層210,其在此實例有一厚度僅約為3 〇毫微米,鎢蝕 刻必須在TiN層220停止。 隨後進行灰化步驟(步驟150),以脫除其餘抗蝕劑24〇( 圖2中所示广此步驟也使用外界電漿激勵,但源氣體為 無氟之純氧》 最後,在任選之步驟160(在厚TiN層之情形),由〇2/C2F6 所進給之外界電漿之另一蝕刻步驟(步驟16〇),去除任何 其餘TiN殘留物220。這導致圖2E之結構》 在一種樣本測試運轉,在使用感應耦合電漿源(Icp源) 之Mattson ASPEN11灰化器進行乾燥清潔過程,以產生作 用物質。在作成圖案之結構進行測試,並藉TEM完成檢查 。在此測試運轉測試三閘整層。 第一閘壘層包括自頂部至底部之下列諸層:3〇毫微米
Si3N4; 80毫微米W;20毫微米TiN;以及3.0毫微米Si02; 而有Si在疊層下面。第二閘疊層有下列諸層:3〇毫微米
Si02;80毫微米W;20毫微米TiN; 3毫微米Si02;以及Si 。第三閘疊層包括:30毫微米Si3N4;80毫微米ff; 1〇毫 微米TiN; 3毫微米Si 02;以及在底部之si。以下為使用 於樣本測試運轉之特定參數:〇2流量:3〇〇〇sccm;C2F6流量 :6 s c c m;壓力:1 · 3托;功率:9 75 ;溫度:室溫;T i N蝕刻速率 :0.64毫微米/秒;W蝕刻速率:〇·27毫微米/秒;時間:25 7 本紙張尺度適用中國國家梯準(CNS ) A4^#- ( 210x297^ ) (請先閲讀背面之注意事項再填寫本頁)
經濟部中央標準局貝工消費合作社印製 A7 B7 五、發明说明(6) 秒。 隨後,較佳為在純氧進行灰化步驟,以去除其餘鬆散抗 蝕劑。達成在3毫微米Si02層完全去除20毫微米TiN層 ’而不損壞氧化物。帽蓋層在過程不具有效應β在2〇毫 微米層TiN之情形,必須使用一殘留物去除步驟;但在1〇 毫微米層TiN之情形,不需要此去除步驟,俾使下切最小 。依TiN層之初始厚度及在鶴蚀刻之蚀刻量而定,過程時 間可自20至30秒不等。 晶圓溫度對選擇性具有顯著之效應。減低溫度,較佳為 至室溫度(亦即少於50度C) ’氧化物蚀刻速率減低,並 且TiN蝕刻速率維持相同。減低溫度可達成選擇性高於2〇 ,其可藉上面所說明之乾燥過程,替代TiN之濕去除,如 在下列資料所例示: 1) 晶圓溫度:250C;TiN姓刻速率:〇, 558毫微米/秒;Si〇2 蝕刻速率:0.070毫微米/秒;選擇性:7. 97。 2) 晶圓溫度:室溫;TiN蚀刻速率:〇. 636毫微米/秒;Si〇2 蝕刻速率:0· 030毫微米/秒;選擇性:21. 20。 氮化鈦正常使用氣基蚀刻予以蚀刻。二氧化鈦為極端非 揮發性(實在為耐火),並且氟化鈦正常也被視為非揮發性 。(TiF4在攝氏284度在一大氣環境壓力昇華。)因此, 非常意想不到,氮化鈦如在現為較佳實施例,藉高〇:F比 之氧/氟蝕刻’可適當進行下切蝕刻。然而,此化學性質 業經發現提供適當蝕刻速率,及具有對矽化鈦具有高選擇 性之特定優點。 (請先閲讀背面之注項再填寫本頁) Λ 經濟部中央標率局貝工消費合作社印製 A7 B7 五、發明説明(7 ) ~~
替代性實施例:選擇性在Si02上面蝕刻TiN 本案也揭示蝕刻TiN,而對Si02具有高選擇性之過程 。自一在一層Si02上面蝕刻一層TiN之實際測試運轉, 獲得下列結果。在10毫微米Si02有50毫微米之敷著TiN 之晶圓,在Mattson ASPEN11灰化器予以處理不同次數。 在外界自基片之感應耦合電漿釋放產生激勵物質。藉一加 熱之夾頭使基片保持在溫度250度C。自一 Prometrix-Omni Map R555/tc工具所測量之薄胰薄片電阻之變化計算求得 TiN耗失。 02 流量:3000sccm; C2F6 流量:6sccm; 壓力:1. 3托; 功率:975W; 溫度:室溫;
TiN蝕刻速率:0.64毫微米/秒;
Si02蝕刻速率:0.03毫微米/秒。 明示閘氧化物完整性(G0I)及電容/電壓曲線不 被TiN蝕刻所變化(在蝕刻前後無顯著差異)^因此,此等 結果顯示薄閘氧化物在TiN蝕刻後完全未受損壞。 替代性實施例:羥基氮化物閘介電質 在此替代性實施例,閘介電質不僅為一種增長氧化物, 而且為一種習知之<6夕經基氮化物閘結搆。 發明人曾以實驗確定所揭示之氧氟TiN蝕刻對矽氮化物 也具有選擇性。因此使用任何羥基氮化物閘介電質,預期 9 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐) I HI— H - lnl«r^f * - I I I —— I 訂 /i (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 A7 B7 五、發明説明(8 ) ' 對閘介電質將會導致氮化鈦蚀刻之甚至更大選擇性。
替代性實施例:在TiSi2選擇性敍刻TiN 吾人曾進行一種相似之實驗,以評量在〇2+C2F6電漿之 TiSi2之蝕刻速率《在此情形,無定形TiSi2予以濺射敷 著在Si02。下列資料例示自一在一層TiSi2蝕刻一層TiN 之實際測試運轉所獲得之結果β 02 流量:3000sccm; C2F6 流量:30sccm; 壓力:1. 3托; 功率:975W; 溫度:室溫;
TiN蝕刻速率:1. 82毫微米/秒;
TiSi2蝕刻速率:0.4毫微米/秒〇 下列資料示薄膜耗失及選擇性在02+C2F6為過程時間之 函數: 10秒後:TiN耗失4. 3毫微米;a-TiSi2耗失2. 4毫微米; 選擇性(TiN/TiSi2):1.8。 ’ 20秒後:TiN耗失10. 5毫微米;〇:-TiSi2耗失4. 1毫微 米;選擇性2.6。 60秒後:TiN耗失32.3毫微米;a-TiSi2耗失11.6毫微 米;選擇性2.8» 120秒後:TiN耗失65. 9毫微米(估計);α -TiSi2耗失 23.8毫微米;選擇性2.8。 180秒後:TiN耗失99. 4毫微米(估計);a-TiSi2耗失 10 本紙張尺度適用中國國家梂準(CNS > A4規格(210X 297公慶)—--- H— - - I - J 1 — I I * -.1 — - 1· - I I n (靖先閲讀背面之注f項再填寫本頁〕 A7 發明説明(9) 38. 1毫微米;選擇性2. 6。 自選擇性展望’去除滅射TiSi2之結果表示最壞情形之 情況。由於為無定形,濺射之TiSi2薄膜較Ti與Si反應 所形成之結晶TiSi2薄膜蝕刻更快速。在蝕刻C54相TiSi2 之情形’可清楚看出此情形β 然而,根據在本案所揭示之方法,暴露至〇2+C2F6電漿 20秒’僅去除〇· 7毫微米之TiSi2(C54),產生TiN/TiSi(C54) 之選擇性為14. 8»此為優於濺射之TiSi2所觀察結果之 顯著改進,並且允許自二氧化矽部位去除未反應之TixNy 薄膜’而不以顯著方式影響所形成之TiSi2層》 使用電漿源所激勵之氟化氣體之稀釋混合物,對選擇性 蚀刻TixNy薄膜提供必要之物質,而不顯著去除TiSi2及 Si02。 樣本矽化物過程實施例 如圖3A-3C中所示,在自對齊矽化過程,在晶圓上敷著 一層鈦340作為一遮蓋薄膜。晶圓一般包括一矽基片300 ,其有淺溝槽隔離310(例如Si02)之位置,以及由多晶矽 所組成’有側壁隔板330之閘電極320»然後在一種Applied Material ENDURA 5500系統敷著約40毫微米之鈦》 經濟部中央標準局貝工消費合作社印裝 (請先閲讀背面之注$項再填寫本頁) 然後如圖3B中所示,使用N2環境電漿源將晶圓退火., 使鈦340在閘320,源及汲極部位與矽反應,以形成TiSi2 360。反應也發生在互相連接多晶矽線。然而,在鈦340 位於於二氧化矽310之部位,無TiSi2形成,並且鈦變換 成為TixNy350。可在ENDURA 5500系統,藉快速熱處理(RTP) 11 本紙張尺度適用中國國家標率(CNS ) A4規格(210X:297公釐) 經濟部中央摞隼局員工消費合作社印製 A7 B7 五 '發明説明(10 ) ,在約700度C ’在N中約60秒達成形成矽化物層。去 除TixNy薄膜350為矽化物過程之一關鍵部份,因為需要 最少損壞形成TiSi2 360以及最少耗失Si02 310。根據 本發明之一種實施例’如在圖3C中可看出,使用02+C2F6 外界電漿蝕刻’兩種需要均獲得滿足。隨後,晶圓可在 ENDURA 5500系統,在約850度C,接受最後退火約30秒 。對(C54)TiSi2相去除TiN,獲得選擇性高於10。 根據所揭示之一類創新實施例,提供:一種蝕刻一包含 氮化鈦之層之方法,包含下列步驟:電磁激勵一内含氧及 氟之源氣體混合物’藉以產生一汽相蚀刻劑;以及使該層 暴露至汽相蝕刻劑;其中進行步驟(b.),而不離子轟擊該 結構。 根據所揭示之另一類創新實施例,提供:一種自對齊矽 化物包括露出之矽部位部份,製造積體電路結構之方法, 包含下列步驟敷著一包含鈦外罩之金屬遮蓋層;使該結構 在一種帶氮環境退火,以在該露出之矽部位形成一主要包 含石夕化鈥之第一化合物,及在在別處形成一主要包含氮化 鈦之第二化合物;以及暴露至一由電磁性激勵内含氧及氟 ’氧對氟之原子比至少為10:1之源氣艎混合物所產生之 汽相蝕刻劑’藉以去除該第二化合物,而不去除所有該第 一化合物;其中進行該去除步驟,而無離子轟擊該結構。 根據所揭示之另一類創新實施例,提供:一種製造閘結 構之方法,包含下列步驟:形成一薄閘介電質,及形成一 在其上包含氮化鈦之擴散障壁層;形成一覆蓋該擴散障壁 12 本紙张尺度適用中國国家轉(CNS )八4胁(2⑴心了公產) (請先W讀背面之注#^項再填寫本頁) 訂 A7 _____B7 五、發明説明(11 ) '~ 層,作成圖案之金屬層;去除該擴散障壁層之部份,而關 於該薄閘介電質無選擇性離子轟擊,暴露至一由電磁性激 勵内含氧及氟,氧對氟之原子比至少為10:1之源氣艘混 合物所產生之汽相蝕刻劑,從而選擇性去除該擴散障壁層 ,而最少損壞該薄閘介電質》 修改及變化 如精於此項技藝者將會認知,在本案所說明之創新概念 可在很大應用範圍予以修改及改變’因之申請專利内容之 範圍不受任何所示特定例証性旨意所限制。 當然各種結構可用以實施閘之金屬層(或諸層)。在替代 性實施例,各種材料及材料之組合可用以實施金屬層。 當然,所示之特定蝕刻化學作用,層組成,及層厚度僅 為例證性,並且決不限定申請專利之本發明之範圍。 ^^1 m h ίβ mi 8 Γ · (請先閎讀背面之注$項再填寫本頁)
、tT 經濟部中央標率局貝工消费合作社印聚

Claims (1)

  1. 388082
    申請專利範圍 1. 一種蝕刻一包含氮化鈦之層之方法,包含下列步驟: (a.)電磁性激勵一内含氧及氨之氣氣趙混合物,藉以產 生一汽相蝕刻劑;以及〜 (請先閱讀背面之注意事項再填寫本頁) (b.丨)使該層暴露至該汽梧蝕刻.劑;其中進行該步騍(b.), 而無離子轟擊該結構。 2. 根轉中請專利範圍第μ之方法,其中該源氣體混合物 有一氧原子對襄原子之原子比,其至少為1 〇 1。 3. 板;據申請專利範圍第1項之方法,其中該源氣體混合物 係由C2跗及02之混合物組成。 冬根據申請專利範圍第1項之方法、其中該步驟(b)係在 溫度少於100度c進行。 ,1T 5. 根據申請專利範圍第i項之方法,其中該步驟(b.)使用 一格柵,以防止離子轟擊。 6. 根據申請專利範圍第}項之方法,其中包含氮化鈦之該 層覆蓋一層Si02,並且該步驟(b·)自該Si〇2之表面選擇 性去除包含氮化鈦之該層。 7. 根褲申請專利範圍第丄項之方法,其中該步驟(b )也使 矽化鈦暴露至汽相彼刻劑,並且關於石夕化鈦選擇性去除包 含氮化鈦之該層。 經濟部中央標準局負工消费合作社印*. 8· —種自—聲资镑化未完成積體電路結構之方法該結構包 括露出之矽部位’該方法包含下列步称· (a.)敷奢一包含鈦命罩之金屬遮蓋層; (b.)使該結構在一種帶氮環境退火,以在該露出之矽部 位形成主要包含矽化鈦之第一化合物’以及在別處形成主
    B8 C8 D8 388082 六、申請專利範圍 要包含氮化鈦之第二化合物;以及 (請先閱讀背面之注意事項再填寫本頁) (C.)暴露至一由電磁性激勵内含氧及氣,氧對農之原干 至少為1 〇: 1之源氣體混·合物所產生之汽相蚀刻劑,藉 以去除該第二化合物而不去除所有該第一化合物; 其中進行去除該步驟,而無離子轟擊該Λ结構。 9·根據申請專利範圍第8項之方法,-其中内含氧及氟之該 源氣意混合物有一氧對氳之原子比至少為: 1 » 10·根據申請專利範圍第8項之方法,其中在溫度少於1〇〇 度C進行去-除該屠怎化鈥之步驟。 11 · 一種製造閉,結構之方法,包含下列步驟: (a.)形成一薄閘介電質,及在其上形〜成一包含氮化鈦之 擴散障壁層; 訂 (I.)形成一作|圓案之金屬層|蓋該擴散障壁層; (c.)暴露至+—由電磁性激勵内含氧友_氣,氧對氟之原子 比.至少為1 〇: 1之源.、氣艘混合物所產生之汽相姓刻劑,藉 以κ网於該薄閘介電質屢擇性該擴教障壁層之部份,而無雖 子轟擊該結構; 味.> Γ從而選擇性去除該推散障壁層,而最少小損壞該薄閘介電 、質。 經濟部中央標準局属工消费合作社印製 li根據申請專利範圍第11項之方法,另包含在去除步驟 (c.)後,暴露至一由電磁性激勵内含氧及氟,氧對氟之原 子比為在1000:1與無限間之源氣趙混合物所產生之汽相 蝕敲劑之隨後光舞抗蝕劑去除步驟。 1曼.根據申請專利範圍第u項之方法,其中該金屬層係由 15 經濟部中央標準局貝工消費合作社印製 383082 cl JL/o 六、申請專利範圍 一單一層鎢所構成。 訂-------1- (請先閲讀背面之注意事項再填寫本頁)
    本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐)
TW086119237A 1996-12-19 1998-03-02 Selective removal of tixny TW388082B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US3350596P 1996-12-19 1996-12-19

Publications (1)

Publication Number Publication Date
TW388082B true TW388082B (en) 2000-04-21

Family

ID=21870784

Family Applications (1)

Application Number Title Priority Date Filing Date
TW086119237A TW388082B (en) 1996-12-19 1998-03-02 Selective removal of tixny

Country Status (5)

Country Link
US (1) US5948702A (zh)
EP (1) EP0849377A3 (zh)
JP (1) JPH10223617A (zh)
KR (1) KR19980064255A (zh)
TW (1) TW388082B (zh)

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020008257A1 (en) * 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6281143B1 (en) * 1999-06-17 2001-08-28 United Microelectronics Corp. Method of forming borderless contact
US6221708B1 (en) * 1999-07-23 2001-04-24 Micron Technology, Inc. Field effect transistor assemblies, integrated circuitry, and methods of forming field effect transistors and integrated circuitry
US6395639B1 (en) * 1999-09-16 2002-05-28 Agere Systems Guardian Corporation Process for improving line width variations between tightly spaced and isolated features in integrated circuits
KR100583098B1 (ko) * 1999-12-30 2006-05-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
JP2001274138A (ja) * 2000-03-13 2001-10-05 Koninkl Philips Electronics Nv 半導体装置及びエッチング方法
EP1303917B1 (en) * 2000-07-17 2011-11-30 Koninklijke Philips Electronics N.V. Unequal error protection for packets with variable length
US7125809B1 (en) 2000-08-31 2006-10-24 Micron Technology, Inc. Method and material for removing etch residue from high aspect ratio contact surfaces
US6953724B2 (en) * 2003-09-25 2005-10-11 International Business Machines Corporation Self-limited metal recess for deep trench metal fill
US20060016783A1 (en) * 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US7323403B2 (en) 2004-11-29 2008-01-29 Texas Instruments Incroporated Multi-step process for patterning a metal gate electrode
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5982223B2 (ja) * 2012-08-27 2016-08-31 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
RU2533740C1 (ru) * 2013-07-04 2014-11-20 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Национальный исследовательский университет "МИЭТ" (МИЭТ) Способ реактивного ионного травления слоя нитрида титана селективно к двуокиси кремния, поликремнию и вольфраму
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6823533B2 (ja) * 2017-04-24 2021-02-03 東京エレクトロン株式会社 チタンシリサイド領域を形成する方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021202229A1 (en) 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
CN112458435B (zh) * 2020-11-23 2022-12-09 北京北方华创微电子装备有限公司 原子层沉积设备及清洗方法
CN113540214B (zh) * 2021-06-28 2024-04-19 上海华虹宏力半导体制造有限公司 屏蔽盾的刻蚀方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4877482A (en) * 1989-03-23 1989-10-31 Motorola Inc. Nitride removal method
US5108542A (en) * 1990-08-23 1992-04-28 Hewlett Packard Company Selective etching method for tungsten and tungsten alloys
EP0574075B1 (en) * 1992-06-09 1996-02-07 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device by means of a chemical vapour deposition
JPH07201986A (ja) * 1993-12-28 1995-08-04 Nec Corp 半導体装置の製造方法
US5846880A (en) * 1995-04-28 1998-12-08 Vanguard International Semiconductor Corporation Process for removing titanium nitride layer in an integrated circuit
US5866484A (en) * 1996-07-09 1999-02-02 Nippon Steel Corporation Semiconductor device and process of producing same

Also Published As

Publication number Publication date
EP0849377A3 (en) 1998-08-05
EP0849377A2 (en) 1998-06-24
JPH10223617A (ja) 1998-08-21
KR19980064255A (ko) 1998-10-07
US5948702A (en) 1999-09-07

Similar Documents

Publication Publication Date Title
TW388082B (en) Selective removal of tixny
EP1923910B1 (en) Selective removal of rare earth comprising materials in a semiconductor device
US8129287B2 (en) Method for manufacturing semiconductor device and semiconductor device
US5880035A (en) Dry etching method
US20100112801A1 (en) Method of manufacturing semiconductor device
TWI604562B (zh) 選擇性氮化方法
JP2006524431A (ja) デュアルメタルゲート電極を有するcmosデバイスの製造方法
JP2006216854A (ja) 半導体装置の製造方法
JP2019530983A (ja) ウェハから二酸化ケイ素を除去する方法および集積回路の製造方法
US6225202B1 (en) Selective etching of unreacted nickel after salicidation
US6750149B2 (en) Method of manufacturing electronic device
JP2005302897A (ja) ハードエッチングマスクの除去方法および半導体装置の製造方法
JP3259529B2 (ja) 選択エッチング方法
JP4095760B2 (ja) 半導体装置の製造方法
JPH07106308A (ja) ドライエッチング方法
JP2000223439A (ja) 半導体装置及びその製造方法
TWI277171B (en) Methods for surface treatment and structure formed therefrom
US20180294168A1 (en) Method for anisotropic dry etching of titanium-containing films
KR100881736B1 (ko) 반도체 소자의 제조방법
JPH09266178A (ja) 半導体装置及びその製造方法
TW417179B (en) The fabrication method of polysilicon/silicide gate structure
KR100756772B1 (ko) 트랜지스터의 제조 방법
KR100845049B1 (ko) 텅스텐 게이트를 갖는 반도체 소자 제조 방법
JP2006066621A (ja) 半導体装置とその製造方法
JP2002093744A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees