JPH10223617A - TixNyの選択的除去 - Google Patents

TixNyの選択的除去

Info

Publication number
JPH10223617A
JPH10223617A JP9370076A JP37007697A JPH10223617A JP H10223617 A JPH10223617 A JP H10223617A JP 9370076 A JP9370076 A JP 9370076A JP 37007697 A JP37007697 A JP 37007697A JP H10223617 A JPH10223617 A JP H10223617A
Authority
JP
Japan
Prior art keywords
tin
layer
etching
gate
tixny
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9370076A
Other languages
English (en)
Inventor
Antonio L P Rotondaro
エル.ピー.ロトンダロ アンソニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH10223617A publication Critical patent/JPH10223617A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 キャップスペーサを必要とせず、ゲート酸化
物を損傷することなくTiNを除去する方法を提供す
る。 【解決手段】 遠隔プラズマを使用することにより、酸
素+ふっ素のソースガス混合物を励起し、他の材料への
影響を最少限にしてTixNyをエッチングする活性種
を発生することにより、TixNy膜(220)を除去
するドライエッチング方法。特に、等方性ドライエッチ
ングを使用して、ゲート酸化物の損傷無しにW/TiN
ゲート構造の中のTiN(220)を選択的に除去する
ことができる。このエッチングによって、けい化プロセ
スで窒化チタン(350)を選択的にはがすことも可能
になる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は集積回路構造および
製造方法に関するものである。
【0002】
【従来の技術】
−TixNy膜の除去− 窒化チタン(TixNy)は集積回路処理において非常
に有用な材料であり、接点、バイア、およびトレンチ、
そして相互接続スタックにおける拡散バリヤとして一般
に使用される。窒化チタン(TixNy)はまた、化学
蒸着された(CVD:Chemical vapor
deposited)タングステンに対する「接着層」
としての役目を果たし、またCVDタングステンおよび
CVDアルミニウムに対する核形成層としての役目を果
たす。しかし、ウェーハ表面から他の材料に対する影響
を最小限にして高アスペクト比の構造からのTixNy
膜の除去は困難であることが過去にわかっていた。たと
えば、W/TiNゲートでは、「スマイル酸化(Smi
ling Oxidation)を行って隅の損傷を焼
きなますのは容易でない。タングステン自体が酸化しが
ちであるからである。したがって、ゲート酸化物を損傷
しないでTiNを切り取るか、またはそれを除去する必
要がある。
【0003】現在、TiNを切り取るためにウェットプ
ロセスが使用される。これらのプロセスにはタングステ
ン(W)に対する必要な選択性が無いので、切り取りプ
ロセスを続ける前にゲート構造にスペーサをかぶせる必
要がある。これにより、デバイスの製造プロセスが複雑
になり、プロセス「窓」(すなわち、プロセスの変動に
対する許容範囲)が小さくなる。切り取りの量はスペー
サの厚さによって左右されるからである。
【0004】−ポリフリー(Poly−Free)トラ
ンジスタゲート− MOSFETゲート構造が(特にDRAMに対して)提
案された。このMOSFETゲート構造では、拡散バリ
ヤ層は直接、ゲート酸化物の上に重なり、(ポリシリコ
ンでなく)金属の層は拡散バリヤの上に重なる。たとえ
ば、この構造の例はW/TiNゲート構造である。これ
については、1995年VLSIテクノロジーシンポジ
ウムダイジェスト、リー他、「スパッタ付着されたW/
TiNスタックゲートのあるCMOSFETの特性」
(Lee et al.,”Characterist
ics of CMOSFET’s with spu
tter−deposited W/TiN stac
k gate,”1995Symposium on
VLSI Technology Digest,p.
119−20,1995)または1996年VLSIテ
クノロジーシンポジウムダイジェスト、リー他、「W/
TiNスタックゲートのあるMOSトランジスタのゲー
ト酸化物の完全性」(Lee et al.,”Gat
e Oxide Integrity (GOI) o
f MOS transistorswith W/T
iN stacked gate,”1996 Sym
posium on VLSI Technology
Digest)に説明されている。この両者ともここ
に引用されている。
【0005】
【発明が解決しようとする課題】しかし、このような進
んだ構造では、処理に特別な難点が生じる。難点の一つ
は、正常なポリシリコンゲート構造では、ゲートの隅の
プロフィールに通常「スマイル」の要素があるという事
実からなっている。これは、ポリシリコンを従来通り短
時間、酸化して、その側壁を封止するときにポリシリコ
ンゲートの隅の下のゲート酸化物に、ある付加的な酸化
も生じるからである。これにより、隅の真下に少し厚い
ゲート酸化物が生じる。これにより、ゲート構造の完全
性が向上する。(そうでなければ、ゲートの隅での電界
の増強と、ここでホットキャリヤの損傷が起こる傾向に
より、ゲートの隅の下の酸化物が初期故障領域となり得
る。)
【0006】
【課題を解決するための手段】
−TiNエッチングプロセス− 本発明者は、遠隔プラズマを使用することにより、ふっ
素化酸素ソースガス混合物を励起し、他の材料への影響
を最少限にしてTixNyをエッチングする活性種を発
生する方法を発見した。特に、等方性ドライエッチング
を使用して、W/TiNゲート構造の中のTiNを選択
的に除去することによりTiN膜を切り取る。ゲート酸
化物およびタングステンの除去を最少限にするように最
適化したので、キャップスペーサは不要になる。
【0007】本発明のTiN除去法は、ミクロンより小
さいデバイスプロセスに特に有益である。本発明の革新
的な方法により得られる利点には、次のものが含まれ
る。選択度の改善、化学消費量の低減、環境への影響の
低減、除去プロセスの制御の改善、TiNエッチングの
間のW保護のためのキャップスペーサが不要になるこ
と、プロセスの終点の制御が容易になり、プロセス窓が
より広くなること、ゲートエッチングプロセスをレジス
ト除去ステップと統合できるので、製造フローが簡略化
されること。
【0008】
【発明の実施の形態】開示される発明を付図を参照して
説明する。付図は本発明の重要な実施例を示すものであ
る。
【0009】現在好ましい実施例を特に参照して、本発
明の多数の革新的な教示を説明する。しかし、この種の
実施例は本明細書の革新的な教示の多数の有利な使用の
ごくわずかの例を示しているに過ぎないことが理解され
るべきである。一般に、本出願の明細書の記述は必ずし
も、特許請求の範囲に記載されている種々の発明のいず
れの限界をも定めるものではない。更に、記述によって
は、いくつかの発明の特徴には当てはまるが、他の特徴
には当てはまらない。
【0010】現行の標準のプロセスではTixNy除去
を遂行するために液体化学薬品を使用するのに対して、
本発明の革新的な方法では、(単原子の酸素およびふっ
素のような)プラズマ放電が発生する種がプロセスの主
要な活性構成要素である。W/TiNゲートの中のTi
Nの除去のため、ウェットエッチング処理の代わりに、
最適化された等方性ドライエッチングを使用することが
できる。
【0011】−サンプル実施例:W/TiNゲートのエ
ッチング− 図1はトランジスタゲートの好ましい製造方法のプロセ
スのフローを示す。図2A−2EはサンプルW/TiN
ゲート構造の製造の相次ぐ段階を示す。
【0012】図2Aに示すように、窒化チタンバリヤ層
220の堆積に先立って、シリコン基板200上にゲー
ト酸化物層210(たとえば、SiO2)が形成され
る。
【0013】TiN層を堆積するために、物理的蒸着
(PVD:physical vapor depos
ition)プロセスおよび有機金属化学蒸着(MOC
VD:metal organic chemical
vapor deposition)プロセスを使用
することができる。更に堆積は、それぞれPVDプロセ
スおよびMOCVDプロセスに対する応用材料エンドラ
5500(Applied Materials EN
DURA5500)および応用材料P5000(App
lied Materials P5000)リアクタ
で行うことができる。
【0014】次に、TiN層の上にタングステン層23
0が堆積され、タングステン層230はホトレジスト2
40でコーティングされる。次に、ホトレジスト240
はパターン形成される(ステップ110)。
【0015】選択自由のステップ120では、(デザイ
ヤ[DESIRE]プロセスを使用して)ホトレジスト
240がけい化されて、ハードマスク層250が形成さ
れる。タングステンエッチング(ステップ130)は通
常のふっ素に基づくエッチング化学作用を使用してお
り、その結果、図2Bの構造が得られる。注意すべきこ
とは、タングステンエッチングの過エッチング部分がT
iN層220を少し冒しているが、食い込むことはなか
ったということである。
【0016】タングステン層230の限定後の洗浄プロ
セスは、ホトレジスト240と、構造相互の間にある残
りのTiN220とを除去しはければならない。こと洗
浄プロセスでは、使用される基板の温度およびガスは、
タングステン層およびSiO2に対する選択度が高くな
るように選択される。ドライプロセスを使用してレジス
ト240およびTiN層220がはがされ、TiNの切
り取りは小さく、酸化物の喪失は無視できる。イオン射
突を使用する必要はないので、スマイル酸化プロセスは
不要になる。更に、構造を保護するために、TiN除去
プロセスの間、グリッドを使用することができる。
【0017】次に、O2およびC2F6ソースガスによ
る遠隔プラズマ放電を使用して、エッチングステップ
(ステップ140)が行われる。図2でわかるようにこ
のステップでは、ハードマスク250が除去され、バル
クレジスト240が露出され、ゲートの下に無いTiN
220の一部またはすべてがはがされる。この例では約
3.0nmの厚さしかない、下に横たわっている薄いゲ
ート酸化物層210を損傷することを避けるために、タ
ングステンエッチングはTiN層220で停止しなけれ
ばならない。
【0018】次にアッシングステップ(ステップ15
0)が行われて、図2Dに示すように残りのレジスト2
40がはがされる。このステップでも遠隔プラズマ励起
が使用されるが、ソースガスはふっ素の無い純粋な酸素
である。
【0019】最後に、選択自由なステップ160で(厚
いTiN層の場合)、O2/C2F6から供給される遠
隔プラズマによるもう一つのエッチングステップ(ステ
ップ160)により、残っているTiN残留物220が
除去される。その結果、図2Eの構造が得られる。
【0020】サンプルテストランでは、活性種を発生す
るために誘導結合されたプラズマ(ICP:induc
tively−coupled plasma)ソース
を使用するマットソンアスペン(Mattson AS
PEN)IIアッシャ内で、ドライ洗浄プロセスが行わ
れた。テストはパターン形成された構造上で行われ、点
検はTEMにより行われた。このテストランの間に、三
つのゲートスタックが試験された。
【0021】第一のゲートスタックには、上から下に、
30nmのSi3N4の層、80nmのWの層、3.0
nmのSiO2の層が含まれ、スタックの下にSiが設
けられた。第二のゲートスタックには、30nmのSi
O2の層、80nmのWの層、20nmのTiNの層、
3nmのSiO2の層が含まれ、スタックの下にSiが
設けられた。第三のゲートスタックには、30nmのS
i3N4の層、80nmのWの層、10nmのTiNの
層、3nmのSiO2の層が含まれ、下にSiが設けら
れた。サンプルテストランで使用された特定のパラメー
タは次の通りであった。O2フロー:3000scc
m、C2F6フロー:6sccm、圧力:1.3Tor
r、パワー:975W、温度:室温、TiNエッチング
速度:0.64nm/sec、Wエッチング速度:0.
27nm/sec、時間25sec。
【0022】次に、純粋な酸素の中でのアッシングステ
ップを行って、残っているバルクレジストを除去するこ
とが好ましい。酸化物を損傷することなく、3nmのS
iO2層上の20nmのTiN層の完全な除去が行われ
た。キャップ層はプロセスには影響を及ぼさなかった。
20nmのTiN層の場合には、残留物除去ステップを
使用しなければならなかった。しかし、10nmのTi
N層の場合には、切り取りを最小限にするために、この
除去ステップは必要でなかった。プロセス時間は、Ti
N層の初期厚さ、およびタングステンエッチングの間の
過エッチングの量に応じて、20秒から30秒まで変わ
り得る。
【0023】ウェーハの温度は選択度に大きな影響を及
ぼす。温度を下げる、好ましくは室温(すなわち、50
°Cより下)に下げることにより、酸化物のエッチング
速度は下がり、TiNエッチング速度は同じままとな
る。温度を下げることにより、選択度を20より高くす
ることができる。これにより、次のデータに示すよう
に、TiNのウェット除去を上記のドライプロセスに置
き換える可能性が開かれる。 1)ウェーハ温度:250°C、TiNエッチング速
度:0.558nm/sec、SiO2エッチング速
度:0.070nm/sec、選択度:7.97。 2)ウェーハ温度:室温、TiNエッチング速度:0.
636nm/sec、SiO2エッチング速度:0.0
30nm/sec、選択度:21.20。
【0024】窒化チタンは通常一塩素に基づくエッチン
グ液を使用してエッチングされる。二酸化チタンは極め
て非揮発性(本当は耐火性)であり、ふっ化チタンも正
常状態では非揮発性と考えられる。(TiF4は1気圧
の大気圧で284°Cで昇華する。)したがって、本実
施例のように酸素/ふっ素のエッチングのO/F比が高
い状態で、窒化チタン切り取りのエッチングが適切に行
われるということは非常に驚くべきことである。しか
し、この化学作用は適切なエッチング速度を与えること
が見出され、またけい化チタンに対して高い選択度を持
つという特別の利点をそなえている。
【0025】−代替実施例:SiO2上のTiNの選択
的エッチング− 本出願は、SiO2に対して高い選択度でTiNをエッ
チングするためのプロセスをも開示する。SiO2層上
のTiN層の実際のテストランエッチングから次の結果
が得られた。10nmのSiO2上に50nmのTiN
が堆積されたウェーハをマットソンアスペン(Matt
son ASPEN)IIアッシャ内で種々の時間の
間、処理した。基板から離れた誘導結合されたプラズマ
放電中で、励起された種を発生した。基板は加熱された
チャックにより250°Cの温度に維持された。プロメ
トリックスオムニマップ(Prometrix−Omn
iMap)R555/tcツールで測定された膜のシー
ト抵抗の変化からTiNの喪失が計算された。 O2フロー:3000sccm、 C2F6フロー:6sccm、 圧力:1.3Torr、 パワー:975W、 温度:室温、 TiNエッチング速度:0.64nm/sec、 SiO2エッチング速度:0.03nm/sec。
【0026】電気特性表示の示すところによれば、ゲー
ト酸化物の完全性(GOI:Gate Oxide I
ntegrity)および静電容量/電圧曲線はTiN
エッチングによって変化しなかった(エッチングの前後
で目立った差は生じなかった)。このように、これらの
結果は、TiNエッチング後に薄いゲート酸化物は完全
に損傷していないということを示している。
【0027】−代替実施例:酸化窒化物ゲート誘電体− この代替実施例では、ゲート誘電体は単なる成長した酸
化物ではなくて、通常の酸化窒化シリコンゲート構造で
ある。開示された酸化ふっ化TiNエッチングも窒化シ
リコンに対しても選択的であるということを、本発明は
実験的に確かめた。したがって、どのような酸化窒化物
ゲート誘電体を使用してもゲート誘電体に対する窒化チ
タンエッチングの選択度は更に大きくなると予想され
る。
【0028】−代替実施例:TiSi2上のTiNの選
択的エッチング− O2+C2F6プラズマ中でのTiSi2のエッチング
速度を評価するために、同様の実験を行った。この場
合、SiO2上に非晶質TiSi2がスパッタ堆積され
た。次のデータはTiSi2層上のTiN層の実際のテ
ストランエッチングから得られた結果を示す。 O2フロー:3000sccm、 C2F6フロー:30sccm、 圧力:1.3Torr、 パワー:975W、 温度:室温、 TiNエッチング速度:1.82nm/sec、 TiSi2エッチング速度:0.4nm/sec。
【0029】次のデータは、膜の喪失および選択度を0
2+C2F6内での処理時間の関数として示す。 10秒後:TiN喪失4.3nm、α−TiSi2喪失
2.4nm、選択度(TiN/TiSi2)1.8 20秒後:TiN喪失10.5nm、α−TiSi2喪
失4.1nm、選択度2.6 60秒後:TiN喪失32.3nm、α−TiSi2喪
失11.6nm、選択度2.8 120秒後:TiN喪失65.9nm(推定)、α−T
iSi2喪失23.8nm、選択度2.8 180秒後:TiN喪失99.4nm(推定)、α−T
iSi2喪失38.1nm、選択度2.6。
【0030】スパッタリングされたTiSi2の除去に
対する結果は、選択度の予想からの最悪の場合のシナリ
オを表す。非晶質であるスパッタリングされたTiSi
2膜は、TiとSiとの反応によって形成された結晶質
のTiSi2膜より早くエッチングされる。これはC5
4フェーズでのTiSi2のエッチングの場合について
はっきりとわかる。
【0031】しかし、本明細書に開示された方法に従っ
て、O2+C2F6プラズマに20秒間さらすと、Ti
Si2(C54)の0.7nmしか除去されないので、
TiN/TiSi2(C54)の選択度は14.8とな
る。これは、スパッタリングされたTiSi2について
観測された結果に対して著しい改善であり、形成された
TiSi2層に目立って影響を及ぼすことなく二酸化シ
リコン領域から反応していないTixNy膜を除去する
ことができる。
【0032】プラズマソースによって励起されたふっ素
化ガスの希釈された混合物を使用することにより、Ti
Si2およびSiO2をあまり除去することなくTix
Ny膜を選択的にエッチングするための種が得られる。
【0033】−サンプルけい化プロセス実施例− けい化プロセスでは、図3A−3Cに示すように、ウェ
ーハ上にブランケット膜としてチタン層340が堆積さ
れる。ウェーハには代表的に、浅いトレンチ分離310
(たとえばSiO2)の位置をそなえたシリコン基板3
00、および側壁スペーサ330をそなえたポリシリコ
ンで構成されるゲート電極320が含まれている。次
に、応用材料エンドラ5500(Applied Ma
terials ENDURA5500)システム内
で、約40nmのチタンが堆積される。
【0034】次に、N2周囲プラズマソースを使用して
ウェーハをアニーリングする。これにより、チタン34
0がゲート320、ソースおよびドレーン領域の中のシ
リコンと反応して、図3Bに示されるようにTiSi2
の360が形成される。反応は相互接続ポリシリコン線
上でも生じる。しかし、二酸化シリコン310の上にチ
タン340がある領域では、TiSi2が形成されず、
チタンがTixNy350に変化する。N2で約700
°Cで約60秒間、ENDURA5500システム内で
高速熱処理(RTP:rapid thermal p
rocessing)によりけい化層の形成を行うこと
ができる。TixNy膜350の除去はSILICID
Eプロセスの非常に重要な部分である。というのは、S
iO2310の喪失が最小であることだけでなく、形成
されたTiSi2の360に対する損傷が最小であるこ
とも要求されるからである。
【0035】本発明の一実施例に従って、O2+C2F
6遠隔プラズマエッチングを使用することにより、図3
Cに示すように両方の要求条件が満たされる。次に、ウ
ェーハはENDURA5500システム内で約850°
Cで約30秒間、最終アニーリングを受ける。(C5
4)TiSi2フェーズに対するTiNの除去について
10より高い選択度が得られる。
【0036】革新的な実施例の一つの開示された種類に
よれば、窒化チタンを含む層をエッチングする方法であ
って、酸素とふっ素を含むソースガス混合物の電磁的励
起により気相エッチング剤を発生し、構造のイオン射突
無しに前記層を前記気相エッチング剤にさらすステップ
からなるエッチング方法が提供される。
【0037】革新的な実施例のもう一つの開示された種
類によれば、露出したシリコン領域を含む部分的に製造
された集積回路構造をけい化する方法であって、チタン
を含む金属のブランケット層を全体に堆積し、前記構造
を窒素の入っている雰囲気中でアニールすることにより
前記露出したシリコン領域上に支配的にけい化チタンを
含む第一の化合物を形成し、他の領域には支配的に窒化
チタンを含む第二の化合物を形成し、酸素とふっ素を含
みふっ素原子に対する酸素原子の原子比が少なくとも1
0:1であるソースガス混合物の電磁的励起により発生
した気相エッチング剤にさらすことにより前記第一の化
合物のすべてを除去することなく前記第二の化合物を除
去するステップからなり、前記除去ステップは前記構造
のイオン射突無しに行われるけい化方法が提供される。
【0038】革新的な実施例のもう一つの開示された種
類によれば、ゲート構造の製造方法であって、薄いゲー
ト誘電体を形成し、その上に窒化チタンを含む拡散バリ
ヤ層を形成し、前記拡散バリヤ層の上に重なるパターン
化された金属層を形成し、酸素とふっ素を含み、ふっ素
原子に対する酸素原子の原子比が少なくとも10:1で
あるソースガス混合物の電磁的励起により発生した気相
エッチング剤にさらすことにより前記薄いゲート誘電体
に対して選択的にイオン射突無しに前記拡散バリヤ層の
一部を除去するステップからなり、これにより前記薄い
ゲート誘電体に対する損傷を最小限にして前記拡散バリ
ヤ層が除去されるゲート構造製造方法が提供される。
【0039】−変形および変更一 熟練した当業者には了解されるように、本出願に説明さ
れた革新的な概念は本出願の途方もなく大きい範囲にわ
たって変形および変更することができる。したがって、
発明の主題の範囲は、示した特定の教示のいずれによっ
ても制限されるものではない。
【0040】もちろん、ゲートの金属層(一つまたは複
数)を具体化するために種々の構造を使用することがで
きる。代替実施例では、金属層(一つまたは複数)を具
体化するために、さまざまの材料および材料の組み合わ
せを使用することができる。
【0041】もちろん、与えられた特定のエッチングの
化学作用、層の組成、および層の厚さは単に説明のため
のものであり、いかなる点でも特許請求の範囲に記載さ
れた発明の範囲を限定するものではない。
【0042】以上の説明に関して更に以下の項を開示す
る。 (1)窒化チタンを含む層をエッチングする方法であっ
て、(a)酸素とふっ素を含むソースガス混合物の電磁
的励起により気相エッチング剤を発生し、(b)構造の
イオン射突無しに、前記層を前記気相エッチング剤にさ
らす、ステップからなる、エッチング方法。
【0043】(2)第1項記載のエッチング方法であっ
て、前記ソースガス混合物のふっ素原子に対する酸素原
子の原子比が少なくとも10:1である、エッチング方
法。 (3)第1項記載のエッチング方法であって、前記ソー
スガス混合物がC2F6とO2との混合物で構成され
る、エッチング方法。 (4)第1項記載のエッチング方法であって、前記ステ
ップ(b)が100°C未満の温度で行われる、エッチ
ング方法。 (5)第1項記載のエッチング方法であって、前記ステ
ップ(b)でイオン射突を防止するためにグリッドが使
用される、エッチング方法。
【0044】(6)第1項記載のエッチング方法であっ
て、前記窒化チタンを含む層がSiO2の層の上に重な
り、前記ステップ(b)で前記SiO2の表面から前記
窒化チタンを含む層が選択的に除去される、エッチング
方法。 (7)第1項記載のエッチング方法であって、前記ステ
ップ(b)でけい化チタンも前記気相エッチング剤にさ
らされ、前記窒化チタンを含む層がけい化チタンに対し
て選択的に除去される、エッチング方法。
【0045】(8)露出したシリコン領域を含む部分的
に製造された集積回路構造をけい化する方法であって、
(a)チタンを含む金属のブランケット層を全体に堆積
し、(b)前記構造を窒素の入っている雰囲気中でアニ
ールすることにより前記露出したシリコン領域上に、支
配的にけい化チタンを含む第一の化合物を形成し、他の
領域には、支配的に窒化チタンを含む第二の化合物を形
成し、(c)酸素とふっ素を含み、ふっ素原子に対する
酸素原子の原子比が少なくとも10:1であるソースガ
ス混合物の電磁的励起により発生した気相エッチング剤
にさらすことにより、前記第一の化合物のすべてを除去
することなく前記第二の化合物を除去する、ステップか
らなり、前記除去ステップは前記構造のイオン射突無し
に行われる、けい化方法。
【0046】(9)第8項記載のけい化方法であって、
前記ソースガス混合物は酸素とふっ素を含み、ふっ素原
子に対する酸素原子の原子比が少なくとも30:1であ
る、けい化方法。 (10)第8項記載のけい化方法であって、前記窒化チ
タンの層を除去する前記ステップが100°C未満で行
われるけい化方法。
【0047】(11)ゲート構造の製造方法であって、
(a)薄いゲート誘電体を形成し、その上に窒化チタン
を含む拡散バリヤ層を形成し、(b)前記拡散バリヤ層
の上に重なるパターン化された金属層を形成し、(c)
酸素とふっ素を含み、ふっ素原子に対する酸素原子の原
子比が少なくとも10:1であるソースガス混合物の電
磁的励起により発生した気相エッチング剤にさらすこと
により、前記薄いゲート誘電体に対して選択的に、イオ
ン射突無しに前記拡散バリヤ層の一部を除去する、ステ
ップからなり、これにより前記薄いゲート誘電体に対す
る損傷を最小限にして前記拡散バリヤ層が除去されるゲ
ート構造製造方法。
【0048】(12)第11項記載のゲート構造製造方
法であって、前記除去ステップ(c)の後に、更に、ふ
っ素原子に対する酸素原子の原子比が1000:1と無
限大との間にあるソースガス混合物の電磁的励起により
発生した気相エッチング剤にさらすことによりホトレジ
ストを除去する次のステップも含まれるゲート構造製造
方法。 (13)第11項記載のゲート構造製造方法であって、
前記金属層が単一のタングステンの層で構成されるゲー
ト構造製造方法。
【0049】(14)遠隔プラズマを使用することによ
り、酸素+ふっ素のソースガス混合物を励起し、他の材
料への影響を最少限にしてTixNyをエッチングする
活性種を発生することによる、TixNy膜を除去する
ドライエッチング方法。特に、等方性ドライエッチング
を使用して、ゲート酸化物の損傷無しにW/TiNゲー
ト構造の中のTiNを選択的に除去することができる。
このエッチングによって、けい化プロセスで窒化チタン
を選択的にはがすことも可能になる。
【図面の簡単な説明】
【図1】TiNを選択的に除去するためのプロセスのフ
ロー図。
【図2】W/TiNゲート構造のエッチングを示す図。
【図3】けい化構造からのTiNの選択的除去を示す
図。
【符号の説明】
210 ゲート酸化物層 220 窒化チタンバリヤ層 230 タングステン層 340 チタン層 350 TixNy膜 360 TiSi2

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 窒化チタンを含む層をエッチングする方
    法であって、該方法は、 (a)酸素とふっ素を含むソースガス混合物の電磁的励
    起により気相エッチング剤を発生し、 (b)構造のイオン射突無しに、前記層を前記気相エッ
    チング剤にさらす、ステップからなる、エッチング方
    法。
JP9370076A 1996-12-19 1997-12-19 TixNyの選択的除去 Pending JPH10223617A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3350596P 1996-12-19 1996-12-19
US033505 1996-12-19

Publications (1)

Publication Number Publication Date
JPH10223617A true JPH10223617A (ja) 1998-08-21

Family

ID=21870784

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9370076A Pending JPH10223617A (ja) 1996-12-19 1997-12-19 TixNyの選択的除去

Country Status (5)

Country Link
US (1) US5948702A (ja)
EP (1) EP0849377A3 (ja)
JP (1) JPH10223617A (ja)
KR (1) KR19980064255A (ja)
TW (1) TW388082B (ja)

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020008257A1 (en) * 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6281143B1 (en) * 1999-06-17 2001-08-28 United Microelectronics Corp. Method of forming borderless contact
US6221708B1 (en) * 1999-07-23 2001-04-24 Micron Technology, Inc. Field effect transistor assemblies, integrated circuitry, and methods of forming field effect transistors and integrated circuitry
US6395639B1 (en) * 1999-09-16 2002-05-28 Agere Systems Guardian Corporation Process for improving line width variations between tightly spaced and isolated features in integrated circuits
KR100583098B1 (ko) * 1999-12-30 2006-05-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
JP2001274138A (ja) * 2000-03-13 2001-10-05 Koninkl Philips Electronics Nv 半導体装置及びエッチング方法
EP1303917B1 (en) * 2000-07-17 2011-11-30 Koninklijke Philips Electronics N.V. Unequal error protection for packets with variable length
US7125809B1 (en) 2000-08-31 2006-10-24 Micron Technology, Inc. Method and material for removing etch residue from high aspect ratio contact surfaces
US6953724B2 (en) * 2003-09-25 2005-10-11 International Business Machines Corporation Self-limited metal recess for deep trench metal fill
US20060016783A1 (en) * 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US7323403B2 (en) 2004-11-29 2008-01-29 Texas Instruments Incroporated Multi-step process for patterning a metal gate electrode
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5982223B2 (ja) * 2012-08-27 2016-08-31 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
RU2533740C1 (ru) * 2013-07-04 2014-11-20 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Национальный исследовательский университет "МИЭТ" (МИЭТ) Способ реактивного ионного травления слоя нитрида титана селективно к двуокиси кремния, поликремнию и вольфраму
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6823533B2 (ja) * 2017-04-24 2021-02-03 東京エレクトロン株式会社 チタンシリサイド領域を形成する方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021202229A1 (en) 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
CN112458435B (zh) * 2020-11-23 2022-12-09 北京北方华创微电子装备有限公司 原子层沉积设备及清洗方法
CN113540214B (zh) * 2021-06-28 2024-04-19 上海华虹宏力半导体制造有限公司 屏蔽盾的刻蚀方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4877482A (en) * 1989-03-23 1989-10-31 Motorola Inc. Nitride removal method
US5108542A (en) * 1990-08-23 1992-04-28 Hewlett Packard Company Selective etching method for tungsten and tungsten alloys
EP0574075B1 (en) * 1992-06-09 1996-02-07 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device by means of a chemical vapour deposition
JPH07201986A (ja) * 1993-12-28 1995-08-04 Nec Corp 半導体装置の製造方法
US5846880A (en) * 1995-04-28 1998-12-08 Vanguard International Semiconductor Corporation Process for removing titanium nitride layer in an integrated circuit
US5866484A (en) * 1996-07-09 1999-02-02 Nippon Steel Corporation Semiconductor device and process of producing same

Also Published As

Publication number Publication date
EP0849377A3 (en) 1998-08-05
TW388082B (en) 2000-04-21
EP0849377A2 (en) 1998-06-24
KR19980064255A (ko) 1998-10-07
US5948702A (en) 1999-09-07

Similar Documents

Publication Publication Date Title
JPH10223617A (ja) TixNyの選択的除去
US6667246B2 (en) Wet-etching method and method for manufacturing semiconductor device
US7256137B2 (en) Method of forming contact plug on silicide structure
US6103610A (en) Integrated circuit structure with dual thickness cobalt silicide layers and method for its manufacture
US7785958B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
TW569322B (en) Semiconductor device having a low-resistance gate electrode
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
US7029999B2 (en) Method for fabricating transistor with polymetal gate electrode
JPH1197383A (ja) 半導体デバイスの電極保護膜の形成方法
US7432147B2 (en) Method of manufacturing semiconductor device
US7538029B2 (en) Method of room temperature growth of SiOx on silicide as an etch stop layer for metal contact open of semiconductor devices
JP3646718B2 (ja) 半導体装置の製造方法
US20110215386A1 (en) Semiconductor device and method of manufacturing the semiconductor device
JP2005085949A (ja) 半導体装置およびその製造方法
US20080299767A1 (en) Method for Forming a Semiconductor Device Having a Salicide Layer
JP2005093674A (ja) 半導体装置の製造方法
KR101062835B1 (ko) 이중 하드마스크를 이용한 반도체 소자의 게이트전극 제조방법
US7001842B2 (en) Methods of fabricating semiconductor devices having salicide
KR100881736B1 (ko) 반도체 소자의 제조방법
JPH06232155A (ja) 半導体装置の製造方法
JPH1027902A (ja) ゲート電極の形成方法
KR20010065190A (ko) 반도체 소자의 트랜지스터 제조방법
JPH0997835A (ja) 接続孔の製造方法
JP2003297829A (ja) 半導体装置の製造方法及び半導体装置
KR20040059988A (ko) 반도체 소자의 제조방법