JP2006066621A - 半導体装置とその製造方法 - Google Patents

半導体装置とその製造方法 Download PDF

Info

Publication number
JP2006066621A
JP2006066621A JP2004247143A JP2004247143A JP2006066621A JP 2006066621 A JP2006066621 A JP 2006066621A JP 2004247143 A JP2004247143 A JP 2004247143A JP 2004247143 A JP2004247143 A JP 2004247143A JP 2006066621 A JP2006066621 A JP 2006066621A
Authority
JP
Japan
Prior art keywords
semiconductor device
gate electrode
gas
manufacturing
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004247143A
Other languages
English (en)
Other versions
JP4343798B2 (ja
Inventor
Teru Ogura
輝 小倉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2004247143A priority Critical patent/JP4343798B2/ja
Priority to US10/991,498 priority patent/US7416988B2/en
Publication of JP2006066621A publication Critical patent/JP2006066621A/ja
Priority to US12/213,759 priority patent/US20080274607A1/en
Application granted granted Critical
Publication of JP4343798B2 publication Critical patent/JP4343798B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 プラズマ処理によりシリコン表面に形成される、SiCを含む変質層を、シリコン表面の侵食を最小限に抑止しながら除去する。
【解決手段】 前記変質層を、酸素ラジカルに、前記半導体表面のSi原子に結合してSi原子と酸素原子との間の二重結合の形成を阻害するような元素の活性種を添加して改質し、形成された改質層をウェットエッチングにより除去する。
【選択図】 図9

Description

本発明は一般に半導体装置の製造に係り、特に非常に浅い接合を有する半導体装置の製造方法に関する。
今日の非常に微細化された、典型的にはゲート長が90nm以下、例えばゲート長が50nmあるいは40nmの超高速半導体装置では、微細化に伴い、トランジスタのソース・ドレイン領域を構成する拡散領域も、その接合の深さが非常に浅く、20nm程度になっている。
このように非常に浅い接合を有する半導体装置を製造する際には、拡散領域を露出するドライエッチング工程に格別の配慮が必要となる。
MOSトランジスタの製造において拡散領域を露出するドライエッチングとしては、絶縁膜中にコンタクトホールを形成する場合と、ゲート電極の側壁面に側壁絶縁膜を形成する場合とが考えられる。これらの工程では、絶縁膜をフロロカーボン(CF)系やハイドロフロロカーボン(CHF)系のエッチングガスを使ってドライエッチングすることが一般的に行われている。
絶縁膜をCF系やCHF系のエッチングガスでドライエッチングする場合には、プラズマ中においてエッチングガスを解離させ、エッチングガスに含まれるフッ素(F)などの活性なラジカルやイオンを形成し、これらを被処理基板に印加した基板バイアスにより加速して被処理基板に作用させる。またかかるエッチングの際には、膜厚のばらつきやエッチング速度の変動を勘案して、拡散領域を構成するシリコン表面が完全に露出されるように、エッチングを、シリコン基板表面が露出された後も規定時間継続し、いわゆるオーバーエッチングを行うのが一般的である。
一方、このようなオーバーエッチングを行うと、露出されたシリコン表面にエッチングガスが作用し、シリコン表面が多少ともエッチングされるのが避けられない。特にシリコン面はFによってエッチングされやすい。
そこで最近では、このようなオーバーエッチング時におけるシリコン面のエッチングを抑制し、選択比を向上させるため、CF系やCHF系のエッチングガスの中でも、特にCの割合の高い、例えばC48やC46などのガスが使われるようになっている。このようなCの比率の高いエッチングガスを使うことにより、エッチングにより露出されたシリコン面にCが堆積し、オーバーエッチングの際のシリコン面の望ましくないエッチングが抑制される。
特開平8−78352号公報 特開平9−129602号公報 K. Hashimi et al., Jpn. J. Appl. Phys. vol.35, (1996), pp.2494
ところが、このようにCの含有量の大きいCF系あるいはCHF系エッチングガスを使った場合、シリコン面上に堆積するCは、基板バイアス電界の効果により、シリコン面上に単に堆積するだけでなく、これに運動エネルギをもって打ち込まれ、その結果、このような露出されたシリコン基板表面には、打ち込まれたCがシリコン面のSiと結合したSiCを含むダメージ層(変質層)が形成される。このようなSiC層は比抵抗が大きく、前記露出したシリコン面にコンタクトするように形成される金属プラグのコンタクト抵抗を増加させてしまう。このようなコンタクト抵抗の増加は、半導体装置の動作速度を低下させる。
図1(A)〜図2(D)は、このようなコンタクトホールにおいて生じる変質層形成の例を示す。
図1(A)を参照するに、シリコン基板11中にはp型あるいはn型の拡散領域11aが形成されており、前記シリコン基板11の表面にはSiO2などの絶縁膜12が形成されている。
図1(A)の工程では、前記絶縁膜12上に開口部13Aを有するレジストパターン13が形成され、前記レジストパターン13をマスクに前記絶縁膜12をCF系あるいはCHF系のエッチングガスを使ったドライエッチング工程により除去し、前記絶縁膜12中に前記レジスト開口部13Aに対応したコンタクトホール12Aを形成する。
図1(B)は前記ドライエッチングによりシリコン基板11の表面が露出した直後の状態を示しており、この場合には前記コンタクトホール12Aの底部に、エッチングの不均一に起因する絶縁膜の残渣12xが残留している。
そこで図2(C)の工程で前記ドライエッチングを継続し、オーバーエッチングを行う。これにより、前記絶縁膜残渣12xは完全に除去されるが、この工程においてエッチングガス中のCがシリコン基板11中に打ち込まれ、前記コンタクトホール12Aの底部においてシリコン基板11の表面にCを含んだ変質層11bが形成される。このようなCを含んだ変質層11bにおいては、打ち込まれたCはシリコン基板11のSiと結合し、SiCが形成されているものと考えられる。このような変質層11bの形成は、特に図1(B)のコンタクトホール形成工程において、シリコン基板に対するエッチング選択性を確保するため、Cの含有量の多い、C48やC46などのエッチングガスを使った場合に顕著になる。
さらに図2(D)の工程において前記コンタクトホール12AをWなどの金属により充填し、さらに余分な金属膜を化学機械研磨などにより除去することにより、前記SiC層11bを介して前記拡散領域11aとコンタクトするコンタクトプラグ14が形成される。図2(D)においては、前記コンタクトプラグ14とシリコン基板11および絶縁膜12との間にTa/TaNなどの密着層/拡散バリア層14Aが形成されている。
図3は、このようなSiCを含む変質層11bの形成に伴う拡散領域11aのシート抵抗の変化を示す。ただし図3中、縦軸は前記変質層11bが形成された拡散領域11aのシート抵抗を、横軸は変質層11bの厚さを示す。
図3を参照するに、シート抵抗、したがってコンタクト抵抗は、このような変質層11bが存在しない場合には0.6Ω/sq程度であったものが、変質層11bが4nmの厚さに形成された場合には3.6Ω/sq程度まで増大することがわかる。
同様なSiC層の形成は、ゲート電極の両側壁面に側壁絶縁膜を形成する場合にも生じる。
図4(A)〜(D)は、このような側壁絶縁膜の形成工程を含む半導体装置の製造工程を示す。
図4(A)を参照するに、p型あるいはn型のシリコン基板21上にはゲート絶縁膜22を介してポリシリコンゲート電極23が形成されており、さらに前記シリコン基板21上に前記ゲート電極23を覆うようにSiO2やSiONなどの絶縁膜24が、CVD法などにより、前記ゲート電極23の形状に沿った形状で形成されている。
次に図4(B)の工程において図4(A)の絶縁膜24に対し、前記シリコン基板21に略垂直方向に作用する異方性エッチングを、CF系あるいはCHF系のエッチングガスを使って行い、前記絶縁膜24を前記ゲート電極23の表面および前記シリコン基板21の表面から除去する。ただし図4(B)は前記絶縁膜24がこれらの表面から除去された直後の状態を示しており、エッチングの不均一などにより、ゲート電極23あるいはシリコン基板21の表面上には前記絶縁膜24の残渣24xが残っている。なお、ここでも前記絶縁膜24とシリコン基板21との間に充分なエッチング選択性を確保するため、前記CF系あるいはCHF系エッチングガスとしてはC48あるいはC46などのCの含有量の多いガスを使う。
さらに図4(B)の前記残渣24xを除去するため、図4(C)の工程において前記ドライバエッチングを継続し、オーバーエッチングを行う。ところが、このオーバーエッチングに伴い、前記ポリシリコンゲート電極23および前記シリコン基板21中にはエッチングガス中のCが打ち込まれ、CがSiと結合したSiCを含む変質層25が、前記ポリシリコンゲート電極23の表面および前記シリコン基板21の露出表面に形成される。
このような変質層25は、先に図3で説明したように、コンタクト抵抗を増大させるのみならず、図4(D)のイオン注入工程において、イオン注入された不純物元素を捕獲あるいはブロックしてしまい、ゲート電極23およびシリコン基板21中、その両側に形成される拡散領域の不純物元素濃度を低減させてしまう。
すなわち図4(D)の工程では、図4(C)の構造に対してn型あるいはp型の不純物元素をイオン注入し、前記ポリシリコンゲート電極23をn型あるいはp型にドープすると同時に、前記シリコン基板21中、前記ポリシリコンゲート電極23の側壁絶縁膜24A,24Bの外側に同じ導電型の拡散領域21a,21bが形成されるが、これらの領域の表面部分に変質層25が形成されているため、注入された不純物元素は前記変質層25により捕獲され、充分な数の不純物原子が前記ゲート電極23あるいは拡散領域21a,21bに到達しなくなる。このため、前記ゲート電極23および前記拡散領域21a,21bにおいて不純物元素濃度が所定の濃度に到達しない問題が生じる。
このような問題に対処するため、特開平8−78352号公報では、変質層に対しO2RIE処理を行い、これをSiO2層に変換する技術が提案されている。SiO2層に変換された変質層は、HFなどのウェットエッチング処理により除去することができる。
図5(A)〜(C)は、かかる従来の提案による処理を示す。ただし図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。
図5(A)は図4(C)の状態に対応しており、前記シリコン基板21の表面および前記ポリシリコンゲート電極23の表面に変質層25が形成されている。
そこで図5(B)の工程において図5(A)の構造中に酸素イオンを使ったO2RIE処理を行うことにより酸素イオンを注入し、前記変質層25をSiO2層25Aに変換する。
さらに図5(C)の工程においてこのようにして形成されたSiO2層25Aをウェットエッチング処理により除去することにより、前記変質層25の形成部分が除去される。この場合、前記シリコン基板21中には前記側壁絶縁膜24A,24Bの外側が除去され、段差部21Gが形成される。
しかし、このような基板バイアスを印加して行うO2RIE処理では、酸素イオンの注入深さの制御が困難で、図6(A)〜(C)に矢印示すように酸素イオンは所望の深さを超えてシリコン基板21あるいはポリシリコンゲート電極23中に深く侵入し、その結果、ウェットエッチング工程の後に前記シリコン基板21中に形成される段差部21Gの深さも増大してしまうおそれがある。ただし図6(A)〜(C)は前記図5(A)〜(C)にそれぞれ対応している。
そこで、このような深い段差部21Gを有する構造に対して不純物元素のイオン注入を行って半導体素子を形成した場合、図7に示すように前記段差部21Gの段差部において寄生抵抗Rが発生し、半導体装置の動作速度が低下してしまう問題が生じる。ただし図7中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。このような問題は、特に最近のゲート長が90nm以下の超高速半導体装置において顕著に現れる。
また、このような超高速半導体装置では、図7に示すように側壁絶縁膜24A,24Bの形成に先立って、ゲート電極直下に短チャネル効果を抑制するため、チャネル不純物元素と同じ導電型の不純物元素が斜めにイオン注入され、ポケット注入領域21pが形成されているが、図6(C)の工程でのエッチング量が大きいと、前記段差部21Gがポケット領域21pを突き抜けてしまい、ポケット注入領域21pによる短チャネル効果の抑制効果が消失してしまう。
本発明は一の観点において、半導体表面に形成された炭素を含む変質層を、酸素ラジカルに曝露することにより改質し、改質層を形成する工程と、前記改質層をウェットエッチングにより除去する工程とを含む半導体装置の製造方法であって、前記改質工程は、酸素ラジカルに、前記半導体表面のSi原子に結合してSi原子と酸素原子との間の二重結合の形成を阻害するような元素の活性種を添加して実行されることを特徴とする半導体装置の製造方法を提供する。
本発明は他の観点において、半導体基板と、前記半導体基板の主表面上にゲート絶縁膜を介して形成されたゲート電極と、前記ゲート電極の両側に形成されたソース/ドレイン領域と、前記ゲート電極の両側面上に形成された側壁絶縁膜のさらに両側に形成された段差とを有し、前記段差が5nm以下であることを特徴とする半導体装置を提供する。
本発明によれば、半導体表面に形成された炭素を含む変質層を、酸素ラジカルに曝露してシリコン酸化膜よりなる改質層に変換する際に、前記酸素ラジカルに、前記半導体表面のSi原子に結合してSi原子と酸素原子との間の二重結合の形成を阻害するような、換言するとSi原子に対して優先的に結合するような元素を適量添加することにより、前記変質層の、ウェットエッチングにより除去可能な改質層への変換が、酸素ラジカルのみを使った場合よりも促進される。その際、前記改質工程を、前記変質層にエッチングが生じないように実行することにより、前記改質層をウェットエッチングにより除去した後に生じる段差は、その高さが当初の変質層の厚さを越えることがなく、半導体表面に、半導体装置の動作特性に影響するような段差が形成されるのが抑制される。本発明は特に、イオン注入の際の不純物元素のイオン注入エネルギが小さく、ドライエッチングの際に被処理基板に作用するエッチングガス種のエネルギに近接するような、超微細化高速半導体装置の製造において有用である。
[第1実施例]
図8は、本発明で使われるダウンフロープラズマ処理装置40の構成を示す。
図8を参照するに、ダウンフロープラズマ処理装置40は排気ポート41Bにおいて排気されプロセス空間41Aを画成する処理容器41を含み、前記処理容器41中には被処理基板Wを保持する基板保持台41Cが設けられている。
さらに前記処理容器41には前記被処理基板Wに対面するように、シャワーヘッド43が絶縁構造41Dを介して設けられており、前記シャワーヘッド43にはArなどの希ガス、CF4などのフロロカーボンガス、酸素ガス、水素ガス、および窒素ガスをそれぞれ供給するガスライン44A,44B,44C、44D、44Eが接続されている。また前記シャワーヘッド43にはマイクロ波源45よりマイクロ波が、前記基板保持台41Cには高周波が、高周波源46よりそれぞれ供給される。前記シャワーヘッド43はステンレススチールなどよりなり、内部に石英シャワーヘッド本体43Aが保持されている。
本発明の発明者は、本発明の基礎となる研究において、図8のプラズマ処理装置40を使って、シリコン基板上に形成されたSiCを含む変質層を改質し、さらにこれをウェットエッチングにより除去する実験を行った。
図9(A)〜(D)は、かかる実験を説明する。
図9(A)を参照するに、シリコン基板60が図8のプラズマ処理装置40の処理容器41中に導入され、前記基板保持台41C上にウェハWとして載置される。
次に前記処理容器41を排気し、前記プロセス空間41Aのプロセス圧を約10Paに設定する。
さらに前記ガスライン44AよりArガスを500SCCMの流量で導入し、前記シャワーヘッド43に前記マイクロ波源45より2.45GHzのマイクロ波を1000Wのパワーで供給することにより、前記プロセス空間41Aにマイクロ波プラズマを励起する。
さらに前記基板保持台41Cに前記高周波源46より周波数が200kHz〜100MHzの高周波が供給され、前記被処理基板Wに直流バイアスが印加される。
この状態で前記ガスライン44Bより前記プロセス空間41Aに、炭素含有量の多いC48などのCFガスやCHFガスを導入することにより、前記シリコン基板60の表面に、図2(C)のオーバーエッチング工程に対応した工程を行い、SiCを含む変質層61を5nmの厚さに形成する。
次に図9(B)の工程において前記高周波電源46を停止し、前記プロセス空間41Aを前記ライン43DからのArガスによりパージした後、前記プロセス空間41Aを500Paのプロセス圧に維持し、前記前記ガスライン44AよりArガスを、前記ガスライン44BよりCF4ガスを、前記ガスライン44Cより酸素ガスを、前記ガスライン44Dより水素ガスを、また前記ガスライン44Eより窒素ガスを、前記プロセス空間41Aにそれぞれ供給し、前記変質層61の改質工程を行う。
図9(B)の工程では前記非処理基板Wに基板バイアスが印加されていないため、プラズマ中のイオンが加速されて被処理基板Wに衝突することがなく、前記変質層61は、酸素ガスより形成された酸素ラジカルO*、水素ガスより形成された水素ラジカルH*、CF4ガスより形成されたフッ素ラジカルF*および窒素ガスより形成された窒素ラジカルN*に曝され、化学的な改質作用を受け、その一部あるいは全部が、SiO2を主成分とする改質層61Aに変換される。
さらに図9(C)の工程において、このようにして改質層61Aを有するシリコン基板60はHFによるウェットエッチング処理を施され、前記改質層61Aが、シリコン基板60に対して選択的に除去される。図9(C)の例では、前記シリコン基板60上には改質されなかった変質層61が残っているのが示されている。
図10は、前記図9(B)の工程において供給されるガスの組み合わせを様々に変化させた場合の、改質層61Aの膜厚と、さらに図9(C)のウェットエッチング工程までを行った場合の前記改質層61Aの膜厚減とを示す。図中、縦軸は前記シリコン基板60上の改質層61Aの膜厚を、横軸はガスの組み合わせを示す。また図中、黒は図9(B)の状態での改質層61Aの膜厚を、白は図9(C)の状態での改質層61Aの膜厚を、灰色は図9(C)においてさらにウェットエッチング時間を延長した場合の改質層61Aの膜厚を示している。
さらに図10中の実験(I)は、図9(B)の改質工程を、ガスライン44Cからの酸素ガスのみを1000SCCMの流量で供給することで行った場合の結果を、実験(II)は、図9(B)の改質工程を、前記ガスライン44Cから流量1000SCCMで供給される酸素ガスに加えて、前記ガスライン44BからCF4ガスを10SCCMの流量で供給し、前記ガスライン44Dから水素ガスを10SCCMの流量で供給し、前記ガスライン44Eから窒素ガスを500SCCMの流量で供給することで行った場合の結果を、実験(III)は、図9(B)の改質工程を、前記ガスライン44Cから流量1000SCCMで供給される酸素ガスに加えて、前記ガスライン44BからCF4ガスをより大きな50SCCMの流量で供給し、前記ガスライン44Dから水素ガスを10SCCMの流量で供給し、前記ガスライン44Eから窒素ガスを500SCCMの流量で供給することで行った場合の結果を、実験(IV)は、図9(B)の改質工程を、前記ガスライン44Cから流量1000SCCMで供給される酸素ガスに加えて、前記ガスライン44Dから水素ガスを10SCCMの流量で供給し、前記ガスライン44Eから窒素ガスを500SCCMの流量で供給することで行った場合の結果を、示す。これに対し、図10の実験(V)は、図9(B)の改質工程を、前記ガスライン44Cから流量1000SCCMで供給される酸素ガスに加えて、前記ガスライン44BからCF4ガスのみを10SCCMの流量(酸素ガスとCF4ガスの比率が100:1)で供給することで行った場合の結果を示している。
全ての実験において前記改質工程は先に説明したように500Paのプロセス圧で、前記シャワーヘッドに1000Wのマイクロ波パワーを供給することにより行われている。また先にも説明したように、図9(B)の改質工程では基板バイアスは印加していない。
図10を参照するに、実験(I)〜(IV)では、前記変質層61の膜厚は図9(B)の改質工程を行っても、当初の5nmから変化しておらず、改質工程に伴う膜厚減は生じていないのがわかる。これに対し実験(V)では改質工程により当初の膜厚5nmが2nm程度まで減少しており、改質条件しだいでは、シリコン表面を侵食してしまう恐れがあるのがわかる。
また前記実験(I)では、図9(B)の改質工程の後、図9(C)のウェットエッチングを行っても3nm程度の厚さの改質層61Aが残っており、これは実際には改質反応が充分に進まず、図9(A)の変質層が実際には3nm程度の厚さで残っていることを示している。
これに対し、実験(II)では、前記酸素ガスにさらに窒素ガスと水素ガスとCF4ガスとを添加することにより、ウェットエッチング後にシリコン基板60上に残留する改質層61Aの膜厚が実験(I)の場合に比べて減少しており、改質反応がより効率的に進行していることがわかる。さらに実験(III)に示すように添加されるCF4ガスの割合を増大させることにより、さらに改質反応の効率を向上させることが可能になる。実験(II)では、酸素ガス、窒素ガス、水素ガスおよびCF4ガスの比率が100:50:1:1になっている(酸素ガスとCF4ガスの比率では100:1)のに対し、実験(III)では、酸素ガス、窒素ガス、水素ガスおよびCF4ガスの比率が100:50:1:5(酸素ガスとCF4ガスの比率では20:1)になっている。
さらに、実験(IV)に示すように、前記酸素ガスに窒素ガスと水素ガスのみを添加した場合でも、改質反応の効率は実験(I)の場合に比べて改善されるのがわかる。
このような、酸素ラジカルにさらなるガス、例えば水素やCF系のガスを添加した場合、これら添加ガスのプラズマ励起により前記プロセス空間41Aには、酸素ラジカルに加えて水素ラジカルやFラジカルが形成されるが、これらは図9(A)の変質層61中のCを酸素ラジカルと共に速やかに置換し、SiCを主成分とする変質層61をHFに可溶なSiOHあるいはSiOFに変換するものと考えられる。すなわち、Siとの反応性が高く、従ってSi原子と酸素原子の間の二重結合形成を阻害するような水素ラジカルやFラジカルを、図9(B)の改質工程において酸素ラジカルに適量添加することにより、前記変質層61の表面に、変質層61内部の改質を妨げる安定なSiO2膜が形成されるのが抑制され、図9(B)の改質反応が変質層61の深くまで及ぶものと考えられる。
特に実験(III)よりわかるように、Fラジカルの割合を増加させると、膜内部まで改質反応が進むため、CF系ガスの添加量を増大させるのが好ましいと考えられるが、一方、実験(V)よりわかるようにFラジカルの割合が多すぎると、図9(B)の改質工程においてシリコン基板がエッチングされてしまい、好ましくない段差が形成される恐れがある。

[第2実施例]
図11(A)〜15(J)は、本発明の第2実施例による半導体装置80の製造工程を、pチャネルMOSトランジスタを形成する場合を例に示す図である。
図11(A)を参照するに、前記半導体装置80はシリコン基板81中にSTI型の素子分離領域81Bにより画成されたn型素子領域81A上に形成されており、図11(A)の状態では、前記素子領域81A中には前記シリコン基板上に厚さが1nmのSiONゲート絶縁膜82を介して、ゲート長が90nm以下、例えば40nmのポリシリコンゲート電極83が形成されている。
次に図11(B)の工程において、前記シリコン基板81中に、前記ポリシリコンゲート電極83をマスクにP+(As+やSb+でもよい)を30keVの加速電圧下、1×1013cm-2程度のドーズ量で斜めイオン注入し、前記ゲート電極83の両側にn型ポケット注入領域81pを、前記ポケット注入領域81pの先端部が前記ゲート電極83直下のチャネル領域の下部に侵入するように形成する。
さらに図11(B)の工程では、前記ポリシリコンゲート電極83をマスクにB+を1keVの加速電圧下、1×1015cm-2程度のドーズ量でイオン注入し、前記素子領域81A中、前記ゲート電極83の両側にp型のソースエクステンション領域81a,ドレインエクステンション領域81bを形成する。
次に、図12(C)の工程において図11(B)の構造上にシリコン酸化膜84をCVD法により、前記シリコン基板81の表面および前記ゲート電極83を覆うように堆積し、さらに図12(D)の工程で前記シリコン酸化膜84を、CF系あるいはCHF系ガス、例えばC48を使ったドライエッチングによりエッチバックし、前記ポリシリコンゲート電極83の両側壁面上に側壁絶縁膜84A,84Bを形成する。この図12(C)のエッチバック工程は、例えば図8のダウンフロープラズマ型のプラズマ処理装置を使い、前記被処理基板Wに基板バイアスを印加しながら行うことができる。
かかるプラズマエッチングの結果、図12(D)の工程においては前記側壁絶縁膜84A,84Bの外側にシリコン基板81の表面が、また前記ポリシリコンゲート電極83の表面が露出される。その際、かかるシリコン表面にはエッチングガス中の炭素が基板バイアス電界により打ち込まれ、SiCを含む変質層85が数ナノメートルの厚さに形成される。
そこで、本実施例では図13(E)の工程において図12(D)の構造に対し、図8のダウンフロープラズマ処理装置20を使い、ただし基板バイアスを印加することなく、前記プロセス空間41Aに酸素ガスとともに窒素ガス、水素ガスおよびCFやCHFガスを供給し、前記SiCを主とする変質層85を、HFに可溶なSiO2を主成分とし、SiOFあるいはSiOHをさらに含む改質層85Aに変換する改質処理を行う。この図13(E)の改質処理は、先に説明した図9(B)の改質処理のうち、特に実験(II)〜実験(IV)と同様な条件で行うことができる。
次に、図13(F)の工程で、図13(E)の構造をHF中においてウェットエッチングし、前記改質層85Aを除去する。
図13(F)の工程では、前記改質層85Aのウェットエッチングに伴い、前記シリコン基板81の表面には段差部81Gが形成されるが、本実施例では図13(E)の改質工程の際に前記変質層85がエッチングされることがなく、このため形成される段差部81Gの高さは、前記変質層85の当初の厚さを超えることはない。図13(F)の例では、HFによるウェットエッチング工程の後でも、わずかな未反応変質層85が、残留改質層85Aとして残留している。
前記残留改質層85Aの厚さは、図13(E)の改質工程を最適化することにより、最小化、あるいはゼロにすることができる。すなわち、前記変質層85をエッチングすることなく、図13(F)のウェットエッチング処理後の残留改質層85Aの膜厚をほぼゼロにすることができる。この場合、残留改質層85Aの下のシリコン面がエッチングされることはない。
ついで図14(G)の工程において、前記ゲート電極85および側壁絶縁膜84A,84Bをマスクに、前記シリコン基板81中にB+を、0.5keV以下の加速電圧下、5.0×1015cm-2程度の高濃度でイオン注入し、前記側壁絶縁膜84A,84Bの外側にソース・ドレインとなる拡散領域81c、81dを、前記ソースエクステンション領域81a、ドレインエクステンション領域81bとそれぞれ重畳するように形成すると同時に、前記ポリシリコンゲート電極83をB+により高濃度にドープする。
図14(G)の工程では、前記シリコン基板81の露出部分、すなわちソース拡散領域81cあるいはドレイン拡散領域81dが形成される部分、およびゲート電極83の露出部分に形成される変質層25が、このように図13(F)の改質工程により除去、あるいは部分的に除去されているため、イオン注入は効率的になされ、注入される不純物元素が変質層25により捕獲される問題が最小限に抑制される。このため、前記ソース・ドレイン拡散領域81c,81dは高濃度にドープされ、ソース抵抗が低減されると同時に、ゲート電極83も高濃度にドープされるため、このような超高速半導体装置において生じやすいゲート空乏化の問題が軽減される。
さらに、図14(H)の工程で、前記拡散領域81c,81dの表面およびポリシリコンゲート電極83の表面にサリサイド工程によりコバルトシリサイドあるいはニッケルシリサイドよりなるシリサイド層86が形成され、図15(I)の工程において図14(H)の構造上に層間絶縁膜87が堆積され、前記拡散領域81c,81dに対応したコンタクトホール87A,87Bが、それぞれのシリサイド層86を露出するように形成される。
さらに図15(J)の工程において前記コンタクトホール87A,87BをWなどの導体プラグ88A,88Bにより充填する。
このようにして形成されたMOSトランジスタは、ソース領域81a,ドレイン領域81bおよびポリシリコンゲート電極83が高濃度にドープされる好ましい特徴以外にも、図13(F)のウェットエッチング工程で形成される段差が小さいため、かかる段差部がポケット注入領域81pあるいはソース・ドレインエクステンション領域81a,81bの下端に近接したり、これを突き抜けたりすることがなく、ゲート長の短縮に伴うしきい値特性の変動や、リーク電流の増大などの問題が抑制される好ましい特徴を有している。さらに図14(H)のシリサイド形成工程においても、シリサイド層86が形成される面における変質層85の膜厚が減少するため、シリサイド層86中の欠陥が減少する。
また図示は省略するが、同様な工程によりnチャネルMOSトランジスタを製造することが可能である。
なお、理想的には、図13(E)の工程で前記変質層85はその膜厚全体にわたり改質層85Aに改質され、図13(F)の工程で、図16に示すように改質層85Aは全て除去されるのが好ましい。図16は図13(F)に対応し、さらなる説明は省略する。
また、以上の説明では、図13(E)の改質工程においてプラズマ処理装置として、図8のダウンフロープラズマ処理装置40を使ったが、本発明はかかる特定のプラズマ処理装置に限定されるものではなく、平行平板型、ECR型、ICP型、トロイダル型を含む、その他のプラズマ処理装置を使うことができる。
図17は、前記図13(F)のウェットエッチング工程において形成される段差部81Gが、前記変質層85の下、約3nmの深さに到達した場合(図中、白丸で表記)、および前記段差部81Gの深さが、それよりもさらに1nm程度大きい約4nmの深さに到達した場合(図中黒丸で表記)の、MOSトランジスタのしきい値Vthとゲート長Lgとの関係を示す。ただし図17は、pチャネルMOSトランジスタについての結果である。
図17を参照するに、しきい値のばらつきは、シリコン基板面の段差部81Gが約3nmの場合、ゲート長が50〜90nmの範囲では極めて小さいのに対し、シリコン基板面の段差部81Gが約4nmの場合、しきい値のばらつきが、ゲート長Lgが70nmを切ったあたりから急増しているのがわかる。これは、前記段差部81Gの深さの増大に伴い、シリコン基板81の表面が前記ポケット注入領域81pの下端に近接し、ポケット注入領域81pによる短チャネルの抑制が不十分になっている事情を反映しているものと考えられる。
図18は、このようにして得られたMOSトランジスタのオン電流ロールIonとゲート長Lgとの関係を示す。ただし図18のMOSトランジスタはnチャネルMOSトランジスタであり、図18中、白丸は、前記段差部81Gが、前記変質層85の下、約3nmの深さに到達している試料についての結果を、黒丸は、前記段差部81Gが前記変質層85の下、約4nmの深さに到達している試料についての結果を示す。
図18を参照するに、前記変質層85の下の侵食量(Siロス)が小さい場合にはゲート長の減少によるオン電流の減少は比較的小さいのに対し、前記Siロスが大きい場合にはオン電流が著しく減少しているのがわかる。いずれに場合にも、シート抵抗の変化は見られないため、図18の結果は、前記段差部81Gの高さの増大に伴う寄生抵抗の増大に対応しているものと考えられる。
これに対し、本実施例では図13(F)のウェットエッチング工程で、エッチングが前記変質層85の下にまで及ぶことがなく、過剰なSiの侵食を抑制することで、短チャネル効果を最小限に抑制することができ、ゲート長が仮に40nm以下になったとしても、安定なしきい値特性と充分なオン電流を確保することが可能になる。

[第3実施例]
図19(A)〜図20(D)は、本発明の第3実施例によるコンタクトホールの形成工程を示す。
図19(A)を参照するに、シリコン基板101中にはp型あるいはn型の拡散領域101aが形成されており、前記シリコン基板101の表面にはSiO2などの絶縁膜102が形成されている。特に図19(A)の拡散領域101aは、ゲート長が90nm以下の超高速半導体装置を念頭に、拡散領域下端の深さが基板表面から20nm以下となるように形成されている。
図19(A)の工程では、前記絶縁膜102上に開口部103Aを有するレジストパターン103が形成され、前記レジストパターン103をマスクに前記絶縁膜102をCFあるいはCFH系のエッチングガスを使ったドライエッチング工程により除去し、前記絶縁膜102中に前記レジスト開口部103Aに対応したコンタクトホール12Aを形成する。
図19(B)は前記ドライエッチングによりシリコン基板101の表面が露出した直後の状態を示しており、この場合には前記コンタクトホール102Aの底部に、エッチングの不均一に起因する絶縁膜の残渣102xが残留している。
そこで図20(C)の工程で前記ドライエッチングを継続し、オーバーエッチングを行う。これにより、前記絶縁膜残渣102xは完全に除去されるが、この工程においてエッチングガス中のCがシリコン基板101中に打ち込まれ、前記コンタクトホール102Aの底部においてシリコン基板101の表面にSiCを含んだ変質層101bが形成される。
そこで本実施例では図20(D)の工程において、前記変質層101bに対し、酸素ラジカルと水素ラジカル、およびフッ素ラジカルによる改質処理を、先の図13(E)の工程と同様にして、前記変質層101bのエッチングが生じないように実行し、前記変質層101bの少なくとも一部を、SiO2を主成分とし、さらにSiOFあるいはSiOHを含む改質層101cに変換する。
さらに図21(E)の工程において図20(D)の構造を希フッ酸水溶液中においてウェットエッチング処理し、前記改質層101cを除去する。
さらに図21(F)の工程において前記コンタクトホール12Aの内壁面を、Ta/TaNなどの密着層/拡散バリア層104Aにより覆い、さらにWなどの金属をより充填してコンタクトプラグ104を形成する。
本実施例においても、前記コンタクトホール12A底部において改質層除去工程により生じる侵食が最小限となり、コンタクト抵抗の低いコンタクトを、浅い拡散領域101aに生じる影響を最小限に抑制しつつ、形成することが可能になる。これにより、このような超微細化高速半導体装置における配線抵抗を最小化することが可能になる。
以上、本発明をゲート長が90nm以下の超高速半導体装置における側壁絶縁膜の形成および微細なコンタクトホールの形成を例に説明したが、本発明は微細なコンタクトホールを有するDRAMの製造をはじめ、様々な半導体装置の製造に適用可能である。
また本発明の改質工程においては、フッ素ラジカル源として、フロロカーボンガスのほかに、SF6ガスなど、他のF含有ガスを使うことも可能である。
(付記1)
半導体表面に形成された炭素を含む変質層を、酸素ラジカルに曝露することにより改質し、改質層を形成する工程と、
前記改質層をウェットエッチングにより除去する工程と
を含む半導体装置の製造方法であって、
前記改質工程は、酸素ラジカルに、前記半導体表面のSi原子に結合してSi原子と酸素原子との間の二重結合の形成を阻害するような元素の活性種を添加して実行されることを特徴とする半導体装置の製造方法。
(付記2)
前記半導体表面はSi原子を含み、前記変質層は、SiCを含むことを特徴とする付記1記載の半導体装置の製造方法。
(付記3)
前記半導体表面は、シリコン基板表面であることを特徴とする付記1または2記載の半導体装置の製造方法。
(付記4)
前記半導体表面は、ポリシリコン膜表面であることを特徴とする付記1または2記載の半導体装置の製造方法。
(付記5)
前記改質工程は、前記変質層のエッチングが実質的に生じないような条件で実行されることを特徴とする付記1〜4のうち、いずれか一項記載の半導体装置の製造方法。
(付記6)
前記元素は、水素およびハロゲンよりなる群から選択されることを特徴とする付記1〜5のうち、いずれか一項記載の半導体装置の製造方法。
(付記7)
前記元素は、水素またはフッ素よりなることを特徴とする付記1〜6のうち、いずれか一項記載の半導体装置の製造方法。
(付記8)
前記酸素ラジカルは、酸素ガスをプラズマにより励起することで形成され、
前記フッ素の活性種は、フロロカーボンガスまたはSF6ガスを前記プラズマにより励起することにより供給されることを特徴とする付記7記載の半導体装置の製造方法。
(付記9)
前記フロロカーボンガスは、CF4を含むことを特徴とする付記9記載の半導体装置の製造方法。
(付記10)
前記改質工程は、前記半導体表面を有する半導体基板に、基板バイアスを印加することなく実行されることを特徴とする付記1〜9のうち、いずれか一項記載の半導体装置の製造方法。
(付記11)
絶縁膜を、フルオロカーボンガスをエッチングガスとして使うドライエッチング法により除去することにより、前記絶縁膜が覆っている半導体表面を露出する工程と、
前記半導体表面に、前記ドライエッチング工程により形成された炭素を含む変質層を、酸素ラジカルに曝露することにより改質し、改質層を形成する工程と、
前記改質層をウェットエッチングにより除去する工程と
を含む半導体装置の製造方法であって、
前記改質工程は付記1〜10のうち、いずれか一項に記載の方法により実行されることを特徴とする半導体装置の製造方法。
(付記12)
半導体表面上に形成されたポリシリコンゲート電極を覆うように前記半導体表面上に絶縁膜を形成する工程と、
前記絶縁膜を、前記半導体表面が露出するように、また前記ポリシリコンゲート電極の上面が露出するように、フルオロカーボンガスをエッチングガスとして使うドライエッチング法によりエッチバックし、前記ポリシリコンゲート電極の両側壁面に側壁絶縁膜を形成する工程と、
前記半導体表面に前記エッチバック工程の際に形成された、炭素を含む変質層を、酸素ラジカルに曝露することにより改質し、改質層を形成する工程と、
前記改質層をウェットエッチングにより除去する工程と
を含む半導体装置の製造方法であって、
前記改質工程は付記1〜10のうち、いずれか一項に記載の方法により実行されることを特徴とする半導体装置の製造方法。
(付記13)
前記ゲート電極は、90nm以下のゲート長を有することを特徴とする付記12記載の半導体装置の製造方法。
(付記14)
前記ウェットエッチング工程の後、前記半導体表面に不純物元素をイオン注入する工程を特徴とする付記1〜13のうち、いずれか一項記載の半導体装置の製造方法。
(付記15)
前記ウェットエッチング工程の後、前記半導体表面にシリサイド層を形成する工程を有することを特徴とする付記1〜14のうち、いずれか一項記載の半導体装置の製造方法。
(付記16)
半導体基板と、
前記半導体基板の主表面上にゲート絶縁膜を介して形成されたゲート電極と、
前記ゲート電極の両側に形成されたソース/ドレイン領域と、
前記ゲート電極の両側面上に形成された側壁絶縁膜のさらに両側に形成された段差とを有し、
前記段差が5nm以下であることを特徴とする半導体装置。
(付記17)
さらに、前記ソース/ドレイン領域および前記ゲート電極上にシリサイド層を有し、
前記段差は、前記シリサイド層の下にSiCを含まない深さまでエッチングしてなることを特徴とする請求項16記載の半導体装置。
(付記18)
前記段差は、ウェットエッチングにより形成されてなることを特徴とする請求項16または17記載の半導体装置。
(付記19)
前記ゲート電極は、90nm以下のゲート長を有することを特徴とする請求項16〜18記載の半導体装置。
(A),(B)は、本発明の関連技術によるコンタクトプラグの形成工程を説明する図(その1)である。 (C),(D)は、本発明の関連技術によるコンタクトプラグの形成工程を説明する図(その2)である。 前記本発明関連技術の課題を説明する図である。 (A)〜(D)は、本発明の他の関連技術による半導体装置の製造工程を説明する図である。 (A)〜(D)は、本発明のさらに他の関連技術を説明する図である。 (A)〜(D)は、図5(A)〜(D)の関連技術の問題点を説明する図である。 図5(A)〜(D)の関連技術の問題点を説明する別の図である。 本発明で使われるプラズマ処理装置の構成を示す図である。 (A)〜(C)は、本発明の第1実施例による基板処理方法を説明する図である。 図9(A)〜(C)の基板処理方法の評価を説明する図である。 (A),(B)は、本発明の第2実施例による半導体装置の製造方法を説明する図(その1)である。 (C),(D)は、本発明の第2実施例による半導体装置の製造方法を説明する図(その2)である。 (E),(F)は、本発明の第2実施例による半導体装置の製造方法を説明する図(その3)である。 (G),(H)は、本発明の第2実施例による半導体装置の製造方法を説明する図(その4)である。 (I),(J)は、本発明の第2実施例による半導体装置の製造方法を説明する図(その5)である。 本発明第2実施例の一変形例を示す図である。 本発明第2実施例による半導体装置の特性を示す図である。 本発明第2実施例による半導体装置の特性を示す別の図である。 (A),(B)は、本発明の第3実施例による半導体装置の製造方法を説明する図(その1)である。 (C),(D)は、本発明の第3実施例による半導体装置の製造方法を説明する図(その2)である。 (E),(F)は、本発明の第3実施例による半導体装置の製造方法を説明する図(その3)である。
符号の説明
11,101 基板
11a,101a 拡散領域
11b,101b 変質層
101c 改質層
12,102 層間絶縁膜
12A,102A コンタクトホール
12x,102x 絶縁膜残渣
13,103 レジスト膜
13A,103A レジスト開口部
14,104 コンタクトプラグ
14A,104A 密着/拡散防止膜
21 シリコン基板
21G 段差部
21a,21b 拡散領域
22 ゲート絶縁膜
23 ポリシリコンゲート電極
24 絶縁膜
24A,24B 側壁絶縁膜
24x 絶縁膜残渣
25 変質層
40 プラズマ処理装置
41 処理容器
41A 基板保持台
41B,41C 排気ポート
41D 絶縁部
43 シャワーヘッド
43A 石英シャワーヘッド本体
44A〜44E ガスライン
45 マイクロ波源
46 高周波源
60 シリコン基板
61 変質層
61A 改質層
81 シリコン基板
81A 素子領域
81B 素子分離絶縁膜
81G 段差部
81a,81b ソース・ドレインエクステンション拡散領域
81c,81d ソース・ドレイン拡散領域
81p ポケット注入領域
82 ゲート絶縁膜
83 ポリシリコンゲート電極
84 絶縁膜
84A,84B 側壁絶縁膜
85 変質層
85A 改質層
86 シリサイド層
87 層間絶縁膜
87A,87B コンタクトホール
88A,88B コンタクトプラグ

Claims (10)

  1. 半導体表面に形成された炭素を含む変質層を、酸素ラジカルに曝露することにより改質し、改質層を形成する工程と、
    前記改質層をウェットエッチングにより除去する工程と
    を含む半導体装置の製造方法であって、
    前記改質工程は、酸素ラジカルに、前記半導体表面のSi原子に結合してSi原子と酸素原子との間の二重結合の形成を阻害するような元素の活性種を添加して実行されることを特徴とする半導体装置の製造方法。
  2. 前記半導体表面はSi原子を含み、前記変質層は、SiCを含むことを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記元素は、水素およびハロゲンよりなる群から選択されることを特徴とする請求項1または2記載の半導体装置の製造方法。
  4. 前記元素は、水素またはフッ素よりなることを特徴とする請求項1〜3のうち、いずれか一項記載の半導体装置の製造方法。
  5. 前記酸素ラジカルは、酸素ガスをプラズマにより励起することで形成され、
    前記フッ素の活性種は、フロロカーボンガスまたはSF6を前記プラズマにより励起することにより供給されることを特徴とする請求項4記載の半導体装置の製造方法。
  6. 半導体表面上に形成されたポリシリコンゲート電極を覆うように前記半導体表面上に絶縁膜を形成する工程と、
    前記絶縁膜を、前記半導体表面が露出するように、また前記ポリシリコンゲート電極の上面が露出するように、フルオロカーボンガスをエッチングガスとして使うドライエッチング法によりエッチバックし、前記ポリシリコンゲート電極の両側壁面に側壁絶縁膜を形成する工程と、
    前記半導体表面に前記エッチバック工程の際に形成された、炭素を含む変質層を、酸素ラジカルに曝露することにより改質し、改質層を形成する工程と、
    前記改質層をウェットエッチングにより除去する工程と
    を含む半導体装置の製造方法であって、
    前記改質工程は請求項1〜5のうち、いずれか一項に記載の方法により実行されることを特徴とする半導体装置の製造方法。
  7. 半導体基板と、
    前記半導体基板の主表面上にゲート絶縁膜を介して形成されたゲート電極と、
    前記ゲート電極の両側に形成されたソース/ドレイン領域と、
    前記ゲート電極の両側面上に形成された側壁絶縁膜のさらに両側に形成された段差とを有し、
    前記段差が5nm以下であることを特徴とする半導体装置。
  8. さらに、前記ソース/ドレイン領域および前記ゲート電極上にシリサイド層を有し、
    前記段差は、前記シリサイド層の下にSiCを含まない深さまでエッチングしてなることを特徴とする請求項7記載の半導体装置。
  9. 前記段差は、ウェットエッチングにより形成されてなることを特徴とする請求項7または8記載の半導体装置。
  10. 前記ゲート電極は、90nm以下のゲート長を有することを特徴とする請求項7〜10記載の半導体装置。
JP2004247143A 2004-08-26 2004-08-26 半導体装置の製造方法 Expired - Fee Related JP4343798B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2004247143A JP4343798B2 (ja) 2004-08-26 2004-08-26 半導体装置の製造方法
US10/991,498 US7416988B2 (en) 2004-08-26 2004-11-19 Semiconductor device and fabrication process thereof
US12/213,759 US20080274607A1 (en) 2004-08-26 2008-06-24 Semiconductor device and fabrication process thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004247143A JP4343798B2 (ja) 2004-08-26 2004-08-26 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2006066621A true JP2006066621A (ja) 2006-03-09
JP4343798B2 JP4343798B2 (ja) 2009-10-14

Family

ID=35943917

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004247143A Expired - Fee Related JP4343798B2 (ja) 2004-08-26 2004-08-26 半導体装置の製造方法

Country Status (2)

Country Link
US (2) US7416988B2 (ja)
JP (1) JP4343798B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016032036A (ja) * 2014-07-29 2016-03-07 富士通セミコンダクター株式会社 半導体装置の製造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3975099B2 (ja) * 2002-03-26 2007-09-12 富士通株式会社 半導体装置の製造方法
JP5654794B2 (ja) * 2010-07-15 2015-01-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JPH06151395A (ja) * 1992-11-10 1994-05-31 Matsushita Electric Ind Co Ltd Si清浄表面形成方法
KR0130376B1 (ko) * 1994-02-01 1998-04-06 문정환 반도체소자 제조방법
JP3384622B2 (ja) 1994-09-06 2003-03-10 三洋電機株式会社 基板の処理方法
US5762813A (en) * 1995-03-14 1998-06-09 Nippon Steel Corporation Method for fabricating semiconductor device
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP3422148B2 (ja) 1995-10-31 2003-06-30 ソニー株式会社 半導体装置の製造方法
TW376551B (en) * 1996-08-07 1999-12-11 Matsushita Electric Ind Co Ltd Aftertreatment method of dry etching and process of manufacturing semiconductor device
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
US5895245A (en) * 1997-06-17 1999-04-20 Vlsi Technology, Inc. Plasma ash for silicon surface preparation
US6231775B1 (en) * 1998-01-28 2001-05-15 Anon, Inc. Process for ashing organic materials from substrates
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
JP3251245B2 (ja) * 1998-06-10 2002-01-28 松下電器産業株式会社 半導体基板の評価方法及び半導体装置の製造工程の管理方法
JP2000100749A (ja) * 1998-09-25 2000-04-07 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
US6037266A (en) * 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6214736B1 (en) * 1998-10-15 2001-04-10 Texas Instruments Incorporated Silicon processing method
US6033952A (en) * 1998-11-30 2000-03-07 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
JP2001085392A (ja) * 1999-09-10 2001-03-30 Toshiba Corp 半導体装置の製造方法
US6303482B1 (en) * 2000-06-19 2001-10-16 United Microelectronics Corp. Method for cleaning the surface of a semiconductor wafer
JP2002184716A (ja) * 2000-12-11 2002-06-28 Sharp Corp 半導体装置の製造方法
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6455333B1 (en) * 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Method of achieving stable deep ultraviolet (DUV) resist etch rate for gate critical dimension (CD)
TW518688B (en) * 2001-04-26 2003-01-21 Silicon Integrated Sys Corp Etching process of dielectric layer
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
KR100431295B1 (ko) * 2001-10-12 2004-05-12 주식회사 하이닉스반도체 반도체소자의 플러그 형성방법
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7012028B2 (en) * 2004-07-26 2006-03-14 Texas Instruments Incorporated Transistor fabrication methods using reduced width sidewall spacers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016032036A (ja) * 2014-07-29 2016-03-07 富士通セミコンダクター株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US7416988B2 (en) 2008-08-26
JP4343798B2 (ja) 2009-10-14
US20060046493A1 (en) 2006-03-02
US20080274607A1 (en) 2008-11-06

Similar Documents

Publication Publication Date Title
TWI779063B (zh) 半導體結構與其形成方法
US6667246B2 (en) Wet-etching method and method for manufacturing semiconductor device
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7256137B2 (en) Method of forming contact plug on silicide structure
JP4282616B2 (ja) 半導体装置の製造方法
US20070040227A1 (en) Reducing gate dielectric material to form a metal gate electrode extension
KR100464852B1 (ko) 반도체 장치의 게이트 산화막 형성방법
CN111834291A (zh) 半导体装置的制造方法
US20070170588A1 (en) Connection structure and fabrication method for the same
JP4377721B2 (ja) 半導体装置の製造方法
JP3727299B2 (ja) 半導体装置の製造方法
JP4343798B2 (ja) 半導体装置の製造方法
JP2011187498A (ja) 半導体装置の製造方法
JP2010056574A (ja) 半導体装置の製造方法
JP2006165189A (ja) 半導体装置の製造方法
KR20070018223A (ko) 반도체 소자의 제조방법
KR100567530B1 (ko) 반도체 소자의 산화막 형성 방법
JP2007184420A (ja) 半導体装置の製造方法
JP2006203109A (ja) 半導体装置およびその製造方法
KR100603510B1 (ko) 반도체 소자의 제조 방법
JP2005136097A (ja) 半導体装置の製造方法
TW200529326A (en) Offset spacer manufacturing for critical dimension precision
JP4380414B2 (ja) 半導体装置の製造方法
JP2005277285A (ja) 半導体装置の製造方法
KR100408862B1 (ko) 반도체 소자의 소자 분리막 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071129

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090512

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090617

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090707

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090709

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120717

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4343798

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120717

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120717

Year of fee payment: 3

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120717

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120717

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130717

Year of fee payment: 4

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees