TWI779063B - 半導體結構與其形成方法 - Google Patents

半導體結構與其形成方法 Download PDF

Info

Publication number
TWI779063B
TWI779063B TW107124088A TW107124088A TWI779063B TW I779063 B TWI779063 B TW I779063B TW 107124088 A TW107124088 A TW 107124088A TW 107124088 A TW107124088 A TW 107124088A TW I779063 B TWI779063 B TW I779063B
Authority
TW
Taiwan
Prior art keywords
source
layer
drain region
region
metal
Prior art date
Application number
TW107124088A
Other languages
English (en)
Other versions
TW201937610A (zh
Inventor
鄭宇彣
煒業 盧
王毓萱
李弘貿
蔡彥明
陳泓旭
林威戎
張志維
蔡明興
林聖軒
鄭雅憶
林正堂
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201937610A publication Critical patent/TW201937610A/zh
Application granted granted Critical
Publication of TWI779063B publication Critical patent/TWI779063B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

此處揭露的實施例一般關於形成有效金屬擴散阻障層於磊晶源極/汲極區的側壁中。在一實施例中,結構包括具有源極/汲極區的主動區於基板上;介電層,位於主動區上並具有對準源極/汲極區的該側壁之側壁;以及導電結構,沿著介電層的側壁至源極/汲極區。源極/汲極區具有側壁與自源極/汲極區的側壁橫向延伸的橫向表面,且源極/汲極區更包含自源極/汲極區的側壁橫向延伸至源極/汲極區中的氮化區。導電結構包含沿著源極/汲極區的橫向表面並沿著源極/汲極區的側壁的至少一部份之矽化物區。

Description

半導體結構與其形成方法
本發明實施例關於半導體結構與其形成方法,更特別關於表面處理製程。
隨著半導體產業進展至奈米技術製程節點,以達更高的裝置密度、更高效能、與更低成本時,來自製程與設計問題的挑戰導致三維設計的發展,比如鰭狀場效電晶體。鰭狀場效電晶體裝置通常包含高深寬比的半導體鰭狀物,其中形成有通道區與源極/汲極區。閘極形成於鰭狀結構上並沿著鰭狀結構的側壁(如包覆鰭狀結構),其優點為增加通道區的表面積,可產生更快、更可信、與更易控制的半導體電晶體裝置。
鰭狀場效電晶體裝置通常包含用於形成源極區與汲極區的半導體區。接著形成金屬矽化物於半導體區的表面上,以降低金屬接點插塞(用於接觸矽化物區)與半導體區之間的接點電阻。然而隨著尺寸縮小,上述結構出現新的挑戰。
本發明一實施例提供之半導體結構,包括:主動區,位於基板上,主動區包括源極/汲極區,源極/汲極區具有側壁與自源極/汲極區的側壁橫向延伸的橫向表面,源極/汲極 區更包含自源極/汲極區的側壁橫向延伸至源極/汲極區中的氮化區;介電層,位於主動區上並具有對準源極/汲極區的側壁之側壁;以及導電結構,沿著介電層的側壁至源極/汲極區,導電結構包含矽化物區,且矽化物區沿著源極/汲極區的橫向表面並沿著源極/汲極區的側壁的至少一部份。
A-A、B-B:剖面
D1:第一尺寸
D2:第二尺寸
D3:第三尺寸
D4:第四尺寸
D5:第五尺寸
D6:第六尺寸
D7:第七尺寸
D8:第八尺寸
D9:第九尺寸
G1、G2、G3、G4、G5:尺寸
100、1400:流程圖
102、104、106、108、110、112、114、116、118、1402、1404:步驟
210:金屬層
211:表面處理
213:擴散阻障層
214:矽化物層
215:表面氮化物層
217:氮化物區
219:阻障層
220:界面介電層
221:導電材料
222、280:閘極介電層
224:順應層
226:閘極
228a、228b:置換閘極結構
230:第二層間介電層
231:U型溝槽
232:源極/汲極接點開口
237:底部
239:側壁
240:半導體裝置
251:閘極結構
253:溝槽
270:半導體基板
274:鰭狀物
278:隔離區
282:閘極層
284:遮罩
286:閘極間隔物
292:源極/汲極區
296:接點蝕刻停止層
297:第一層間介電層
1502、1514:阻障層
1504:第一層
1506:第二層
1508:氧化表面層
1509:表面
1510、1516:插圖
1512:預清潔製程
第1圖係一些實施例中,用於製作半導體裝置的例示性方法其流程圖。
第2圖係一些實施例中,對應製作階段之一的半導體裝置其透視圖。
第3A與3B圖至第8A與8B圖以及第11A與11B圖至第12A與12B圖係一些實施例中,依據第1圖之流程圖製作之半導體裝置於多種階段的部份剖視圖。
第9與10圖係一些實施例中,源極/汲極區的部份圖式,其具有表面氮化物層或氮化部份。
第13圖係一些實施例中,第8A圖的剖視圖其部份的額外細節。
第14圖係一些實施例中,處理半導體裝置的例示性方法其流程圖。
第15與16圖係一些實施例中,依據第14圖之流程圖製作之半導體裝置於多種階段的部份剖視圖。
下述揭露內容提供許多不同實施例或實例以實施 本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
下述多種實施例提供的結構與方法,不需成長額外膜於接點開口中,即可形成有效金屬擴散阻障層於側壁中,以避免接點開口露出的磊晶源極/汲極區之側壁與接點金屬反應產生不需要的金屬矽化物。在額外或其他例子中,亦可提供無損傷的清潔方法,以自形成於矽化物上的金屬阻障層移除氧化物。金屬阻障層可恢復至初始狀態,經由後續處理即可再次使用。
上述內容概述了本發明中的一些實施例。可以預期的是,平面電晶體裝置或三維電晶體裝置如本發明實施例所述的半導體裝置201可實施本發明實施例的概念。用於此處所述的實施例之一些例示性裝置可包含鰭狀場效電晶體、水平的全環繞式閘極場效電晶體、垂直的全環繞式閘極場效電晶體、 奈米線通道場效電晶體、應變的半導體裝置、絕緣層上矽裝置、或其他裝置,其可因預處理製程減少負載效應及/或取決於基板的成長相關的問題而得利。
第1圖係多種實施例中,製作半導體裝置240的例示性方法其流程圖100。第2圖係半導體裝置240之一例的三維圖。第3A與3B圖至第8A與8B圖以及第11A與11B圖至第12A與12B圖,係依據第1圖之流程圖的多種階段製作的半導體裝置240其部份的剖視圖。值得注意的是,流程100可用於形成此處未述的任何其他半導體結構。本技術領域中具有通常知識者應認知,用於形成半導體裝置的完整製程與其相關結構,並未圖示或說明於此。雖然多種步驟已圖示及說明於此,但這些步驟的順序或步驟之間是否存在其他步驟並侷限於此。此處所示或所述的步驟順序除非特別說明,其僅用於解釋目的而非排除實際上個別步驟同時或至少部份地同時進行的可能性。
流程100一開始的步驟102提供半導體裝置240。半導體裝置240具有鰭狀物274形成於半導體基板270上。半導體基板270可為或可包含基體半導體基板、絕緣層上半導體基板、或類似物,且可未摻雜或摻雜有p型或n型摻質。在一些實施例中,半導體基板270的半導體材料可包含半導體元素如矽或鍺;半導體化合物;半導體合金;或上述之組合。每一鰭狀物274可提供主動區,即一或多個裝置形成處。鰭狀物274的製作方法可採用在半導體基板270上進行的合適製程,其包含遮罩、光微影、及/或蝕刻製程,以形成溝槽253至半導體基板270中,並保留自半導體基板270向上延伸的鰭狀物。接著可將絕 緣材料如氧化物(例如氧化矽)、氮化物、類似物、或上述之組合填入溝槽253。絕緣材料可凹陷以形成隔離區278,且凹陷方法可採用可接受的蝕刻製程。絕緣材料凹陷後,鰭狀物274可自相鄰的隔離區278之間向上凸起。
半導體裝置240具有閘極結構251形成於鰭狀物274的上表面上。每一閘極結構251包含閘極介電層280、閘極層282於閘極介電層280上、與遮罩284於閘極層282上,如第2圖所示。半導體裝置240亦包含源極/汲極區292於鰭狀物274其相對於閘極結構251的兩側區中。第2圖亦顯示後續圖式所用的參考剖面。剖面A-A可為沿著鰭狀物274中兩側的源極/汲極區292之間的通道之平面。剖面B-B為垂直於剖面A-A的平面,且橫越鰭狀物274中的源極/汲極區292。後續圖式對應這些參考剖面以清楚說明。下述圖式中末尾為「A」者,指的是製程的多種例子中對應剖面A-A的剖面圖。下述圖式中末尾為「B」者,指的是製程的多種例子中對應剖面B-B的剖面圖。
如第3A與3B圖所示,形成閘極結構251於鰭狀物274上。閘極結構251位於鰭狀物274上,且延伸方向垂直於鰭狀物274。閘極結構251可為閘極優先製程中的可操作閘極堆疊,或者是置換閘極製程中的虛置閘極堆疊。為簡化說明,流程圖100將以置換閘極製程為基礎進行說明。在置換閘極製程中,閘極介電層280可為界面介電層,而閘極層282可為虛置閘極。用於閘極結構251的閘極介電層280、閘極層282、與遮罩284可依序形成如個別的層狀物,接著將這些層狀物圖案化成閘極結構251。舉例來說,用於界面介電層的層狀物可包含或 可為氧化矽、氮化矽、類似物、或上述之多層。用於虛置閘極的層狀物可包含或可為矽(如多晶矽)或另一材料。用於遮罩的層狀可包含或可為氮化矽、氮氧化矽、氮碳化矽、類似物、或上述之組合。上述層狀物的形成方法或沉積方法可為任合適的沉積技術。舉例來說,接著可圖案化用於閘極介電層280、閘極層282、與遮罩284的層狀狀物以形成閘極介電層280、閘極層282、與遮罩284以用於每一閘極結構251,且其圖案化方法可採用光微影與一或多道蝕刻製程。
步驟104沿著閘極結構251的側壁(比如閘極介電層280、閘極層282、與遮罩284的側壁)形成閘極間隔物286,且閘極間隔物286亦形成於鰭狀物274上。舉例來說,閘極間隔物286的形成方法可為順應性地沉積用於閘極間隔物286的一或多個層狀物,並非等向蝕刻一或多個層狀物。用於閘極間隔物286的一或多個層狀物包含的材料,可不同於用於閘極結構251的材料。在一些實施例中,閘極間隔物286可包含或可為介電材料如碳氧化矽、氮化矽、氮氧化矽、氮碳化矽、類似物、上述之多層、或上述之組合,且其沉積方法可為任何合適的沉積技術。接著可進行非等向的蝕刻製程,移除間隔物層的部份以形成閘極間隔物286,如第4A與4B圖所示。
在形成閘極間隔物286之後,可形成源極/汲極區292於鰭狀物274中,如第4A與4B圖所示。在一些例子中,可採用閘極結構251與閘極間隔物286作為朝罩,並蝕刻凹陷至鰭狀物中(比如形成凹陷於閘極結構251之兩側上)。接著可磊晶成長材料於凹陷中,以形成源極/汲極區292。源極/汲極區292的 形成方法可改用或額外佈植摻質至鰭狀物274及/或磊晶的源極/汲極區292中,且佈植方法採用閘極結構251作為遮罩,以形成源極/汲極區於閘極結構251的兩側上。
用於源極/汲極區292的材料取決於電晶體的導電型態,其可包含或可為矽鍺(SixGe1-x,其中可介於約0至1之間)、碳化矽、磷化矽、碳磷化矽、純鍺或實質上純鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物。舉例來說,用於III-V族半導體化合物的材料可包含砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化銦鋁、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。在一些例子中,用於p型裝置的源極/汲極區292可包含矽鍺,而用於n型裝置的源極/汲極區292可包含碳磷化矽或磷化矽。如第4A與4B圖所示,由於隔離區278的阻擋,源極/汲極區292中的材料先垂直地成長於凹陷中,此時源極/汲極區292不會水平地成長。在完全填入凹陷之後,用於源極/汲極區292的材料可垂直地與水平地成長以形成晶面,其可對應半導體基板270的結晶平面。在一些例子中,用於p型裝置與n型裝置的磊晶源極/汲極區的材料不同。凹陷製程或磊晶成長時採用合適的遮罩,可在不同裝置中採用不同材料。
步驟106視情況依序形成接點蝕刻停止層296與第一層間介電層297於源極/汲極區292的表面、閘極間隔物286的側壁與上表面、遮罩284的上表面、與隔離區278的上表面上,且其形成方法採用任何合適的沉積技術。順應性地沉積的接點蝕刻停止層296,可包含或可為氮化矽、氮碳化矽、碳氧化矽、 氮化碳、類似物、或上述之組合。第一層間介電層297可包含或可為四乙氧基矽烷的氧化物、氧化矽、或低介電常數介電材料(比如介電常數低於氧化矽的材料)。接著可進行化學機械研磨製程以平坦化第一層間介電層297與接點蝕刻停止層296,並移除閘極結構251的遮罩284,使第一層間介電層297與接點蝕刻停止層296的上表面與閘極層282的上表面齊平。
閘極結構251的移除方法可用一或多道蝕刻製程。移除閘極結構251後,形成凹陷於閘極間隔物286之間(即移除閘極結構251處),並經由凹陷露出鰭狀物274的通道區。接著形成置換閘極結構228a與228b於凹陷(即移除閘極結構251處)中。置換閘極結構228a與228b各自包含界面介電層220、閘極介電層222、一或多個視情況形成的順應層224、與閘極226,如第5A圖所示。界面介電層220沿著通道區形成於鰭狀物274的上表面上。界面介電層220可為熱氧化或化學氧化鰭狀物274所形成的氧化物如氧化矽,及/或採用任何合適沉積技術形成的氧化物(如氧化矽)、氮化物(如氮化矽)、及/或另一介電層。
閘極介電層222可順應性地沉積於凹陷(即移除閘極堆疊處)中,比如沉積於界面介電層220上與閘極間隔物286的側壁上。閘極介電層222亦可順應性地沉積於第一層間介電層297、接點蝕刻停止層296、與閘極間隔物286上。閘極介電層222可為或包含氧化矽、氮化矽、高介電常數介電材料、上述之多層、或其他介電材料。高介電常數介電材料的介電常數可大於約4.0,且可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、或鉛 的金屬氧化物或今屬矽酸鹽、上述之多層、或上述之組合。
一或多個順應層224可包含一或多個阻障及/或蓋層,與一或多個功函數調整層。一或多個阻障及/或蓋層可包含氮化鉭、氮化鈦、類似物、或上述之組合。一或多個功函數調整層可包含或可為碳化鋁鈦、氧化鋁鈦、氮化鋁鈦、類似物、或上述之組合。用於一或多個功函數調整層、阻障層、及/或蓋層的材料選擇,可使電晶體如p型場效電晶體或n型場效電晶體達到所需的臨界電壓。用於閘極226的層狀物形成於一或多個順應層224(若實施)上,及/或形成於閘極介電層222上。用於閘極226的層狀物可填入剩餘的凹陷(即移除閘極堆疊處)。用於閘極226的層狀物可為或可包括含金屬材料,比如鎢、鈷、鋁、釕、銅、上述之多層、上述之組合、或類似物。
平坦化製程如化學機械研磨,可移除用於閘極226、一或多個順應層224、與閘極介電層222之層狀物其高於第一層間介電層297、接點蝕刻停止層296、與閘極間隔物286的上表面的部份。如此一來,可形成含有閘極226、一或多個順應層224、閘極介電層222、與界面介電層220的置換閘極結構228a與228b,如第5A圖所示。
步驟108形成第二層間介電層230於閘極226、一或多個順應層224、閘極介電層222、第一層間介電層297、閘極間隔物286、與接點蝕刻停止層296上,如第6A圖所示。第二層間介電層230可包含或可為氧化矽、低介電常數介電材料(如氮氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、有機矽酸鹽玻璃、碳氧 化矽、旋轉塗佈玻璃、旋轉塗佈聚合物、矽碳材料、上述之化合物、上述之複合物、類似物、或上述之組合。
在形成第二層間介電層230之後,形成源極/汲極接點開口232穿過第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296以達源極/汲極區292,並露出源極/汲極區292的至少部份,如第6A圖所示的例子。舉例來說,圖案化第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296以具有源極/汲極接點開口232的方法,可採用光微影與一或多道蝕刻製程。一或多道蝕刻製程可為乾蝕刻製程、深反應離子性蝕刻製程、或任何合適的非等向蝕刻製程。在一例中,乾蝕刻製程採用感應耦合電漿或電容耦合電漿,其包含氧、氬、與一或多種氟為主的化學劑(如六氟丁二烯、八氟環丁烷、或四氟化碳),以形成源極/汲極接點開口232。如此一來,源極/汲極接點開口232的側壁垂直,雖然其可具有小傾斜角度。源極/汲極接點開口232可用於形成電性接點至電晶體的源極/汲極區292。
在形成源極/汲極接點開口232之後,可進行矽化物的預清潔製程,以自露出的源極/汲極區292的表面移除原生氧化物(如氧化矽)。原生氧化物的形成原因係形成源極/汲極接點開口232時,源極/汲極區292暴露至多種蝕刻劑。例示性之矽化物的預清潔製程可包含採用稀氫氟酸水相溶液的濕式清潔、採用電漿(如三氟化氮與氨電漿)的乾式清潔、或上述之組合。矽化物的預清潔採用的化學劑,可移除原生氧化物如源極/汲極區292的上側部份,以形成U型溝槽231於源極/汲極區292 的上表面。U型溝槽231具有底部237與側壁239,如第6A圖所示。
步驟110形成順應性的金屬層210於露出的源極/汲極區292其表面上(比如U型溝槽231其側壁239與底部237),以及第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296的表面上,如第7A與7B圖所示。金屬層210在U型溝槽231之底部237的厚度,大於金屬層210在U型溝槽231之側壁239、第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296之表面上的厚度。舉例來說,U型溝槽231之底部237的金屬層210其厚度,以及U型溝槽231之側壁239上、第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296之表面上的金屬層210其厚度之間的比例,可介於約2:1至約10:1之間,例如約4:1至約6:1之間。金屬層210可為單層或多層堆疊。當金屬層210採用單層時,金屬層210可為或可包含鈦、鉭、或類似物。當金屬層210採用多層堆疊(如雙層)時,第一層可為或可包含鈦、鉭、或類似物,而第二層可為或可包含氮化鈦、氧化鈦、氮化鉭、氧化鉭、或類似物。第一層可形成於第二層上,反之亦然。不論情況為何,第一層的厚度可介於約20Å至約200Å之間,比如約80Å至約120Å之間,例如約100Å;而第二層的厚度可介於約10Å至約70Å之間,比如約30Å至約50Å之間,例如約40Å。金屬層210的沉積方法可為原子層沉積、物理氣相沉積、化學氣相沉積、或任何合適的沉積技術。在採用雙層的一些例子中,第一層的形成方法可為物理氣相沉積,而第二層的形成方法可為原子層沉積。在一些實施例中,金屬層210為鈦層。 在另一實施例中,金屬層210為鈦層與氮化鈦層的堆疊。
步驟112使源極/汲極區292的上側部份與金屬層210反應,以形成矽化物層214於源極/汲極區292上,如第8A與8B圖所示。舉例來說,接著進行退火製程以加熱基板,使接觸源極/汲極區292的金屬層210產生矽化反應。矽化反應可產生於源極/汲極區292與金屬層210之間的界面,以及源極/汲極區292與金屬層210之間的界面周圍及/或之外的區域。在金屬層210採用層狀物堆疊(如鈦與氮化鈦)的一些例子中,底層(如鈦)可與源極/汲極區292反應並完全轉變為矽化物層,而頂層(如氮化鈦)的部份轉變為矽化物層。舉例來說,退火製程可為快速熱退火,其溫度可介於約400℃至約650℃之間(比如約500℃),且歷時約10秒至約60秒之間。接著以攻擊未反應的金屬層210但不攻擊矽化物層214的選擇性蝕刻製程,移除未反應的金屬層210。由於底部237的金屬層210其厚度可能大於側壁239、第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296的表面上的金屬層210其厚度,在一些例子中的選擇性蝕刻製程(比如自第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296的表面上移除未反應的金屬層210)之後,未反應的金屬層210(如鈦與氮化鈦堆疊中的氮化鈦層)的部份仍可保留於矽化物層214上。保留於矽化物層214上的金屬層210具有一些優勢,因為其可作為阻障層以避免後續製程氧化矽化物層214。如第9與10圖所示的例子,矽化物層214具有金屬層210保留其上。
選擇性蝕刻製程可為任何合適的濕蝕刻或乾蝕刻 製程。合適的濕蝕刻製程可採用去離子水、氫氟酸為主的蝕刻化學劑、過氧化氫、氯化氫、或上述之組合,以選擇性地移除未反應的金屬層210。合適的乾蝕刻製程可採用含氧氣體(如氧)與氟為主或碳氟或主的蝕刻化學劑之混合物,以選擇性地移除未反應的金屬層210。第8A與8B圖亦顯示自第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296的露出表面移除金屬層210。
由於源極/汲極接點開口232的高深寬比(大於或等於約3:1),因此矽化物層214會明顯地形成於U型溝槽231之底部237,而最小化地或不形成於側壁239的上側部份。金屬層210在側壁239的上側部份之覆蓋率不良,可能造成退火之後只有少量或沒有矽化物形成於側壁239的上側部份。換言之,矽化物層214並未覆蓋側壁239的上側部份,且在矽化製程後經由源極/汲極接點開口232露出源極/汲極區292的部份,如第9與10圖所示。此例所述的用語「露出的源極/汲極區」或「源極/汲極區的露出表面」通常指的是源極/汲極接點開口232露出且矽化物層214未覆蓋的源極/汲極區292其表面區,或者源極/汲極接點開口232露出且矽化物層214未物理接觸或最小化地物理接觸的源極/汲極區292其表面區。
在側壁的上側部份之露出的源極/汲極區292可能具有問題,因為後續填入源極/汲極接點開口232中的接點金屬可能擴散穿過後續沉積的金屬阻障層(在矽化製程之後,形成於接點金屬與源極/汲極區292之間),並與露出的源極/汲極區292反應。如此一來,不想要的金屬矽化物可能形成於側壁239 的上側部份及/或靠近側壁239的上側部份,這將導致裝置的可信度問題。雖然較厚的金屬阻障層(比如大於或等於約2nm)可用以避免接點金屬擴散並與露出的源極/汲極區292反應,但這會使後續的接點金屬具有不良的的填隙能力。下述的多種實施例包含表面處理,其包含將源極/汲極區292的表面層轉變成阻障層。表面處理形成有效金屬擴散阻障層於源極/汲極區的露出表面,而不犧牲接點金屬的填隙能力。
用於源極/汲極區的自對準擴散阻障層。
步驟114對露出的源極/汲極區292進行表面處理211,以形成擴散阻障層213於露出的源極/汲極區292的表面,如第8A圖所示。擴散阻障層213避免後續填入源極/汲極接點開口232中的接點金屬,經由矽化物層214未覆蓋的側壁239其上側部份擴散至下方的源極/汲極區292並與其反應。在多種實施例中,表面處理211為氮化製程。氮化製程可包括將露出的源極/汲極區292暴露至含氮電漿或含氮環境,使氮原子與位於源極/汲極區292之露出表面的原子反應,形成氮化物層或氮化物區於源極/汲極區292的上側部份。因此氮化製程形成自對準的側壁組障層於源極/汲極區292的露出表面。氮化製程可額外或改為包含將露出的源極/汲極區292的表面暴露至氮分子或原子態的氮自由基與離子,以將氮佈植至露出的源極/汲極區292的表面中,因此源極/汲極區292的上側部份其表面或區域與氮反應形成氮化的源極/汲極區(如佈植製程的結果)。
第9與10圖係實施例中,第8A圖之源極/汲極區在表面處理之後的部份放大圖。第9與10圖顯示氮化後的源極/汲 極區292其露出區域或表面層。特別的是,氮化反應發生在矽化物層214未覆蓋之露出的源極/汲極區292中。露出的源極/汲極區292之氮化反應的深度,可依應用與進行的表面處理變化。第9圖係一實施例中,在U形溝槽231之側壁239其上側部份,氮擴散至源極/汲極區292的露出表面中,使源極/汲極區292其至少表面層轉變為表面氮化物層215。在多種實施例中,表面氮化物層215其厚度(自側壁239的表面算起)介於約0.1nm至約5nm之間,比如約0.5nm至約1.8nm之間,例如約0.8nm至約1.5nm之間。第10圖顯示另一實施例,在完成表面處理後,氮擴散穿過源極/汲極區292的露出表面,並將源極/汲極區292的整個上側部份轉變為氮化物區217。第10圖亦顯示氮可延伸穿過源極/汲極區292的整個上側部份(比如自側壁239的表面延伸至第8A圖所示之源極/汲極區292與閘極間隔物286之間的界面),並向下傳遞至低於矽化物層214的頂部。
氮化物區271可具有自氮化物區217的上表面(可與源極/汲極區292的頂部共平面)至氮化區的底部之尺寸G1。源極/汲極區292可具有自源極/汲極區292的頂部至源極/汲極區292的底部之尺寸G2。在多種實施例中,尺寸G1與尺寸G2之間的比例可介於約1:3至約1:20之間,比如約1:5至約1:8之間,例如約1:6至約1:7之間。上述比例變化可取決於氮化製程所用的參數以及源極/汲極區292的尺寸。保留於矽化物層214上的金屬層210可具有自金屬層210的頂部至金屬層210的底部之尺寸G3。矽化物層214可具有自矽化物層214的頂部至矽化物層214的底部之尺寸G4。在多種實施例中,尺寸G3與尺寸G4之間的 比例可介於約1:2至約1:6之間,比如約1:3至1:5之間,例如約1:4。側壁239可具有自側壁239的頂部(其可與源極/汲極區292共平面)至側壁239的底部(其可與矽化物層214的底部共平面)之間的尺寸G5。在多種實施例中,尺寸G1與尺寸G5之間的比例可介於約1:2至約1:10之間,比如約1:4至約1:8之間,例如約1:5至1:6之間。在一些例子中,側壁239不必延伸至矽化物層214的所有深度。尺寸G4與尺寸G5之間的比例可介於約1:1至約8:1之間,比如約2:1至約6:1之間,例如約3:1至約5:1之間。在氮化物區(如表面氮化物層215及/或氮化物區217)中,氮原子密度可介於1×1021cm-3至3×1021cm-3之間,而氮原子%可介於0%至60%之間。
氮化表面層或源極/汲極區的整個上側部份具有一些優勢,因為可形成有效金屬擴散阻障層於露出的表面之中及/或露出的源極/汲極區292之中,而不需成長額外阻障層以用於阻擋接點金屬擴散。因此可減少源極/汲極接點開口232中的阻障層總厚度,進而提供更多空間給後續形成的接點金屬。如此一來,可增加接點金屬填隙製程的容忍度。
氮化製程可為電漿氮化製程,其採用電容耦合電漿或感應耦合電漿。可在半導體基板270所在的製程腔室中原位產生氮電漿,或者在遠端電漿腔室中形成氮電漿,之後再使氮電漿流入半導體基板270所在的製程腔室中。露出的源極/汲極區292可暴露至射頻電漿,且射頻電漿可由製程氣體形成。製程氣體由下述物質組成,或基本上由下述物質組成,或包括:含氮氣體如氮氣、氨、一氧化氮、一氧化二氮、氮與氫的 組合氣體、及/或上述之任何混合物。製程腔室的壓力可維持於約1mTorr至約20Torr之間,比如約10mTorr至約10Torr之間,比如約60mTorr至約1Torr之間。可視情況將鈍氣如氬、氦、或氖氣加入製程氣體。在一例中,製程氣體包含氮與氬。在另一例中,製程氣體包含氨與氬。在又一實施例中,製程氣體包括氮與氦。在一些實施例中,含氮氣體以第一體積流速流入製程腔室中,鈍氣以第二體積流速流入製程腔室中,且第一體積流速與第二體積流速之間的比例可控制於約1:2至約1:10之間,比如約1:3至1:8之間,例如約1:4至約1:6之間。對300mm的基板而言,含氮氣體的流速可介於約50sccm至約6000sccm之間,比如約200sccm至約2000sccm之間,例如約600sccm至約1000sccm之間。若採用鈍氣,則鈍氣的流速可介於約25sccm至約12000sccm之間,比如約400sccm至約8000sccm之間,例如約800sccm至約5000sccm之間。依據應用與製程腔室的設置,可採用其他氣體組成及/或流速。半導體基板270的溫度可維持於約20℃至約600℃之間,比如約50℃至約450℃之間,例如約80℃至約200℃之間。在將製程氣體導入製程腔室之後,可將射頻源耦接至製程氣體以形成電漿。射頻源功率可介於約20W至約5000W之間,比如約50W至約1000W之間,例如約100W至約300W之間。射頻源功率可具有任何合適的射頻頻率,比如介於約2MHz至約60MHz之間,例如約13.56MHz。電漿可脈衝地或連續地施加,且高達約1200W的有效功率。舉例來說,可連續施加高達約400W的電漿,其歷時約10秒至約300秒之間,比如約20秒至約120秒之間,例如約40秒至約90秒之間。可調整 射頻源功率的時間,以控制擴散或併入源極/汲極區其露出表面的氮量。在採用脈衝電漿的例子中,電漿的脈衝頻率可介於約2kHz至約20kHz之間,比如約4kHz至約15kHz之間。電漿在高達3000W的峰值功率下,其占空比可介於約2%至約50%之間,比如約6%至約30%之間,例如約20%。類似地,可調整占空比及/或射頻源功率,以控制氮擴散或併入源極/汲極區292的露出表面中。在一些例子中,脈衝電漿在高達2000W的峰值功率下,其占空比可介於約5%至20%之間。
在電漿氮化製程時,可不對半導體基板270施加偏壓。在此例中,可由電漿電位加速離子化的氮物種,接著將其佈植或合併到源極/汲極區292的露出表面中。可額外地或改為施加偏壓至半導體基板270,以進一步加速來自電漿的離子,使其較深地佈植或合併至源極/汲極區292的露出表面中。偏壓亦有助於氮離子與第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296的介電材料之間的反應最小化。直流電流或射頻偏壓均可用於提供偏壓至半導體基板270。偏壓可介於約10W至約500W之間,比如約50W至約300W之間,例如約100W至約250W;而頻率可介於約10MHz至約30MHz,例如約13.56MHz。在一些例子中,可自電漿過濾或移除氮離子,因此只有含氮自由基如N、NH、或NH2導向源極/汲極區292的露出表面。
在任何情況下,電漿產生的含氮自由基及/或氮離子可併入露出的源極/汲極區292,以將源極/汲極區的表面或至少上側部份轉變為氮化的源極/汲極區,比如第9與10圖所示的 表面氮化物層215或氮化物區217。在露出的源極/汲極區292包含矽鍺的例子中,氮化製程可將矽鍺的至少部份轉變為氮化的矽鍺。
上述內容為電漿氮化製程,但氮化製程可為任何其他合適技術如熱氮化製程、離子佈植製程、或可產生氮物種或自由基的任何合適製程。舉例來說,一些實施例進行熱氮化,而半導體裝置240可位於具有氮環境之熱製程腔室中。熱製程腔室可為爐或快速熱製程腔室。氮環境的形成方法可為提供製程氣體,其可由下列物質組成、基本上由下列物質組成、或包括含氮氣體如氮、氨、一氧化氮、一氧化二氮、氮與氫的組合氣體、及/或上述之任何混合物。熱製程腔室中的溫度可維持於約650℃至約1200℃之間,比如約750℃至約1000℃之間。在一例中,露出的源極/汲極區292包含矽鍺,而熱氮化可將矽鍺的至少部份轉換成氮化矽鍺。
又一實施例進行離子佈植製程,可將氮離子佈植至源極/汲極區292的露出表面中,以形成氮化物層如氮化矽鍺層於露出的源極/汲極區292其表面。在離子佈植製程中,製程氣體可由下述物質組成、基本上由下述物質組成、或包括含氮氣體,比如氮、氨、一氧化氮、一氧化二氮、氮與氫的組合氣體、及/或上述之任何混合物,其可形成氮離子以提供至半導體基板270位於其中的製程腔室。接著能量化製程氣體以形成氮離子,並將氮離子佈植至露出的源極/汲極區292中。佈植氮離子的離子佈植能量可介於約5eV至約650eV之間,比如約20eV至約250eV之間,例如約50eV至約150eV之間。
步驟116視情況順應性地沉積阻障層219於矽化物層214上的源極/汲極接點開口232中、擴散阻障層213(比如第9與10圖所示的表面氮化物層215與氮化物區217)的露出表面上、以及第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296上,如第11A與11B圖所示。阻障層219的厚度可為約2nm或更小,比如約1.9nm或更小,或比如約1.6nm。在一些實施例中,阻障層219的厚度介於約1.30nm至約1.87nm之間。阻障層219可為或包含氮化鈦、氧化鈦、氮化鉭、氧化鉭、任何合適的過渡金屬氮化物或氧化物、類似物、或上述之任何組合,且其沉積方法可為原子層沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、低壓化學氣相沉積、物理氣相沉積、或任何合適的沉積技術。在一例子中,阻障層為氮化鈦,且其沉積方法為原子層沉積。
由於擴散阻障層213(如第9與10圖所示的表面氮化物層215或氮化物區217)形成於露出的源極/汲極區292之中及/或表面區中,阻障層219的厚度可小於習知阻障層(形成於露出的源極/汲極區292上而無擴散阻障層213形成其間)的厚度。舉例來說,阻障層219的厚度可比習知的阻障層厚度縮小約18%至約23%,比如縮小約20%。阻障層219與擴散阻障層213一起形成於源極/汲極區292的露出表面,可提供有效阻障於源極/汲極區292的上側部份(比如U形溝槽231的側壁239其上側部份),以避免後續填入源極/汲極接點開口232中的接點金屬擴散穿過阻障層219,並與下方的源極/汲極區292反應形成任何不想要的金屬矽化物。不想要的金屬矽化物將造成裝置的可信度 問題。當阻障層的總厚度保持在低於2nm時,阻障層219與擴散阻障層213可具有良好的阻障特性,其可確保良好的填隙能力以用於後續沉積的金屬接點。此外,擴散阻障層213(如第9圖所示的表面氮化物層215或氮化物區217)包含氮,可確保後續沉積於源極/汲極區292的氮化物表面上的層狀物具有優異的順應性,使後續沉積於源極/汲極接點開口232中的層狀物其填隙能力的影響最小化。在後續形成的阻障層219其沉積方法為原子層沉積時,含氮的擴散阻障層213可促進其與原子層沉積的一或多個前驅物之間的反應,以形成阻障層219如過渡金屬氮化物(例如氮化鈦),以縮短擴散阻障層213上的阻障層219其完成時間。
步驟118可沉積導電材料221(如接點金屬)於阻障層219(若形成)上,並填入源極/汲極接點開口232。導電材料221可為或包含鈷、鎢、銅、釕、鋁、金、銀、上述之合金、類似物、或上述之組合,且其沉積方法可為化學氣相沉積、原子層沉積、物理氣相沉積、電化學鍍製、或任何合適的沉積技術。舉例來說,在沉積導電材料221之後,可採用平坦化製程如化學機械研磨移除多餘的導電材料221與阻障層219。平坦化製程可移除高於第一層間介電層297其上表面的多餘導電材料221與阻障層219。因此導電材料221、阻障層219、與第一層間介電層297的上表面可共平面。
第13圖係一些實施例中,第8A圖的剖視圖之一部份,以進一步說明額外細節。源極/汲極接點開口232穿過第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296 以達源極/汲極區292。源極/汲極接點開口232在第二層間介電層230的上表面之平面中,以及在自一置換閘極結構垂直延伸至相鄰的置換閘極結構的方向上具有第一尺寸D1。源極/汲極接點開口232亦具有自第二層間介電層230之上表面的平面至源極/汲極區292的上表面之第二尺寸D2。源極/汲極接點開口232在沿著源極/汲極區292的上表面,以及在自一置換閘極結構垂直延伸至相鄰的置換閘極結構的方向上具有第三尺寸D3。第一尺寸可介於約10nm至約30nm之間,第二尺寸D2可介於約30nm至約100nm之間,而第三尺寸D3可介於約8nm至約30nm之間。第二尺寸D3與第一尺寸D1之間的比例(如深寬比)可大於2,比如介於約3至約10之間。第二尺寸D2與第三尺寸D3之間的比例可介於約2至約10之間。
矽化物層214沿著源極/汲極區292的上表面橫向地延伸,且在自一置換閘極結構垂直地延伸至相鄰的置換閘極結構的方向上具有第四尺寸D4。矽化物層214具有自矽化物層214的上表面延伸至矽化物層214的下表面之第五尺寸D5。擴散阻障層213具有自源極/汲極區292的上表面至矽化物層214的上表面之第六尺寸D6。擴散阻障層213具有自源極/汲極區292的側壁表面延伸至源極/汲極區292中的第七尺寸D7。源極/汲極區292具有自源極/汲極區292的上表面至源極/汲極區292的底部之第八尺寸D8。第四尺寸D4可介於約8nm至約40nm之間,第五尺寸D5可介於約3nm至約20nm之間,第六尺寸D6可介於約0.5nm至約10nm之間,第七尺寸D7可介於約0.1nm至約5nm之間(比如約0.2nm至約3nm之間,例如約1nm),第八尺寸D8可 介於約20nm至約70nm之間,且第九尺寸D9可介於約1nm至約6nm之間(比如約1nm至約4nm之間,例如3nm)。第四尺寸D4與第三尺寸D3之間的比例可大於1,比如介於約1至約1.3之間。第四尺寸D4與第五尺寸D5之間的比例可大於1,比如介於約1至約5之間。第六尺寸D6與第七尺寸D7之間的比例可大於1,比如介於約1至3之間。第四尺寸D4與第七尺寸D7之間的比例可大於3,比如介於約5至20之間,例如約8至12之間。第六尺寸D6與第八尺寸D8之間的比例,可小於約0.8,比如介於約0.1至約0.6之間,例如約0.3至約0.5之間。第九尺寸D9與第七尺寸D7之間的比例可大於1,比如介於約2至15之間,例如約12。在一些例子中,第七尺寸D7可橫向地延伸至源極/汲極區292中,以達源極/汲極區292的邊緣(比如源極/汲極區292與閘極間隔物286之間的界面)。
保留於矽化物層上的金屬層210可具有自金屬層210之頂部到金屬層210之底部的第九尺寸D9。在一些例子中,第九尺寸D9與第五尺寸D5之間的比例可介於約1:2至約1:6之間,比如介於約1:3至約1:5之間,例如約1:4。
依據流程圖100製作半導體裝置240,可進行後續製程以形成多種結構與區域。舉例來說,後續製程可形成多種接點/通孔/線路與內連線結構的多層(如金屬層與層間介電層或金屬間介電層)於包含半導體裝置240的基板270上,其設置以連接多種結構以形成功能電路。功能電路可包含一或多個裝置如一或多個半導體裝置240。多種內連線結構可採用多種導電材料,其包含銅、鎢、及/或矽化物。在一例中,可採用鑲 嵌及/或雙鑲嵌製程,以形成銅相關的多層內連線結構。此外,可在流程圖100之前、之中、與之後實施額外製程步驟,且可依應用置換或省略一些上述步驟。
用於移除氧化物及鈍化氧化的金屬阻障層之電漿處理。
如前述之步驟112,選擇性的蝕刻製程可用於移除源極/汲極接點開口232中殘留的未反應金屬層210。然而源極/汲極接點開口232的高深寬比,因此金屬層210在底部237的厚度較大,且在側壁239、第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296之表面上的厚度較小。如此一來,一些例子在自側壁239、第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296之表面完全移除未反應的金屬層210之後,仍可保留未反應的金屬層210其一部份(比如鈦層與氮化鈦層堆疊中的氮化鈦層)於矽化物層214上。由於保留於矽化物層214上的未反應金屬層210可作為阻障層以避免氧化矽化物層214,在矽化製程與形成接點金屬的製程之間及/或之中的多種製程可能使阻障層大幅氧化。舉例來說,在採用雙層金屬層210(比如鈦層與氮化鈦層的堆疊)時,選擇性蝕刻製程可移除氮化鈦層的表面部份,並使氮化鈦層的底部(以及一些例子中下方的鈦層)保持完整。由於氮化鈦層暴露至選擇性蝕刻製程所用的蝕刻劑(在前述的步驟112中用以移除未反應的金屬層210),及/或暴露至再沉積阻障層219所用的前驅物(在前述的步驟116中阻障層219採用過渡金屬氧化物時),保留於矽化物層214上的氮化鈦層(特別是氮化鈦層的表面層)將大幅氧 化。矽化物層214上大幅氧化的阻障層,可能大幅增加矽化物層與接點金屬區之間的接點電阻。如此一來,可能劣化或危及裝置可信度。
下述的多種實施例提供有效且無損傷的方法,以自形成於矽化物層上的阻障層移除氧化物。特別的是,阻障層可恢復成初始狀態,處理之後可再次使用。第14圖係多種實施例中,處理半導體裝置(如半導體裝置240)的例示性方法其流程圖1400。第15與16圖係一些實施例中,依據第14圖之流程圖製作之半導體裝置240於多種階段的部份剖視圖(沿著通道方向)。
流程圖1400一開始的步驟1402提供半導體裝置(如半導體裝置240)到製程腔室(如化學氣相沉積腔室、電漿增強化學氣相沉積腔室、或任何合適的電漿腔室)中。第15圖係形成矽化物(步驟112)與表面處理(步驟114)之間的中間階段內的半導體裝置240。第15圖所示的半導體裝置240與第8A圖所示的半導體裝置240實質上一樣,差別在矽化物層214具有大幅氧化的阻障層1502形成其上。如上所述,由於金屬層210暴露至選擇性蝕刻製程(步驟112)及/或再沉積阻障層219(步驟116)所用的蝕刻劑與前驅物,因此可大幅氧化阻障層1502。如前所述,金屬層210可為雙層,其中第一層可為或可包括鈦、鉭、或類似物,而第二層可為或可包括氮化鈦、氧化鈦、氮化鉭、氧化鉭、或類似物。在第15圖所示的例子中,大幅氧化的阻障層1502包含鈦的第一層1504與氮化鈦的第二層。在一些例子中,第一層1504不存在於矽化物層214於第二層1506之間。舉例來說,矽化製程可消耗源極/汲極區292的所有第一層1504,以形成矽化 物層214。第15圖中的插圖1510為局部放大圖,其顯示鈦的第一層1504位於矽化物層214與氮化鈦的第二層1506之間,其中氮化鈦的第二層1506具有氧化表面層1508。氧化表面層1508的形成方法為大幅氧化第二層1506。雖然此處以鈦進行說明,但此概念可等效應用於任何金屬或介電材料。
步驟1404將半導體裝置240置入製程腔室中,以進行預清潔製程1512。預清潔製程1512可包含採用第一電漿處理對金屬阻障氧化物進行還原製程,接著採用第二電漿處理對還原的金屬阻障層進行鈍化製程,以避免金屬阻障層進一步氧化。在還原製程中,可將還原劑導入真空腔室,並將頻率功率耦接至還原劑以起始電漿。電漿可激發還原劑至能量化的離子狀態。能量化的離子可與金屬氧化物進行化學反應,以自氧化表面層1508移除氧,並還原金屬氧化物為金屬。
在多種實施例中,還原劑可為氫原子(如氫分子解離而成的氫原子)、氫自由基、及/或能量激發的氫之中性物種,其可由原位的含氫氣體所產生,或由遠端電漿反應中的含氫氣體所產生。遠端電漿反應器與半導體裝置240置於其中的製程腔室分開。合適的含氫氣體可包括氫、氨、聯胺、或上述之任何組合。
當第二層1506為氮化鈦時,氧化表面層1508可具有Ti-O-N鍵結/或Ti-O鍵結於晶格的表面部份。在第一電漿處理時,來自還原劑的氫之能量化的離子、自由基、及/或中性物種,可破壞氧化表面層1508其表面部份中的Ti-O-N鍵結及/或Ti-O鍵結,以與氧化表面層1508進行化學反應。自氧化表面層 1508離去的氧可與氫反應,產生副產物如水並在晶格中留下氧空缺。第一電漿處理可移除氧,而不損傷阻障層1502其表面部份的晶格。如此一來,可保留阻障層的晶格與厚度。
在減少氧化表面層1508之後,可對半導體裝置240進行第二電漿處理(如氮電漿),以將氮併入自晶格移除氧所產生的氧空缺。氮與鈦鍵結以形成氮化鈦於阻障層1502的表面中。如此一來,阻障層1502的表面部份可恢復至其初始狀態(如氮化鈦)。氮電漿亦以氮鈍化阻障層1502的表面,以避免在後續製程中再氧化阻障層1502。氮電漿可由原位的含氮氣體形成,或由遠端電漿反應器中的含氮氣體形成。遠端電漿反應器與半導體裝置240位於其中的製程腔室分開。合適的含氮氣體可包含氮、氨、或上述之組合。
除了採用兩種不同的電漿製程,一些實施例中的預清潔製程1512為單一電漿處理,其可採用含氫與氮的反應劑以進行化學還原,並在預清潔製程中鈍化氧化表面層1508。在此例中,反應劑可為上述含氫氣體及/或含氮氣體的一或多者之氣體或氣體混合物。在一些實施例中,反應劑為氨。在一些實施例中,反應劑為氫與氮。在一些實施例中,反應劑為氫與氨。在一些實施例中,反應劑為氮與氨。在一些實施例中,反應劑為氫。在一些實施例中,反應劑為氮。
在任何情況下,可不施加偏壓至半導體基板270。此例可由電漿電位加速離子化的氫及/或氮物種,接著在預清潔製程1512中將離子化的氫及/或氮物種併入氧化表面層1508中。偏壓可額外地或改為施加至半導體基板270,以進一步加 速來自電漿的離子,並將離子更深地佈植或併入氧化表面層1508中。偏壓亦有助於氫及/或氮離子與第二層間介電層230、第一層間介電層297、與接點蝕刻停止層296的介電材料之間的反應最小化。直流電流或射頻偏壓均可用於提供偏壓至半導體基板270。若需要的話,可在一或多個處理循環中進行預清潔製程,並在循環之間清除預清潔製程。
在至少一些實施例中,採用氫與氮還原氧化表面層1508(如氧化鈦)時,例示性製程參數包含基板溫度與腔室壓力。基板溫度可維持在介於約室溫至約450℃之間,比如約150℃至約350℃之間,例如約200℃。而腔室壓力可維持在介於約1mTorr至約10Torr之間,比如約1.5mTorr至約10mTorr之間,例如約2mTorr至約5mTorr之間。產生電漿的方法可為自雙頻射頻功率源施加功率,其中第一射頻功率的頻率介於約1MHz至約60MHz之間,例如約13.56MHz;而功率介於約200W至約1000W之間,比如約600W至約950W之間,例如約900W。第二射頻功率的頻率介於約10kHz至約20MHz之間,例如約100kHz至約500kHz之間;而功率介於約1W至約200W之間,例如約150W。電漿的功率密度可介於約1W/cm2至約10W/cm2之間,比如約2W/cm2至約8W/cm2之間,例如約4W/cm2至約6W/cm2之間。提供的偏壓可介於約10W至約500W之間,比如約50W至約300W之間,例如約100W至約250W之間,且其頻率可介於約10MHz至約30MHz之間,例如約13.56MHz。電極之間的空間(如基板與噴灑頭之間的距離)可介於約200密耳(mil)至約1000密耳之間,例如約280密耳至約300密耳之間。提供至製程腔室中的氫 氣其第一流速可介於約100sccm至約12000sccm之間,而提供至製程腔室中的氮氣其第二流速可介於約100sccm至約8000sccm之間。第一流速與第二流速之間的比例,可控制到介於約1:1至約6:1之間,比如約2.5:1至約5:1之間,例如約3:1至約4:1之間。此外,承載氣體可結合上述的製程參數,以助穩定氣流與電漿反應。承載氣體如氦、氬、或氮的流速可介於約0sccm至2000scmm之間。預清潔製程可歷時約25秒至約180秒之間,比如約50秒至約80秒之間,例如約60秒至約70秒之間。可以預期的是這些參數可依應用、製程腔室的設置、與所欲處理的材料調整。
不論預清潔製程1512為單一步驟或雙重步驟的電漿處理,均可還原、處理、或改質氧化表面層1508。在預清潔製程1512之後,氧化表面層1508可恢復為初始狀態(如氮化鈦)。處理後的阻障層1502在其表面中具有最小化的氧含量。舉例來說,經由X光光電子光譜技術量測預清潔製程處理後的阻障層表面(比如第16圖所示的阻障層1514其鈍化表面1509),可發現其氧濃度降低至6%或更低(比如3%或更低)。舉例來說,在預清潔製程採用氫與氮作為反應劑時,表面氧濃度可降低至2.42%。在預清潔製程採用氨作為反應劑時,表面氧濃度可降低至2.48%。當預清潔製程採用氫作為反應劑時,表面氧濃度可降低至5.76%。當預清潔製程採用氮作為反應劑時,表面氧濃度可降低至3.45%。在任何情況下,均可降低矽化物層與接點金屬的界面區之接點電阻,並改善裝置的可信度。
流程圖1400所述的製程可合併至流程圖100,並可 依任何所需的順序或結合進行。舉例來說,流程1400所述的製程可在步驟112與步驟114之間進行。在一些實施例中,可省略或排除步驟114所述的表面處理。因此在步驟112之後,可進行流程1400所述的製程,接著進行步驟步驟116。第16圖顯示半導體裝置240的一例,其依序形成阻障層與導電材料(如阻障層219與導電材料221)於處理的阻障層1514(比如氮化鈦層或鈦層與氮化鈦層的堆疊)上。第16圖中的插圖1516為局部放大圖,即鈦的第一層1504位於矽化物層214與氮化鈦的第二層1506之間,並對氮化鈦的第二層1506進行流程1400所述的預清潔製程。在此例中,不提供擴散阻障層(比如步驟114所述的擴散阻障層213)於露出的源極/汲極區292其表面。因此第二層1506(如還原的阻障層)可具有處理或鈍化的表面1509。舉例來說,雖然第16圖顯示第一層1504,一些例子在矽化物層214與第二層1506之間可不存在第一層1504,即矽化製程可消耗源極/汲極區292的第一層1504以形成矽化物層214。
此處所述的多種實施例可提供多種優點。應理解的是,此處不需說明所有優點,任何實施例不需具有特定優點,且其他實施例可提供不同優點。舉例來說,此處所述的實施例包含的方法與結構關於表面處理製程,其氮化露出的源極/汲極區以形成有效金屬擴散阻障層於露出的源極/汲極區之表面,並形成阻障層於氮化區上。上述製程可避免後續填入源極/汲極接點開口中的接點金屬擴散穿過阻障層,並與下方的源極/汲極區反應形成不想要的金屬矽化物。不想要的金屬矽化物可能造成裝置的可信度問題。阻障層與金屬擴散阻障層在維 持阻障層的總厚度至小於2nm時,仍保證優良的阻障特性,其可確保後續沉積的金屬接點具有優良的填隙能力。
在另一例中,此處所述的實施例其方法為預清潔製程,其包含採用第一電漿處理對金屬阻障氧化物進行還原製程,接著採用第二電漿處理對還原的金屬阻障層進行鈍化製程,以避免金屬阻障層進一步氧化。預清潔製程可自金屬阻障氧化物移除氧,而不會損傷金屬阻障層其表面部份的晶格。如此一來,可維持阻障層的厚度。特別的是,可降低矽化物與後續沉積的接點金屬之間的界面其接點電阻。較少氧化且純化的界面亦可增進矽化物與接點的黏著力以提高可信度。
在一實施例中,提供半導體結構。半導體結構包括主動區於基板上,主動區包括源極/汲極區,源極/汲極區具有側壁與自源極/汲極區的側壁橫向延伸的橫向表面,源極/汲極區更包含自源極/汲極區的側壁橫向延伸至源極/汲極區中的氮化區;介電層,位於主動區上並具有對準源極/汲極區的該側壁之側壁;以及導電結構,沿著介電層的側壁至源極/汲極區,導電結構包含矽化物區,且矽化物區沿著源極/汲極區的橫向表面並沿著源極/汲極區的側壁的至少一部份。
在一些實施例中,上述半導體結構的導電結構更包括阻障層形成於矽化物區上,其中阻障層為過渡金屬氮化物或過渡金屬氧化物。
在一些實施例中,上述半導體結構的導電結構更包括導電材料形成於阻障層上,且阻障層物理接觸導電材料。
在一些實施例中,上述半導體結構的氮化區具有 第一厚度,阻障層具有第二厚度,且第一厚度與第二厚度之間的比例介於1:2至1:15之間。
在一些實施例中,上述半導體結構的氮化區具有自源極/汲極區的上表面至矽化物區的上表面之第一尺寸,而源極/汲極區具有自源極/汲極區的上表面至源極/汲極區的下表面之第二尺寸,且第一尺寸與第二尺寸之間的比例介於0.1至0.6之間。
在另一實施例中,提供的方法包括形成源極/汲極區於基板上的主動區中,形成介電層於主動區上,形成開口穿過介電層,且開口延伸至源極/汲極區中以形成溝槽於源極/汲極區中,且下表面與側壁至少部份地定義溝槽,形成矽化物區於溝槽的下表面,經由溝槽的側壁氮化源極/汲極區的至少部份,以及將導電材料填入開口。
在一些實施例中,上述方法經由溝槽的側壁氮化源極/汲極區的至少部份之步驟,係將矽化物區未覆蓋的側壁暴露至來自電漿的氮離子。
在一些實施例中,上述方法經由溝槽的側壁氮化源極/汲極區的至少部份之步驟,係將矽化物未覆蓋的側壁暴露至自電漿產生的含氮自由基。
在一些實施例中,上述方法在將導電材料填入開口之前,更包括形成順應性的阻障層於開口中,且順應性的阻障層覆蓋源極/汲極區的氮化部份。
在一些實施例中,上述方法在經由溝槽的側壁氮化源極/汲極區的至少部份時,更包括施加偏壓至基板。
在一些實施例中,上述方法經由溝槽的側壁氮化源極/汲極區的至少部份之步驟,係採用離子佈植將氮佈植至矽化物區未覆蓋的溝槽側壁中。
在另一實施例中,提供的方法包括形成源極/汲極區於基板上的主動區中,使形成於源極/汲極區的表面上的金屬阻障層與源極/汲極區反應形成金屬矽化物於源極/汲極區的表面上,金屬阻障層具有金屬氧化物於金屬阻障層的表面上,以及對金屬阻障層進行預清潔製程,且預清潔製程包括對金屬氧化物進行還原製程以及對還原的金屬阻障層進行鈍化製程。
在一些實施例中,上述方法的金屬阻障層包括氮化鈦,而金屬氧化物形成於氮化鈦上。
在一些實施例中,上述方法的還原製程包括將金屬氧化物暴露至包括含氫氣體與含氮氣體的電漿。
在一些實施例中,上述方法的含氫氣體以第一流速導入製程腔室,含氮氣體以第二流速導入製程腔室,而第一流速與第二流速之間的比例介於約1:1至約6:1之間。
在一些實施例中,上述方法的鈍化製程包括將氮併入還原的金屬阻障層中。
在一些實施例中,上述方法的還原製程包括將金屬氧化物暴露至氫自由基或氫的中性物種。
在一些實施例中,上述方法的氫自由基或氫的中性物種,係由包括氨的氣體或包括氫與氮的氣體所形成。
在一些實施例中,上述方法在預清潔製程時更包括施加偏壓至基板。
在又一實施例中,提供的半導體結構包括主動區於基板上,且主動區包括源極/汲極區;介電層位於主動區上;以及導電結構穿過介電層以達源極/汲極區,且導電結構包括矽化物區於源極/汲極區的表面;第一阻障層,形成於矽化物區上,且第一阻障層包括過渡金屬氮化物層於矽化物區上;第二阻障層,位於第一阻障層上,其中第一阻障層與第二阻障層之間的界面其表面氧濃度小於或等於3%;以及導電材料,位於第二阻障層上並接觸第二阻障層。
在一些實施例中,上述半導體結構的過渡金屬氮化物層為氮化鈦或氮化鉭。
在一些實施例中,上述半導體結構的第二阻障層為氮化鈦、氮化鉭、或上述之組合。
在一些實施例中,上述半導體結構的第一阻障層更包括第二過渡金屬層於矽化物區上,且第二過渡金屬層位於矽化物區與過渡金屬氮化物層之間。
在一些實施例中,上述半導體結構的第二過渡金屬層為鈦或鉭。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之申請專利範圍的精神與範疇的前提下進行改變、替換、或更動。
211:表面處理
213:擴散阻障層
214:矽化物層
226:閘極
230:第二層間介電層
232:源極/汲極接點開口
239:側壁
240:半導體裝置
270:半導體基板
274:鰭狀物
286:閘極間隔物
292:源極/汲極區
296:接點蝕刻停止層
297:第一層間介電層

Claims (18)

  1. 一種半導體結構的形成方法,包括:形成一源極/汲極區於一基板上的一主動區中;形成一介電層於該主動區上;形成一開口穿過該介電層,該開口延伸至該源極/汲極區中以形成一溝槽於該源極/汲極區中,且一下表面與一側壁至少部份地定義該溝槽;形成一矽化物區於該溝槽的該下表面;經由該溝槽的該側壁氮化該源極/汲極區的至少一部份;以及將該導電材料填入該開口。
  2. 如請求項1之半導體結構的形成方法,其中經由該溝槽的該側壁氮化該源極/汲極區的至少該部份之步驟,係將該矽化物區未覆蓋的該側壁暴露至來自一電漿的氮離子。
  3. 如請求項1或2之半導體結構的形成方法,其中經由該溝槽的該側壁氮化該源極/汲極區的至少該部份之步驟,係將該矽化物未覆蓋的該側壁暴露至自一電漿產生的含氮自由基。
  4. 一種半導體結構的形成方法,包括:形成一源極/汲極區於一基板上的一主動區中;使形成於該源極/汲極區的表面上的一金屬層與該源極/汲極區反應形成一金屬矽化物於該源極/汲極區的表面上,該金屬層具有一金屬氧化物於該金屬層的表面上; 移除該金屬層的未反應部分,其中該金屬層的保留部分保留於該金屬矽化物層上;對該金屬層的保留部分進行一預清潔製程,且該預清潔製程包括對該金屬氧化物進行一還原製程以及對還原的該金屬層進行一鈍化製程;以及對該金屬層的保留部分進行該預清潔製程之後,形成一阻障層於該金屬層的保留部分上。
  5. 如請求項4之半導體結構的形成方法,其中該金屬層包括氮化鈦,而該金屬氧化物形成於氮化鈦上。
  6. 如請求項4或5之半導體結構的形成方法,其中該還原製程包括將該金屬氧化物暴露至包括一含氫氣體與一含氮氣體的一電漿。
  7. 一種半導體結構的形成方法,包括:形成一源極/汲極區於一基板上的一主動區上;形成一介電層於該主動區上;形成一開口穿過該介電層,且該開口延伸至該源極/汲極區中以形成一溝槽於該源極/汲極區中;沿著該溝槽的側壁與底部形成一金屬層;沿著該溝槽的底部之該源極/汲極區的表面形成一矽化物區;移除沿著該溝槽的側壁之該金屬層的未處理部分,其中該金屬層的至少一未處理部分保留於該溝槽底部;經由該溝槽的側壁氮化該源極/汲極區的至少一部分; 對該金屬層的未反應部分進行一預清潔製程,該預清潔製程包括該金屬層的未反應部分上的金屬氧化物的一還原製程,以及還原的該金屬層的未反應部分的一鈍化製程;以及將一導電材料填入該開口。
  8. 如請求項7之半導體結構的形成方法,其中該還原製程包括暴露該金屬氧化物至包括一含氫氣體與一含氮氣體的一電漿。
  9. 如請求項8之半導體結構的形成方法,其中該鈍化製程包括將氮併入還原的該金屬層的未反應部分。
  10. 一種半導體結構,包括:一主動區,位於一基板上,該主動區包括一源極/汲極區,該源極/汲極區具有一側壁與自該源極/汲極區的該側壁橫向延伸的一橫向表面,該源極/汲極區更包含自該源極/汲極區的該側壁橫向延伸至該源極/汲極區中的一氮化區;一介電層,位於該主動區上並具有對準該源極/汲極區的該側壁之一側壁;以及一導電結構,沿著該介電層的該側壁至該源極/汲極區,該導電結構包含一矽化物區,且該矽化物區沿著該源極/汲極區的該橫向表面並沿著該源極/汲極區的該側壁的至少一部份。
  11. 如請求項10之半導體結構,其中該導電結構更包括: 一阻障層,形成於該矽化物區上,其中該阻障層包括一過渡金屬氮化物或過渡金屬氧化物。
  12. 如請求項11之半導體結構,其中該阻障層包括一第一子層與一第二子層位於該第一子層上,其中該第一子層包括過渡金屬,而該第二子層包括過渡金屬氮化物或過渡金屬氧化物。
  13. 一種半導體結構,包括:一主動區,位於一基板上,且該主動區包括一源極/汲極區;一介電層,位於該主動區上;以及一導電結構,穿過該介電層至該源極/汲極區,且該導電結構包括:一矽化物區,未於該源極/汲極區的表面;一第一阻障層,形成於該矽化物區上,且該第一阻障層包括過渡金屬的氮化物層於該矽化物區上;一第二阻障層,位於該第一阻障層上,其中該第一阻障層與該第二阻障層之間的界面的表面氧濃度小於或等於3%;以及一導電材料,位於該第二阻障層上並接觸該第二阻障層。
  14. 如請求項13之半導體結構,其中該過渡金屬的氮化物層為氮化鈦或氮化鉭。
  15. 如請求項13或14之半導體結構,其中該第二阻障層為氮化鈦、氮化鉭、或上述之組合。
  16. 一種半導體結構,包括:一半導體區,包括一第一半導體材料; 一矽化物區,位於該半導體區上且包括該第一半導體材料的矽化物;一金屬層,位於該矽化物區上且包括一第一金屬,其中該矽化物區包括該第一金屬的矽化物;一氮化層,沿著該半導體區的側壁,且該氮化層自該矽化物區延伸至該半導體區的上側表面;以及一導電結構,位於該金屬層上。
  17. 如請求項16之半導體結構,更包括一阻障層夾設於該導電結構與該金屬層之間。
  18. 如請求項17之半導體結構,其中該阻障層夾設於該導電結構與該氮化層之間。
TW107124088A 2018-03-01 2018-07-12 半導體結構與其形成方法 TWI779063B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/909,838 US10535748B2 (en) 2018-03-01 2018-03-01 Method of forming a contact with a silicide region
US15/909,838 2018-03-01

Publications (2)

Publication Number Publication Date
TW201937610A TW201937610A (zh) 2019-09-16
TWI779063B true TWI779063B (zh) 2022-10-01

Family

ID=67768709

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107124088A TWI779063B (zh) 2018-03-01 2018-07-12 半導體結構與其形成方法

Country Status (3)

Country Link
US (3) US10535748B2 (zh)
CN (1) CN110224018A (zh)
TW (1) TWI779063B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US20190326112A1 (en) * 2018-04-19 2019-10-24 Globalfoundries Inc. DEFECT FREE SILICON GERMANIUM (SiGe) EPITAXY GROWTH IN A LOW-K SPACER CAVITY AND METHOD FOR PRODUCING THE SAME
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US11158788B2 (en) * 2018-10-30 2021-10-26 International Business Machines Corporation Atomic layer deposition and physical vapor deposition bilayer for additive patterning
CN112289675A (zh) * 2019-07-22 2021-01-29 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法及半导体结构
US11232953B2 (en) * 2019-09-17 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102020100099A1 (de) 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gatestrukturen in halbleitervorrichtungen
US11756832B2 (en) * 2019-09-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structures in semiconductor devices
KR20210055139A (ko) 2019-11-06 2021-05-17 삼성전자주식회사 반도체 소자
DE102020128037A1 (de) * 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Stickstoffplasmabehandlung zur verbesserung der grenzfläche zwischen einer ätzstoppschicht und einem kupfer-interconnect
US11532548B2 (en) 2020-02-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Nitrogen plasma treatment for improving interface between etch stop layer and copper interconnect
US11437490B2 (en) * 2020-04-08 2022-09-06 Globalfoundries U.S. Inc. Methods of forming a replacement gate structure for a transistor device
US11257712B2 (en) 2020-05-13 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact formation methods and devices
US11742210B2 (en) * 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
CN113035868B (zh) * 2021-02-25 2022-05-31 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构
EP4187577A1 (en) * 2021-11-29 2023-05-31 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Method for plasma etching a layer based on a iii-n material
WO2024015181A1 (en) * 2022-07-13 2024-01-18 Applied Materials, Inc. Oxidation barriers with cvd soak processes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170103948A1 (en) * 2015-10-12 2017-04-13 Samsung Electronics Co., Ltd. Integrated circuit device and method of fabricating the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008117853A (ja) * 2006-11-01 2008-05-22 Toshiba Corp 半導体装置およびその製造方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9947753B2 (en) * 2015-05-15 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR102600998B1 (ko) * 2016-09-28 2023-11-13 삼성전자주식회사 반도체 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170103948A1 (en) * 2015-10-12 2017-04-13 Samsung Electronics Co., Ltd. Integrated circuit device and method of fabricating the same

Also Published As

Publication number Publication date
US20190273147A1 (en) 2019-09-05
US11411094B2 (en) 2022-08-09
CN110224018A (zh) 2019-09-10
TW201937610A (zh) 2019-09-16
US20220367667A1 (en) 2022-11-17
US20200152763A1 (en) 2020-05-14
US10535748B2 (en) 2020-01-14

Similar Documents

Publication Publication Date Title
TWI779063B (zh) 半導體結構與其形成方法
TWI682497B (zh) 半導體結構及半導體製程方法
KR102063235B1 (ko) 반도체 디바이스용 콘택 구조체
US11380542B2 (en) Selective capping processes and structures formed thereby
TWI724508B (zh) 半導體結構及其製造方法
US6664160B2 (en) Gate structure with high K dielectric
JP4867176B2 (ja) 半導体装置の製造方法
US8889505B2 (en) Method for manufacturing semiconductor device
KR102184593B1 (ko) 반도체 디바이스를 위한 게이트 구조물
JP2002094059A (ja) Mosfet素子の製造方法
TWI757165B (zh) 半導體元件與方法
US20230268223A1 (en) Semiconductor devices and methods of manufacture
US11942358B2 (en) Low thermal budget dielectric for semiconductor devices
JP2005191512A (ja) 半導体装置の製造方法
JP2008147355A (ja) 半導体装置および半導体装置の製造方法
TW202401602A (zh) 半導體裝置及其形成方法
JP2008219036A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent