TW201718934A - 氣體傳輸系統 - Google Patents

氣體傳輸系統 Download PDF

Info

Publication number
TW201718934A
TW201718934A TW105123525A TW105123525A TW201718934A TW 201718934 A TW201718934 A TW 201718934A TW 105123525 A TW105123525 A TW 105123525A TW 105123525 A TW105123525 A TW 105123525A TW 201718934 A TW201718934 A TW 201718934A
Authority
TW
Taiwan
Prior art keywords
gas
valve
outlet
fluid communication
manifold
Prior art date
Application number
TW105123525A
Other languages
English (en)
Other versions
TWI717374B (zh
Inventor
約翰 朱爾瑞
啟惠 木村
詹姆斯 亞當斯
山口葉子
湯尼 撒姆拉客
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201718934A publication Critical patent/TW201718934A/zh
Application granted granted Critical
Publication of TWI717374B publication Critical patent/TWI717374B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Filling Or Discharging Of Gas Storage Vessels (AREA)

Abstract

用於基板處理系統的氣體傳輸系統包含第一歧管及第二歧管。氣體傳輸子系統選擇性地傳輸來自複數氣體源的複數氣體。氣體傳輸子系統傳輸第一氣體混合物至第一歧管、以及傳輸第二氣體混合物至第二歧管。氣體分流器包含與第二歧管之一出口流體連通的一入口、與第一歧管之一出口流體連通的一第一出口、以及一第二出口。氣體分流器將第二氣體混合物分流為輸出至第一出口之第一流速的第一部分、以及輸出至第二出口之第二流速的第二部分。基板處理系統之第一及第二區域係分別與氣體分流器之第一及第二出口流體連通。

Description

氣體傳輸系統
本揭露內容相關於氣體傳輸系統,且更特別地相關於基板處理系統的氣體傳輸系統。相關申請案之交互參考
本申請案主張2015年7月30日申請之美國臨時申請案第62/199,031號的權利,其係整體併入於此,以供參考。
本文提供的背景描述係針對概括性地呈現本揭露內容之脈絡的目的。目前所列名之發明人的工作成果(就本先前技術部分中所描述之範圍而言)、以及不可以其他方式視為申請時之先前技術的描述內容之實施態樣均不明示或暗示地被認為是相對本揭露內容的先前技術。
基板處理系統包含處理腔室及基座。例如半導體晶圓的基板在處理期間係設置於基座上。基板處理系統可用以在基板上沉積、蝕刻、或以其他方式處理膜層。
氣體傳輸系統係用以將氣體混合物傳輸至處理腔室中。氣體混合物包含一或更多製程氣體及/或前驅物氣體。在一製程或配方的不同步驟期間,將不同的氣體混合物供應至處理腔室。快速且準確、而無中間停機時間地供應不同氣體混合物會減少整體處理時間。
當前的氣體傳輸系統包含氣體傳輸子系統,其具有藉由質流控制器(MFC, mass flow controller)而連接至歧管的氣體源。歧管的出口係連接至基板處理發生所在的處理腔室。單獨的氣體供應部可供應氣體或氣體的混合物至處理腔室的第二區域。
現在參考圖1,氣體傳輸系統10包含連接至氣體傳輸子系統11的N個氣體源,該氣體傳輸子系統11包含複數氣體閥及複數質流控制器(MFC),其中N為大於1的整數。閥及MFC係用來供應來自該N個製程氣體源之一或更多者的氣體混合物。氣體傳輸子系統11的輸出被輸入至混合歧管12,氣體係在該混合歧管12處進行混合、以及被輸出至複數氣體出口的一或更多者。配閥歧管(valved manifold)13接收來自混合歧管12之複數氣體出口之一或更多者的氣體、並將氣體混合物輸出至處理腔室的第一區域。調節氣體源14經由閥15(以及,可選地經由MFC)供應調節氣體混合物至第二區域。
為容許改變輸送至處理腔室之第二區域的氣體的混合物,可與一第二歧管一併設置額外的氣體源及MFC。或者,改變氣體至MFC的連接,這需要進行再配置,且通常無法在複數製程步驟或配方之間實施。在另一方法中,來自控制器、正供應處理腔室的流體流則使用具有閥的第二歧管而被供應至第二區域,該閥在第一歧管及第二歧管之間切換氣體流。
用於基板處理系統的氣體傳輸系統包含第一歧管及第二歧管。氣體傳輸子系統選擇性地傳輸來自N個氣體源的N個氣體。氣體傳輸子系統係配置成傳輸包含該N個氣體中之P個氣體的第一氣體混合物至第一歧管、以及傳輸包含該N個氣體中之Q個氣體的第二氣體混合物至第二歧管,其中N、P及Q係整數。P加Q係小於或等於N,且N大於2。氣體分流器包含與第二歧管之一出口流體連通的一入口、與第一歧管之一出口流體連通的一第一出口、及一第二出口。氣體分流器將第二氣體混合物分流為輸出至該氣體分流器之第一出口、第一流速的第一部分以及輸出至該氣體分流器之第二出口、第二流速的第二部分。基板處理系統的第一區域係與氣體分流器的第一出口流體連通,且基板處理系統的第二區域係與氣體分流器的第二出口流體連通。
在其他特徵中,氣體傳輸子系統包含N個氣體通道,其每一者包含一第一閥,該第一閥包含與N個氣體源之一者流體連通的一入口。一質流控制器包含與該第一閥之一出口流體連通的一入口。一第二閥包含與質流控制器之一出口流體連通的一入口、及與第一歧管選擇性流體連通的一出口。一第三閥包含與質流控制器之出口流體連通的一入口、及與第二歧管選擇性流體連通的一出口。
在其他特徵中,一第一閥係與一安定氣體源(ballast gas source)相連通,以選擇性地供應安定氣體至第二歧管。一第二閥係與安定氣體源流體連通,以選擇性地供應安定氣體至氣體分流器的第二出口。當第二氣體混合物的流速不足以產生通過氣體分流器的阻流狀態時,第一閥供應安定氣體至第二歧管。
在其他特徵中,氣體分流器包含M個閥及與該M個閥的對應一者相連通的M個限制孔口。該M個限制孔口的至少兩者具有不同的孔口尺寸。
基板處理系統包含氣體傳輸系統及處理腔室。基板支撐件係設置於該處理腔室中。第一注入器係設置於該處理腔室的第一位置處。第一注入器對應於第一區域。第二注入器係設置於處理腔室之與第一位置隔開的第二位置處。第二注入器對應於第二區域。
在其他特徵中,處理腔室包含介電窗部。第一注入器係設置在該介電窗部的一開口中。處理腔室包含複數側壁。第二注入器係設置於該複數側壁的至少一者上。
在其他特徵中,第一閥包含與氣體分流器之第一出口流體連通的一入口、及與真空流體連通的一出口。第二閥包含與氣體分流器之第二出口流體連通的一入口、及與真空流體連通的一出口。
在其他特徵中,控制器計算第二氣體混合物之第一部分、及該第二氣體混合物之第二部分的相對流速。
在其他特徵中,壓力感測器係設置於處理腔室中、且與控制器相連通。控制器藉由以下方式計算第二氣體混合物之第一部分及第二氣體混合物之第二部分的相對流速:對真空開放第一閥、並對真空閉合第二閥;量測校準氣體及處理腔室中第二氣體混合物之第一部分其中一者之壓力的上升速率;對真空開放第二閥、並對真空閉合第一閥;以及量測該校準氣體及處理腔室中該第二氣體混合物之第二部分其中一者之壓力的上升速率。
在其他特徵中,第一歧管包含與氣體傳輸子系統流體連通的第一混合歧管、及與該第一混合歧管流體連通的第一配閥歧管。第二歧管包含與氣體傳輸子系統流體連通的第二混合歧管、及與該第二混合歧管流體連通的第二配閥歧管。
用於基板處理系統的氣體傳輸系統包含第一歧管及第二歧管。氣體傳輸子系統選擇性地傳輸來自N個氣體源的N個氣體。氣體傳輸子系統係配置成傳輸包含該N個氣體中之P個氣體的第一氣體混合物至第一歧管、以及傳輸包含該N個氣體中之Q個氣體的第二氣體混合物至第二歧管,其中N、P及Q係整數,P加Q小於或等於N,且N大於2。
第一氣體分流器包含與第一歧管之一出口流體連通的一入口、一第一出口、及一第二出口。第一氣體分流器將第一氣體混合物分流為輸出至第一氣體分流器之第一出口的第一部分、及輸出至第一氣體分流器之第二出口的第二部分。第二氣體分流器包含與第二歧管之一出口流體連通的一入口、一第一出口、一第二出口、及一第三出口。第二氣體分流器將第二氣體混合物分流為輸出至第二氣體分流器之第一出口的第一部分、輸出至第二氣體分流器之第二出口的第二部分、及輸出至第二氣體分流器之第三出口的第三部分。基板處理系統的第一區域係與第二氣體分流器的第一出口、及第二氣體分流器的第一出口流體連通。基板處理系統的第二區域係與第一氣體分流器的第二出口、及第二氣體分流器的第二出口流體連通。基板處理系統的第三區域係與第二氣體分流器的第三出口流體連通。
在其他特徵中,氣體傳輸子系統包含N個氣體通道,其每一者包含一第一閥及一質流控制器,該質流控制器包含與該第一閥之一出口流體連通的一入口。一第二閥包含與該質流控制器之一出口流體連通的一入口、及與第一歧管選擇性流體連通的一出口。一第三閥包含與質流控制器之出口流體連通的一入口、及與第二歧管選擇性流體連通的一出口。
在其他特徵中,第一閥係與安定氣體源(ballast gas source)流體連通,以選擇性地供應安定氣體至第一歧管。一第二閥係與安定氣體源流體連通,以選擇性地供應安定氣體至第二歧管。當第二氣體混合物的流速不足以產生通過第二氣體分流器的阻流狀態時,第二閥供應安定氣體至第二歧管。
在其他特徵中,第一氣體分流器包含M個閥及與該M個閥的對應一者相連通的M個限制孔口。該M個限制孔口的至少兩者具有不同的孔口尺寸。
在其他特徵中,第一閥包含與第二氣體分流器之第一出口流體連通的一入口、及與真空流體連通的一出口。第二閥包含與第二氣體分流器之第二出口流體連通的一入口、及與真空流體連通的一出口。第三閥包含與第二氣體分流器之第三出口流體連通的一入口、及與真空流體連通的一出口。第四閥包含與第二氣體分流器之第三出口流體連通的一入口、及與第三區域流體連通的一出口。
在其他特徵中,控制器係配置成閉合第一閥、第二閥及第四閥,以及配置成當第一調節氣體混合物及第二調節氣體混合物的流速不足以產生通過第二氣體分流器的阻流狀態時,使第三調節氣體混合物轉向至真空。
基板處理系統包含氣體傳輸系統、處理腔室、設置於該處理腔室中的基板支撐件、及第一注入器,該第一注入器係設置於處理腔室的第一位置處、且包含中心注入器及側邊注入器。中心注入器對應於第一區域、且側邊注入器對應於第二區域。第二注入器係設置於處理腔室之與第一位置隔開的第二位置處。第二注入器對應於第三區域。
在其他特徵中,處理腔室包含介電窗部。第一注入器係設置在該介電窗部中的一孔穴中。處理腔室包含複數側壁。第二注入器係設置於該複數側壁的至少一者上。
在其他特徵中,第一閥包含與第二氣體分流器之第一出口流體連通的一入口、及與真空流體連通的一出口。第二閥包含與第二氣體分流器之第二出口流體連通的一入口、及與真空流體連通的一出口。第三閥包含與第二氣體分流器之第三出口流體連通的一入口、及與真空流體連通的一出口。
在其他特徵中,控制器計算第二氣體混合物之第一部分、該第二氣體混合物之第二部分、及該第二氣體混合物之第三部分的相對流速。
在其他特徵中,壓力感測器係設置於處理腔室中、且與控制器相連通。控制器藉由以下方式計算第二氣體混合物之第一部分、第二氣體混合物之第二部分、及第二氣體混合物之第三部分的相對流速:對真空開放第二閥及第三閥;對真空閉合第一閥;量測校準氣體及處理腔室中之第二氣體混合物之第一部分其中一者壓力的第一上升速率;對真空開放第一閥及第三閥;對真空閉合第二閥;量測該校準氣體及處理腔室中該第二氣體混合物之第二部分其中一者壓力的第二上升速率;對真空開放第一閥及第二閥;對真空閉合第三閥;以及量測該校準氣體及處理腔室中該第二氣體混合物之第三部分其中一者壓力的第三上升速率。
在其他特徵中,控制器基於第一上升速率、第二上升速率、及第三上升速率判定第二氣體混合物之第一部分、第二氣體混合物之第二部分、及第二氣體混合物之第三部分的相對流速。
本揭露內容之應用的進一步領域將自實施方式、申請專利範圍、及圖式而變得明白。實施方式及特定範例僅意在說明的目的,且不意圖限制本揭露內容的範疇。
根據本揭露內容之氣體傳輸系統及方法容許將主製程氣體及調節氣體的不同混合物提供至處理腔室中的二或更多區域,同時容許使用單一質流控制器將上述氣體供應至該等區域的多於一者(無需重複氣體源)。這容許在降低成本及複雜性的情況下將氣體混合物提供至該等區域。
氣體係經由質流控制器(MFC)供應至二或更多歧管(有時在本文中稱為:主製程氣體歧管及調節歧管)的其中一者。每一氣體的目標歧管可使用閥加以選擇。在一些範例中,可將來自主製程氣體歧管的流體流(flow)可選地分流為二或更多流體流,其每一者具有相同的氣體組成且處於不同流速。在一些範例中,流體流係使用多區域注入器、二或更多的注入器、噴淋頭、側邊注入器等供應至處理腔室的不同區域。
在一些範例中,主製程氣體的分流係使用可持續控制的第一氣流分流器、或可選擇的流動限制孔口組而執行。來自調節氣體歧管的流體係使用第二氣流分流器而分流,該第二氣流分流器典型地比第一氣流分流器(用於主製程氣體流)多具有一個出口。在一些範例中,來自第二氣流分流器之一分支的流體流(例如,側邊調節氣體(STG, side tuning gas))係被引導至處理腔室的一更遠區域,典型地為晶圓之圓周之外的最外區域。
來自第二氣流分流器的流體可與第一氣流分流器下游的主氣體流再結合。單獨傳輸之安定氣體可與側邊調節氣體(STG)流結合,以使側邊調節氣體混合物中的流體流增加至預定的最低位準。結果是可在不增加昂貴MFC之數目的情況下,將許多期望氣體混合物傳輸至處理腔室的複數區域。再者,供應至傳輸系統的任何製程氣體皆可在正同時使用於主製程氣體流中時,亦用作側邊調節氣體(而不設置傳輸相同氣體兩次的硬體)。
本揭露內容的系統及方法將來自調節氣體歧管的氣體與來自主製程氣體歧管的流體再結合,以使氣體控制棒的數目最小化,同時提供氣體傳輸至處理腔室之不同區域的彈性選擇。
現在參考圖2,其顯示氣體傳輸系統18。N個氣體源係連接至包含閥及質流控制器(MFC)的氣體傳輸子系統20,其中N為大於2的整數。在一些範例中,N等於17,但可使用更高或更低的N之數值。第一混合歧管24係與氣體傳輸子系統20的輸出流體連通。第一混合歧管24的一或更多輸出係與輸出主製程氣體混合物的第一配閥歧管26流體連通。
第二混合歧管30係與氣體傳輸子系統20的輸出流體連通。第二混合歧管30的一或更多輸出係與第二配閥歧管34流體連通。第二配閥歧管34的輸出(包含調節氣體混合物)係與雙氣體饋送部(DGF, dual gas feed) 38流體連通。來自DGF 38之調節氣體混合物的第一部分係與由第一配閥歧管26所輸出之主製程氣體結合,且然後被輸出至處理腔室的第一區域。在一些範例中,第一區域對應於位於處理腔室頂部表面上的注入器。
來自DGF 38之調節氣體混合物的第二部分係選擇性地與安定氣體(經由閥44來自安定氣體源40)結合,然後被輸出至處理腔室的第二區域。在一些範例中,第二區域對應於位於沿著處理腔室之側壁的側邊調節氣體(STG)位置。在一些範例中,安定氣體包含氦(He),但可使用一或更多其他的惰性氣體、或任何其他的安定氣體。在如以下將加以描述的一些操作模式(經由閥42)期間,可將安定氣體可選地供應至第二混合歧管30。
舉例而言,當以相對緩慢的流速供應調節氣體混合物時,可能沒有足夠的流體流在DGF 38中的限制孔口處產生阻流狀態。阻流狀態係典型地於跨過限制孔口具有超過2倍的壓力降時存在。 當存在阻流狀態時,穿過孔口的流體流係獨立於下游壓力。因此,在調節氣體混合物具有低於產生阻流狀態所必要之流速的流速時,可供應安定氣體至第二混合歧管30。安定氣體增加流速,以產生阻流狀態。例如,阻流狀態可在約50sccm時發生。當調節氣體流低於50sccm時,可供應安定氣體至第二混合歧管30來增加流速。例如,若供應10sccm的調節氣體,則可供應40sccm的安定氣體至第二混合歧管30,以與DGF 38中的調節氣體一起產生阻流狀態。
如以下將進一步加以描述,在流速校準期間,閥46及48選擇性地將氣體輸出連接至真空。
現在參考圖3A,氣體傳輸子系統20包含氣體源50-1、50-2、…、及50-N(統稱為氣體源50)。在一些範例中,N個氣體源包含N個不同的氣體或氣體混合物。氣體源50-1、50-2、…、及50-N的輸出係分別與第一閥52-1、52-2、…、及52-N(統稱為第一閥52)的入口流體連通。第一閥52-1、52-2、…、及52-N的出口係分別與第二閥54-1、54-2、…、及54-N(統稱為第二閥54)的入口流體連通。第二閥54-1、54-2、…、及54-N的出口係分別與MFC 56-1、56-2、…、及56-N(統稱為MFC 56)流體連通,該等MFC 56-1、56-2、…、及56-N控制氣體源50的流體速度。MFC 56-1、56-2、…、及56-N的出口係分別與閥58-1、58-2、…、及58-N(統稱為閥58)、及閥60-1、60-2、…、及60-N(統稱為閥60)的入口流體連通。閥58的出口係與第二混合歧管30流體連通,且閥60的出口係與第一混合歧管24流體連通。N為大於1的整數。
現在參考圖3B,如以下將進一步加以描述,氣體傳輸子系統20亦可用以將來自氣體源50-B的安定氣體傳輸至第一及第二歧管、及/或其他位置。閥52-B、54-B、58-B、及60-B、以及MFC 56-B如以上所描述般操作。如以下將加以描述,閥61-B係用以將安定氣體傳輸至其他位置。
現在參考圖4,配閥歧管34係進一步詳細地顯示,並且包含入口閥62-1、62-2、…、及62-T(統稱為入口閥62),出口閥63-1、63-2、…、及63-M(統稱為出口閥63) ,及歧管64。入口閥62可用以在方向上控制第二混合歧管30及配閥歧管34中氣體混合物的流動。在一些範例中,T及M係大於零的整數,且T>=M。例如,在圖4中,T=3且M=3。在例如圖9中之氣體傳輸系統的其他範例中,省略混合歧管,且T等於氣體源的數目(例如,17),且M等於2至4,但可使用其他數目的入口及出口。
舉例而言,在一些情形中,進入第二混合歧管30的製程氣體混合物可被接收於第二混合歧管30的一末端處。因此,其他氣體可被接收於第二混合歧管30的中部、或第二混合歧管30的相反末端處。切換並控制入口閥62,以確保將來自配方中前一步驟的氣體從第二混合歧管30及配閥歧管34適當地排空。
現在參考圖5,DGF 38可包含將調節氣體混合物分流為第一及第二部分的可變分流器65,該第一及第二部分係被供應至處理腔室的第一及第二區域。調節氣體混合物的第一及第二部分可具有相同、或不同的流速。可變分流器65可藉由以下所述的控制器而控制,以調整分別至處理腔室之第一區域及/或第二區域的第一及第二部分的流速。
現在參考圖6,其顯示DGF 38的範例。DGF 38的入口係與閥70-1、70-2、…、及70-P(統稱為閥70)的入口流體連通。閥70的出口係與限制孔口72-1、72-2、…、及72-P(統稱為限制孔口72)流體連通。在一些範例中,複數限制孔口72的至少兩者具有不同的孔口尺寸。在一些範例中,所有的限制孔口72具有不同的尺寸。限制孔口72的輸出係與閥78及80的入口流體連通。DGF 38的入口亦連接至閥74的入口。閥74的出口係連接至限制孔口76的入口。在一些範例中,所有的限制孔口72具有不同的孔口尺寸,且限制孔口76具有與限制孔口72之一者相同的孔口尺寸,但可使用其他組合。限制孔口76的一出口係連接至閥82及84的入口。閥78及82的出口供應處理腔室的第一區域。閥80及82的出口供應處理腔室的第二區域。
如可察知,閥82及84的狀態可選擇成使用限制孔口76供應第一區域或第二區域。第一區域或第二區域的另一者係透過複數限制孔口72的一者而加以供應。在一些範例中,控制器打開閥70的一或更多者,以容許製程氣體流過對應的(複數)限制孔口。如可察知,分別至第一區域及第二區域之調節氣體混合物的第一部分及第二部分的相對氣流可加以調整。
現在參考圖7,其顯示處理腔室86的範例。如可察知,儘管顯示為特定的處理腔室,但可使用其他處理腔室。處理腔室86包含例如靜電卡盤、基座、板件的基板支撐件87、或其他的基板支撐件。在一些範例中,基板支撐件87係使用電阻式加熱器、冷卻通道、及/或其他適當的溫度控制元件而控制溫度。基板88在基板處理期間可設置於基板支撐件87上。處理腔室86的頂部表面可包含介電窗部85。感應線圈89可設置於介電窗部85的大氣側或外表面上。
注入器90可設置於介電窗部85的附近、或者可安裝於介電窗部85上,以將製程氣體噴注至處理腔室86中。在一些範例中,注入器90包含一中心注入位置91、及一或更多邊緣注入器位置92。中心注入位置91以大致上向下的方向朝基板噴注製程氣體。邊緣注入器位置92以相對中心注入位置91之方向的一向外角度噴注製程氣體。處理腔室更包設置於處理腔室86之側壁內的一或更多側邊調節氣體注入位置93。
現在參考圖8,所顯示之用於處理腔室86的控制系統的範例包含與閥96及MFC 97進行通訊以控制主製程氣體流及調節氣體流的控制器95。控制器95進一步與選擇性供應RF功率至感應線圈89的RF產生器98進行通訊。控制器95亦控制泵浦99(及複數閥96的對應一者),以控制處理腔室內的壓力、及/或選擇性地將反應物自處理腔室86排空。控制器95亦與壓力感測器83進行通訊,以量測處理腔室中的壓力。例如,如以下將進一步加以描述,可使用控制器95利用連續上升速率計算來校準氣體分流器。
現在參考圖9,氣體傳輸系統100係配置用於多氣體(MG, multiple gas)/側邊調節氣體(STG)及反應軌道控制(RTC, reaction trajectory control)模式。圖2中之氣體傳輸系統在右側傳輸主製程氣體、且在左側傳輸調節氣體時,而圖9中之氣體傳輸系統100在左側傳輸主製程氣體、且在右側傳輸調節氣體。此外,圖2中之氣體傳輸系統傳輸不同流速的氣體及不同氣體混合物至第一及第二區域時,而如以下將進一步加以描述,圖9中氣體傳輸系統傳輸不同流速的氣體及不同氣體混合物至的第一、第二、及第三區域。
N個製程氣體源及例如氦的安定氣體係連接至包含複數閥及複數MFC的氣體傳輸子系統110,其中N為大於2的整數。在一些範例中,N等於17,但可使用其他數值。第一配閥歧管114係與氣體傳輸子系統110的輸出流體連通。第一配閥歧管114的輸出係與三氣體饋送部(TGF, triple gas feed) 116流體連通,該三氣體饋送部116針對處理腔室之第一、第二、及第三區域控制調節氣體的流速。在一些範例中,第三區域可對應於側邊調節氣體(STG),且第一及第二區域可分別對應於注入器中心位置及注入器邊緣位置。
第二配閥歧管130係與氣體傳輸子系統110的輸出流體連通。第二配閥歧管130的輸出係與雙氣體饋送部(DGF, dual gas feed) 134流體連通。DGF 134的輸出控制製程氣體以可變流速到達第一及第二區域。
氣體傳輸子系統110的安定氣體輸出部129係連接至閥120。TGF 116的第一輸出係與閥122及128的輸入流體連通。閥120及122的輸出係供應為側邊調節氣體(STG)。DGF 134及TGF 116的第一氣體輸出係輸入至轉向閥124。DGF 134及TGF 116的第二氣體輸出係輸入至轉向閥126。TGF 116的第三氣體輸出係輸入至轉向閥128及閥122。
轉向閥124、126、及128可用於校準。同樣地,圖2中的閥46及48可用於校準。在一些範例中,校準包含上升速率(RoR, rate of rise)計算。例如,調節氣體可以期望的分流而加以供應。三個閥124、126、及128的兩者可打開,以使部分的調節氣體分流轉至真空。調節氣體混合物的剩餘部分被傳輸至處理腔室。處理腔室中氣體壓力的第一上升速率(RoR1 )係使用調節氣體區域之第一者的壓力感測器而加以量測。針對剩餘的調節氣體區域重複該製程,以判定第二及第三上升速率(RoR2 及RoR3 )。然後,基於RoR1 、RoR2 、及RoR3 判定相對流速。在一些範例中,流速係藉由個別上升速率除以上升速率總和而判定。類似的方法可用於主製程氣體。可打開兩閥124及126之一者,以使部分主製程氣體轉向至真空。
在一些範例中,DGF 134包含類似於以上圖5-6中所顯示之分流器的雙向可變分流器。然而,DGF 134分流主製程氣體(PG,process gas)。現在參考圖10,其顯示DGF 134的另一範例。DGF 134的入口係與閥150-1、150-2、…、及150-P(統稱為閥150)的入口流體連通。閥150的出口係分別與限制孔口152-1、152-2、…、及152-P(統稱為限制孔口152)流體連通。在一些範例中,限制孔口152之至少兩者具有不同的孔口尺寸。限制孔口152的輸出係與閥158及160的入口流體連通。DGF 134的入口亦連接至閥154的入口。閥154的出口係連接至限制孔口156的入口。限制孔口156的出口係連接至閥162及164的入口。閥158及162的出口供應主製程氣體至第二區域。閥160及164的出口供應主製程氣體至第一區域。
如可察知,閥162及164可定位成使用限制孔口156供應第一區域或第二區域其中一者。第一區域或第二區域的另一者係透過複數限制孔口152之一者而加以供應。在一些範例中,控制器打開閥150之一或更多者,以容許製程氣體流過限制孔口之對應的一或更多者。如可察知,往第一區域及第二區域的相對氣流可加以調整。
現在參考圖11,TGF 16可包含將輸入調節氣體混合物分流為第一、第二、及第三調節氣體混合物的三向可變分流器165,該第一、第二、及第三調節氣體混合物係分別供應至處理腔室的第一、第二、及第三區域。三向可變分流器165可藉由以下描述之控制器而控制,以調整往處理腔室之第一區域、第二區域、及第三區域的氣體流動。僅舉例而言,第三區域可對應於從處理腔室之側壁引入的側邊調節氣體(STG)。第一及第二區域可對應於頂部注入器的中心及邊緣位置。
現在參考圖12,其顯示TGF 116的一範例。TGF 116的一入口係與閥170-1、170-2、…、及170-P(統稱為閥170)的入口流體連通。閥170的出口係分別與限制孔口172-1、172-2、…、及172-P(統稱為限制孔口172)流體連通。在一些範例中,限制孔口172之至少兩者具有不同的孔口尺寸。限制孔口172的輸出係與閥178及180的入口流體連通。TGF 116的入口係與閥174的入口流體連通。閥174的出口係與限制孔口176的一入口流體連通。限制孔口176的一出口係與閥182及184的入口流體連通。閥178及182的出口供應調節氣體(TG,tuning gas)至第二區域。閥180及184的出口供應調節氣體至第一區域。
如可察知,閥182及184可定位成使用限制孔口176供應調節氣體至第一區域或第二區域其中任一者。第一區域或第二區域的另一者係透過複數限制孔口172之一者而加以供應。在一些範例中,控制器打開複數閥170的一或更多者,以容許製程氣體流過複數限制孔口之對應的一或更多者。如可察知,往第一區域及第二區域之調節氣體的相對氣流可加以調整。
TGF 116的入口係與閥190-1、190-2、…、及190-F(統稱為閥190)的入口流體連通。閥190的出口係分別與限制孔口192-1、192-2、…、及192-F(統稱為限制孔口192)流體連通。F為大於1的整數。在一些範例中,複數限制孔口192的至少兩者具有不同的孔口尺寸。限制孔口192的輸出供應側邊調節氣體位置。
現在參考圖13,其顯示相對高調節氣體流量之氣體傳輸系統之典型操作的範例。氣體傳輸子系統110供應包含如虛線200及202所指示之第一氣體及第二氣體的主製程氣體混合物。將第一及第二氣體供應至配閥歧管130。配閥歧管130的輸出係與DGF 134的輸入流體連通。DGF 134使製程氣體混合物之流動在第一區域及第二區域之間分流,該第一區域及第二區域在一些範例中對應於中心及邊緣注入器位置。
氣體傳輸子系統110亦供應第一、第二、及第三調節氣體204、206、及208至配閥歧管114。藉由配閥歧管114所輸出之調節氣體混合物係與TGF 116的輸入流體連通。TGF 116將調節氣體混合物分流進入第一、第二、及第三區域。第一及第二區域的調節氣體係與DGF 134之對應輸出流體連通。第三區域的調節氣體係經由閥122而被供應至第三區域。在一些範例中,該配置之調節氣體的流動係大於或等於50sccm。安定氣體使用閥120可在TGF 116下游引至(複數)側邊調節氣體位置。
現在參考圖14,其顯示相對低調節氣體流量之氣體傳輸系統的操作。主製程氣體混合物係如以上所述般加以選擇及傳輸。然而,調節氣體流速可能不足以產生阻流狀態。例如,在此配置中,在小於50sccm的流速下,調節氣體可能不產生阻流狀態。在此情形中,安定氣體可用作進入配閥歧管114的推進氣體,這降低了共流(co-flow)及阻流效果。
現在參考圖15,針對調節氣體添加至主製程氣體、且無調節氣體傳輸至側邊調節氣體位置的情形,氣體傳輸系統可使用低流速操作。在此範例中,轉向閥128係對真空開放,且閥122係閉合的。可將安定氣體(或沒有)可選地經由閥120供應至側邊調節氣體位置。在此範例中,阻流狀態發生於50sccm以上。將調節氣體以5sccm供應至第一區域、10sccm供應至第二區域、以及0sccm供應至第三區域。將調節氣體以50sccm傳輸至TGF 116。TGF以5sccm供應第一區域、10sccm供應第二區域,並使剩餘部分(35sccm)經由轉向閥128轉向至真空。
現在參考圖16-18,其顯示操作氣體傳輸系統的諸多方法。在圖16中顯示供應及校準主製程氣體與調節氣體混合物的方法300。在步驟302,主製程氣體的主要氣體、及調節氣體混合物的調節氣體係使用對應的閥加以選擇。在步驟304,流速係使用對應的質流控制器加以選擇。在步驟306,可選地校準氣體的流速。
在校準期間,可使用單一的校準氣體(圖17)、或多於一者的氣體(圖18)。標稱流量比率係使用DGF或TGF加以選擇。當以已知速率使校準氣體流入氣體分流器的入口中時,氣體的分流係如下加以校準。使二或三個輸出區域之一者除外的所有者轉向至真空。上升速率係由來自剩餘一區域的氣體流動而判定。針對其他的區域重複該過程。
經校準的分流比率係藉由將單獨的上升速率除以上升速率之總和而計算。針對另一期望的分流比率重複該過程,直至所有期望的校準皆已執行。為檢查量測係在阻流狀態下執行,故利用複數來料氣體流速(incoming gas flow rate)執行校準測試。若比率結果相符,則兩狀態均為受阻的。
在圖17中顯示使用校準氣體校準主要或調節氣體混合物的方法320。在步驟324,供應校準氣體。在步驟328,使除一者之外的所有區域轉向至真空。在步驟330,針對該區域量測處理腔室中壓力的上升速率。在步驟334,該方法判定是否複數區域之所有上升速率皆已量測。若沒有,則在步驟336針對剩餘的區域重複該方法。否則,該方法在步驟338基於上升速率的函數判定流速。
在圖18中顯示使用複數氣體校準主要或調節氣體混合物的方法340。在步驟341,供應二或更多氣體,達成期望的氣體混合物。在步驟342,使除一者之外的所有氣體轉向至真空。在步驟343,針對剩餘的氣體量測處理腔室中壓力上升的速率。在步驟344,該方法判定是否該二或更多氣體之所有的上升速率皆已量測。若沒有,則在步驟345針對該二或更多氣體之剩餘者重複該方法。否則,該方法在步驟346基於上升速率的函數判定流速。
現在參考圖19,方法350選擇性地在某些情況下供應安定氣體至調節氣體歧管。在步驟354,該方法判定調節氣體混合物的流速是否小於或等於流速臨界值(FRTH )。若步驟354係「是」(true),則該方法在步驟358供應安定氣體至調節氣體歧管。
現在參考圖20,方法370選擇性地在其他情況下供應安定氣體至調節氣體歧管。在步驟374,該方法判定待添加至主要氣體混合物之調節氣體混合物的流速是否小於或等於流速臨界值(FRTH )。若步驟374係「是」,則供應安定氣體至調節氣體歧管。在步驟380,使通常供應至第三區域的調節氣體(例如,側邊調節氣體)轉向至真空。在步驟384,獨立於已轉向的氣體,可選地供應安定氣體至第三區域。
前述描述內容本質上僅係說明性的,且絕不意圖限制本揭露內容、其應用、或使用。本揭露內容之廣義教示可以各種形式實施。因此,儘管本揭露內容包含特定的範例,但本揭露內容的真正範疇不應該被如此所限制,因為其他修正將在研究圖式、說明書、及隨後之申請專利範圍時變得明白。應理解,方法內一或更多的步驟可在不改變本揭露內容之原理的情況下以不同的順序(或同時地)執行。進一步講,每一實施例於以上係被描述為具有某些特徵,但相關本揭露內容任一實施例而描述之該等特徵的任何一或更多者可在任何其他實施例中實施,並且/或者可與任何其他實施例的特徵進行組合,即使該組合並未明確地描述亦然。換句話說,所描述的實施例並非係互相排斥,且一或更多實施例之間互相的置換仍屬於本揭露內容的範疇。
複數元件之間(例如,複數模組、複數電路元件、複數半導體覆層等之間)空間或功能的關係係使用諸多用語而描述,包含「連接」、「嚙合」、「耦接」、「鄰近」、「接近」、「在頂部上」、「之上」、「之下」、及「設置」。除非明確地描述成係「直接」的,否則當在以上揭露內容中描述第一及第二元件之間的關係時,該關係可為在第一及第二元件之間沒有其他中間元件出現的直接關係,也可為在第一及第二元件之間存在一或更多中間元件(空間上、或功能上)的間接關係。如本文中所使用,詞組「A、B、及C之至少一者」應被解釋成意指使用非排除性邏輯「或」的邏輯(A或B或C),並且不應被解釋成意指「A之至少一者、B之至少一者、及C之至少一者」。
在一些實施例中,系統控制器為系統的一部分,該系統可為以上描述範例的一部分。如此系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該等系統的運作。電子設備可稱為「控制器」,其可控制系統或複數系統的諸多元件或子部件。取決於處理需求及/或系統類型,控制器可程式設計成控制本文中所揭露的任何製程,包含處理氣體的傳輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳輸設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processors)、定義為特殊用途積體電路(ASIC,application specific integrated circuits )的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、迴路、及/或晶圓的晶粒。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製程操作的目前進度、檢查過去製程操作的歷史、自複數的製程操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些範例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對參數及/或設定進行程式設計的使用者介面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行之處理步驟的每一者而指定參數。應理解,參數可特定地針對待執行之製程的類型、及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文所描述之製程及控制)而運作的一或更多的分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上之製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如以上所提及,取決於待藉由工具而執行之(複數)製程步驟,控制器可與半導體製造工廠中之一或更多的以下者進行通訊:其他工具迴路或模組、其他工具元件、叢集工具(cluster tools)、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。
10‧‧‧氣體傳輸系統
11‧‧‧氣體傳輸子系統
12‧‧‧混合歧管
13‧‧‧配閥歧管
14‧‧‧調節氣體源
15‧‧‧閥
18‧‧‧氣體傳輸系統
20‧‧‧氣體傳輸子系統
24‧‧‧第一混合歧管
26‧‧‧第一配閥歧管
30‧‧‧第二混合歧管
34‧‧‧配閥歧管
38‧‧‧雙氣體饋送部(DGF)
40‧‧‧安定氣體源
42‧‧‧閥
44‧‧‧閥
46‧‧‧閥
48‧‧‧閥
50‧‧‧氣體源
50-1‧‧‧氣體源
50-2‧‧‧氣體源
50-B‧‧‧氣體源
50-N‧‧‧氣體源
52‧‧‧第一閥
52-1‧‧‧第一閥
52-2‧‧‧第一閥
52-B‧‧‧第一閥
52-N‧‧‧第一閥
54‧‧‧第二閥
54-1‧‧‧第二閥
54-2‧‧‧第二閥
54-B‧‧‧第二閥
54-N‧‧‧第二閥
56‧‧‧MFC
56-1‧‧‧MFC
56-2‧‧‧MFC
56-B‧‧‧MFC
56-N‧‧‧MFC
58‧‧‧閥
58-1‧‧‧閥
58-2‧‧‧閥
58-B‧‧‧閥
58-N‧‧‧閥
60‧‧‧閥
60-1‧‧‧閥
60-2‧‧‧閥
60-B‧‧‧閥
60-N‧‧‧閥
61-B‧‧‧閥
62‧‧‧入口閥
62-1‧‧‧入口閥
62-2‧‧‧入口閥
62-T‧‧‧入口閥
63‧‧‧出口閥
63-1‧‧‧出口閥
63-2‧‧‧出口閥
63-M‧‧‧出口閥
64‧‧‧歧管
65‧‧‧可變分流器
70‧‧‧閥
70-1‧‧‧閥
70-2‧‧‧閥
70-P‧‧‧閥
72‧‧‧限制孔口
72-1‧‧‧限制孔口
72-2‧‧‧限制孔口
72-P‧‧‧限制孔口
74‧‧‧閥
76‧‧‧限制孔口
78‧‧‧閥
80‧‧‧閥
82‧‧‧閥
83‧‧‧壓力感測器
84‧‧‧閥
85‧‧‧介電窗部
86‧‧‧處理腔室
87‧‧‧基板支撐件
88‧‧‧基板
89‧‧‧感應線圈
90‧‧‧注入器
91‧‧‧中心注入位置
92‧‧‧邊緣注入位置
93‧‧‧側邊調節氣體注入位置
95‧‧‧控制器
96‧‧‧閥
97‧‧‧MFC
98‧‧‧RF產生器
99‧‧‧泵浦
100‧‧‧氣體傳輸系統
110‧‧‧氣體傳輸子系統
114‧‧‧配閥歧管
116‧‧‧三氣體饋送部(TGF)
120‧‧‧閥
122‧‧‧閥
124‧‧‧閥
126‧‧‧閥
128‧‧‧閥
129‧‧‧安定氣體輸出部
130‧‧‧配閥歧管
134‧‧‧雙氣體饋送部(DGF)
150‧‧‧閥
150-1‧‧‧閥
150-2‧‧‧閥
150-P‧‧‧閥
152‧‧‧限制孔口
152-1‧‧‧限制孔口
152-2‧‧‧限制孔口
152-P‧‧‧限制孔口
154‧‧‧閥
156‧‧‧限制孔口
158‧‧‧閥
160‧‧‧閥
162‧‧‧閥
164‧‧‧閥
165‧‧‧三向可變分流器
170‧‧‧閥
170-1‧‧‧閥
170-2‧‧‧閥
170-P‧‧‧閥
172‧‧‧限制孔口
172-1‧‧‧限制孔口
172-2‧‧‧限制孔口
172-P‧‧‧限制孔口
174‧‧‧閥
176‧‧‧限制孔口
178‧‧‧閥
180‧‧‧閥
182‧‧‧閥
184‧‧‧閥
190‧‧‧閥
190-1‧‧‧閥
190-2‧‧‧閥
190-F‧‧‧閥
192‧‧‧限制孔口
192-1‧‧‧限制孔口
192-2‧‧‧限制孔口
192-F‧‧‧限制孔口
200‧‧‧虛線
202‧‧‧虛線
204‧‧‧第一調節氣體
206‧‧‧第二調節氣體
208‧‧‧第三調節氣體
300‧‧‧方法
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
320‧‧‧步驟
324‧‧‧步驟
328‧‧‧步驟
330‧‧‧步驟
334‧‧‧步驟
336‧‧‧步驟
338‧‧‧步驟
340‧‧‧方法
341‧‧‧步驟
342‧‧‧步驟
343‧‧‧步驟
344‧‧‧步驟
345‧‧‧步驟
346‧‧‧步驟
350‧‧‧方法
354‧‧‧步驟
358‧‧‧步驟
370‧‧‧方法
374‧‧‧步驟
378‧‧‧步驟
380‧‧‧步驟
384‧‧‧步驟
本揭露內容將自實施方式與隨附圖式而變得更完全地獲得理解,其中:
圖1為根據先前技術之氣體傳輸系統的功能方塊圖;
圖2為根據本揭露內容之氣體傳輸系統之範例的功能方塊圖;
圖3A為根據本揭露內容之包含氣體閥及質流控制器之氣體傳輸子系統之範例的功能方塊圖;
圖3B為供應安定氣體之閥及MFC的功能方塊圖;
圖4為根據本揭露內容之配閥歧管之範例的功能方塊圖;
圖5為根據本揭露內容之雙氣體饋送部之範例的功能方塊圖;
圖6為根據本揭露內容之雙氣體饋送部之另一範例的功能方塊圖;
圖7為根據本揭露內容之處理腔室之範例的功能方塊圖;
圖8為根據本揭露內容之控制系統之範例的功能方塊圖;
圖9為根據本揭露內容之氣體傳輸系統之另一範例的功能方塊圖;
圖10為用於圖9氣體傳輸系統之雙氣體饋送部之範例的功能方塊圖;
圖11為用於圖9氣體傳輸系統之三氣體饋送部之範例的功能方塊圖;
圖12為用於圖9氣體傳輸系統之三氣體饋送部之另一範例的功能方塊圖;
圖13-15為根據本揭露內容、處於不同例示性操作模式中的圖9之氣體傳輸系統之範例的功能方塊圖;
圖16-18說明計算流速之方法的範例;以及
圖19-20說明在低流速情況下使用安定氣體之方法的範例。
在圖式中,可重複使用參考數字,以識別相似及/或相同的元件。
18‧‧‧氣體傳輸系統
20‧‧‧氣體傳輸子系統
24‧‧‧混合歧管
26‧‧‧配閥歧管
30‧‧‧混合歧管
34‧‧‧配閥歧管
38‧‧‧雙氣體饋送部(DGF)
40‧‧‧安定氣體源
42‧‧‧閥
44‧‧‧閥
46‧‧‧閥
48‧‧‧閥

Claims (30)

  1. 一種用於基板處理系統的氣體傳輸系統,包含: 一第一歧管; 一第二歧管; 一氣體傳輸子系統,用以選擇性地傳輸來自N個氣體源的N個氣體,其中該氣體傳輸子系統係配置成傳輸包含該N個氣體中之P個氣體的一第一氣體混合物至該第一歧管、以及傳輸包含該N個氣體中之Q個氣體的一第二氣體混合物至該第二歧管,其中N、P、及Q係整數,P加Q小於或等於N,且N大於2;以及 一氣體分流器,其包含與該第二歧管之一出口流體連通的一入口、與該第一歧管之一出口流體連通的一第一出口、及一第二出口, 其中該氣體分流器將該第二氣體混合物分流為一第一部分及一第二部分,該第一部分係輸出至該氣體分流器的該第一出口並處於第一流速,該第二部分係輸出至該氣體分流器的該第二出口並處於第二流速, 其中該基板處理系統的一第一區域係與該氣體分流器的該第一出口流體連通,且該基板處理系統的一第二區域係與該氣體分流器的該第二出口流體連通。
  2. 如申請專利範圍第1項之用於基板處理系統的氣體傳輸系統,其中該氣體傳輸子系統包含N個氣體通道,其每一者包含: 一第一閥,其包含與該N個氣體源之一者流體連通的一入口; 一質流控制器,其包含與該第一閥之一出口流體連通的一入口; 一第二閥,其包含與該質流控制器之一出口流體連通的一入口、及與該第一歧管選擇性流體連通的一出口;以及 一第三閥,其包含與該質流控制器之該出口流體連通的一入口、及與該第二歧管選擇性流體連通的一出口。
  3. 如申請專利範圍第1項之用於基板處理系統的氣體傳輸系統,更包含: 一安定氣體源(ballast gas source);以及 一第一閥,其與該安定氣體源相連通,以選擇性地供應安定氣體至該第二歧管。
  4. 如申請專利範圍第3項之用於基板處理系統的氣體傳輸系統,更包含與該安定氣體源流體連通的一第二閥,以選擇性地供應安定氣體至該氣體分流器的該第二出口。
  5. 如申請專利範圍第3項之用於基板處理系統的氣體傳輸系統,其中當該第二氣體混合物的流速不足以產生通過該氣體分流器的一阻流狀態時,該第一閥供應該安定氣體至該第二歧管。
  6. 如申請專利範圍第1項之用於基板處理系統的氣體傳輸系統,其中該氣體分流器包含: M個閥;以及 M個限制孔口,其與該M個閥的對應一者相連通。
  7. 如申請專利範圍第6項之用於基板處理系統的氣體傳輸系統,其中該M個限制孔口的至少兩者具有不同的孔口尺寸。
  8. 如申請專利範圍第1項之用於基板處理系統的氣體傳輸系統,其中: 該第一歧管包含與該氣體傳輸子系統流體連通的一第一混合歧管、及與該第一混合歧管流體連通的一第一配閥歧管(valved manifold);以及 該第二歧管包含與該氣體傳輸子系統流體連通的一第二混合歧管、及與該第二混合歧管流體連通的一第二配閥歧管。
  9. 一種基板處理系統,包含: 如申請專利範圍第1項之該氣體傳輸系統; 一處理腔室; 一基板支撐件,其係設置於該處理腔室中; 一第一注入器,其係設置於該處理腔室的一第一位置處,其中該第一注入器對應於該第一區域;以及 一第二注入器,其係設置於該處理腔室之與該第一位置隔開的一第二位置處,其中該第二注入器對應於該第二區域。
  10. 如申請專利範圍第9項之基板處理系統,其中該處理腔室包含一介電窗部,且其中該第一注入器係設置在該介電窗部的一開口中。
  11. 如申請專利範圍第9項之基板處理系統,其中該處理腔室包含複數側壁,且其中該第二注入器係設置於該複數側壁的至少一者上。
  12. 如申請專利範圍第9項之基板處理系統,更包含: 一第一閥,其包含與該氣體分流器之該第一出口流體連通的一入口、及與真空流體連通的一出口;以及 一第二閥,其包含與該氣體分流器之該第二出口流體連通的一入口、及與真空流體連通的一出口。
  13. 如申請專利範圍第12項之基板處理系統,更包含一控制器,以計算該第二氣體混合物之該第一部分、及該第二氣體混合物之該第二部分的相對流速。
  14. 如申請專利範圍第13項之基板處理系統,更包含一壓力感測器,其係設置於該處理腔室中、且與該控制器相連通,其中該控制器藉由以下方式計算該第二氣體混合物之該第一部分及該第二氣體混合物之該第二部分的相對流速: 對真空開放該第一閥、並對真空閉合該第二閥; 量測一校準氣體及該處理腔室中該第二氣體混合物之該第一部分其中一者之壓力的上升速率; 對真空開放該第二閥、並對真空閉合該第一閥;以及 量測該校準氣體及該處理腔室中該第二氣體混合物之該第二部分其中一者之壓力的上升速率。
  15. 一種用於基板處理系統的氣體傳輸系統,包含: 一第一歧管; 一第二歧管; 一氣體傳輸子系統,以選擇性地傳輸來自N個氣體源的N個氣體,其中該氣體傳輸子系統係配置成傳輸包含該N個氣體中之P個氣體的一第一氣體混合物至該第一歧管、以及傳輸包含該N個氣體中之Q個氣體的一第二氣體混合物至該第二歧管,其中N、P、及Q係整數,P加Q小於或等於N,且N大於2; 一第一氣體分流器,其包含與該第一歧管之一出口流體連通的一入口、一第一出口、及一第二出口,其中該第一氣體分流器將該第一氣體混合物分流為輸出至該第一氣體分流器之該第一出口的一第一部分、及輸出至該第一氣體分流器之該第二出口的一第二部分;以及 一第二氣體分流器,其包含與該第二歧管之一出口流體連通的一入口、一第一出口、一第二出口、及一第三出口, 其中該第二氣體分流器將該第二氣體混合物分流為輸出至該第二氣體分流器之該第一出口的一第一部分、輸出至該第二氣體分流器之該第二出口的一第二部分、及輸出至該第二氣體分流器之該第三出口的一第三部分; 其中該基板處理系統的一第一區域係與該第一氣體分流器的該第一出口、及該第二氣體分流器的該第一出口流體連通, 其中該基板處理系統的一第二區域係與該第一氣體分流器的該第二出口、及該第二氣體分流器的該第二出口流體連通,以及 其中該基板處理系統的一第三區域係與該第二氣體分流器的該第三出口流體連通。
  16. 如申請專利範圍第15項之用於基板處理系統的氣體傳輸系統,其中該氣體傳輸子系統包含N個氣體通道,其每一者包含: 一第一閥; 一質流控制器,其包含與該第一閥之一出口流體連通的一入口; 一第二閥,其包含與該質流控制器之一出口流體連通的一入口、及與該第一歧管選擇性流體連通的一出口;以及 一第三閥,其包含與該質流控制器之該出口流體連通的一入口、及與該第二歧管選擇性流體連通的一出口。
  17. 如申請專利範圍第15項之用於基板處理系統的氣體傳輸系統,更包含: 一安定氣體源; 一第一閥,其係與該安定氣體源流體連通,以選擇性地供應安定氣體至該第一歧管;以及 一第二閥,其係與該安定氣體源流體連通,以選擇性地供應安定氣體至該第二歧管。
  18. 如申請專利範圍第17項之用於基板處理系統的氣體傳輸系統,其中當該第二氣體混合物的流速不足以產生通過該第二氣體分流器的一阻流狀態時,該第二閥供應該安定氣體至該第二歧管。
  19. 如申請專利範圍第15項之用於基板處理系統的氣體傳輸系統,其中該第一氣體分流器包含: M個閥;以及 M個限制孔口,其係與該M個閥的對應一者相連通。
  20. 如申請專利範圍第19項之用於基板處理系統的氣體傳輸系統,其中該M個限制孔口的至少兩者具有不同的孔口尺寸。
  21. 如申請專利範圍第15項之用於基板處理系統的氣體傳輸系統,更包含: 一第一閥,其包含與該第二氣體分流器之該第一出口流體連通的一入口、及與真空流體連通的一出口; 一第二閥,其包含與該第二氣體分流器之該第二出口流體連通的一入口、及與真空流體連通的一出口;以及 一第三閥,其包含與該第二氣體分流器之該第三出口流體連通的一入口、及與真空流體連通的一出口。
  22. 如申請專利範圍第21項之用於基板處理系統的氣體傳輸系統,更包含一第四閥,其包含與該第二氣體分流器之該第三出口流體連通的一入口、及與該第三區域流體連通的一出口。
  23. 如申請專利範圍第22項之用於基板處理系統的氣體傳輸系統,更包含一控制器,其係配置成閉合該第一閥、該第二閥、及該第四閥,以及配置成當該第一氣體混合物及該第二氣體混合物的流速不足以產生通過該第二氣體分流器的一阻流狀態時,使一第三氣體混合物轉向至真空。
  24. 一種基板處理系統,包含: 如申請專利範圍第15項之該氣體傳輸系統; 一處理腔室; 一基板支撐件,其係設置於該處理腔室中; 一第一注入器,其係設置於該處理腔室的一第一位置處、且包含一中心注入器及一側邊注入器,其中該中心注入器對應於該第一區域、且該側邊注入器對應於該第二區域;以及 一第二注入器,其係設置於該處理腔室之與該第一位置隔開的一第二位置處,其中該第二注入器對應於該第三區域。
  25. 如申請專利範圍第24項之基板處理系統,其中該處理腔室包含一介電窗部,且其中該第一注入器係設置在該介電窗部中的一孔穴中。
  26. 如申請專利範圍第24項之基板處理系統,其中該處理腔室包含複數側壁,且其中該第二注入器係設置於該複數側壁的至少一者上。
  27. 如申請專利範圍第24項之基板處理系統,更包含: 一第一閥,其包含與該第二氣體分流器之該第一出口流體連通的一入口、及與真空流體連通的一出口; 一第二閥,其包含與該第二氣體分流器之該第二出口流體連通的一入口、及與真空流體連通的一出口;以及 一第三閥,其包含與該第二氣體分流器之該第三出口流體連通的一入口、及與真空流體連通的一出口。
  28. 如申請專利範圍第27項之基板處理系統,更包含一控制器,以計算該第二氣體混合物之該第一部分、該第二氣體混合物之該第二部分、及該第二氣體混合物之該第三部分的相對流速。
  29. 如申請專利範圍第28項之基板處理系統,更包含一壓力感測器,其係設置於該處理腔室中、且與該控制器相連通,其中該控制器藉由以下方式計算該第二氣體混合物之該第一部分、該第二氣體混合物之該第二部分、及該第二氣體混合物之該第三部分的相對流速: 對真空開放該第二閥及該第三閥; 對真空閉合該第一閥; 量測一校準氣體及該處理腔室中該第二氣體混合物之該第一部分其中一者之壓力的第一上升速率; 對真空開放該第一閥及該第三閥; 對真空閉合該第二閥; 量測該校準氣體及該處理腔室中該第二氣體混合物之該第二部分其中一者之壓力的第二上升速率; 對真空開放該第一閥及該第二閥; 對真空閉合該第三閥;以及 量測該校準氣體及該處理腔室中該第二氣體混合物之一第三部分其中一者之壓力的第三上升速率。
  30. 如申請專利範圍第29項之基板處理系統,其中該控制器基於該第一上升速率、該第二上升速率、及該第三上升速率判定該第二氣體混合物之該第一部分、該第二氣體混合物之該第二部分、及該第二氣體混合物之該第三部分的相對流速。
TW105123525A 2015-07-30 2016-07-26 氣體傳輸系統 TWI717374B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562199031P 2015-07-30 2015-07-30
US62/199,031 2015-07-30
US14/945,680 US10957561B2 (en) 2015-07-30 2015-11-19 Gas delivery system
US14/945,680 2015-11-19

Publications (2)

Publication Number Publication Date
TW201718934A true TW201718934A (zh) 2017-06-01
TWI717374B TWI717374B (zh) 2021-02-01

Family

ID=57886559

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105123525A TWI717374B (zh) 2015-07-30 2016-07-26 氣體傳輸系統

Country Status (4)

Country Link
US (1) US10957561B2 (zh)
JP (1) JP6945975B2 (zh)
KR (1) KR102531896B1 (zh)
TW (1) TWI717374B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI807659B (zh) * 2021-03-03 2023-07-01 美商艾克爾系統公司 流體流動控制系統

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016061475A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10031007B2 (en) * 2015-09-16 2018-07-24 Tokyo Electron Limited Method of calculating output flow rate of flow rate controller
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10727089B2 (en) 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10267728B2 (en) 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US11469079B2 (en) 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
CN112335016A (zh) 2018-06-13 2021-02-05 朗姆研究公司 高深宽比结构的有效率的清洁和蚀刻
WO2020154244A1 (en) 2019-01-23 2020-07-30 Lam Research Corporation Substrate processing system including dual ion filter for downstream plasma
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3534753A (en) * 1968-03-18 1970-10-20 Veriflo Corp Ratio controller for gases
DE2831856B2 (de) * 1978-07-20 1981-07-02 Drägerwerk AG, 2400 Lübeck Anordnung zum elektrisch gesteuerten Dosieren und Mischen von Gasen
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US5220515A (en) * 1991-04-22 1993-06-15 Applied Materials, Inc. Flow verification for process gas in a wafer processing system apparatus and method
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5413145A (en) * 1993-04-19 1995-05-09 Texaco Inc. Low-pressure-drop critical flow venturi
US5329965A (en) * 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5605179A (en) * 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US6050283A (en) * 1995-07-07 2000-04-18 Air Liquide America Corporation System and method for on-site mixing of ultra-high-purity chemicals for semiconductor processing
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) * 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) * 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6210593B1 (en) 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
US6062256A (en) * 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) * 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) * 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
EP1096351A4 (en) * 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6210482B1 (en) * 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
WO2001066245A2 (en) * 2000-03-07 2001-09-13 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6645302B2 (en) * 2000-04-26 2003-11-11 Showa Denko Kabushiki Kaisha Vapor phase deposition system
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP2002110570A (ja) * 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) * 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
KR20040019293A (ko) * 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
US20020189947A1 (en) * 2001-06-13 2002-12-19 Eksigent Technologies Llp Electroosmotic flow controller
US20030003696A1 (en) * 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
DE50111054D1 (de) * 2001-12-21 2006-11-02 Agilent Technologies Inc Verfahren zur Bereitstellung von Volumenströmen von Fluiden
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6810308B2 (en) * 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7552015B2 (en) * 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US6895983B2 (en) * 2002-09-26 2005-05-24 The Chemithon Corporation Method and apparatus for dividing the flow of a gas stream
JP4502590B2 (ja) * 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR20040050080A (ko) 2002-12-09 2004-06-16 주식회사 하이닉스반도체 플라즈마 식각 챔버용 포커스 링 구동 장치
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6997202B2 (en) * 2002-12-17 2006-02-14 Advanced Technology Materials, Inc. Gas storage and dispensing system for variable conductance dispensing of gas at constant flow rate
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) * 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) * 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
KR100739890B1 (ko) 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
CN1574200A (zh) * 2003-05-12 2005-02-02 艾格瑞系统有限公司 质量流控制流量检定和校准的方法
JP4224492B2 (ja) * 2003-06-09 2009-02-12 シーケーディ株式会社 圧力制御システム及び流量制御システム
JP4195837B2 (ja) * 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) * 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US20070204914A1 (en) * 2006-03-01 2007-09-06 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixing system
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4788920B2 (ja) * 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) * 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4814706B2 (ja) * 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP4806598B2 (ja) * 2006-07-18 2011-11-02 株式会社日立ハイテクノロジーズ 真空処理装置
JP5037510B2 (ja) * 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US7757541B1 (en) * 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
EP2104755A4 (en) * 2006-10-26 2011-01-12 Symyx Solutions Inc HIGH PRESSURE PARALLEL FIXED BIN REACTOR AND METHOD THEREFOR
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US8104323B2 (en) * 2006-12-05 2012-01-31 Horiba Stec, Co., Ltd. Flow controller, flow measuring device testing method, flow controller testing system, and semiconductor manufacturing apparatus
JP4642115B2 (ja) * 2006-12-12 2011-03-02 株式会社堀場エステック 流量比率制御装置
SE530902C2 (sv) * 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
WO2009057583A1 (ja) * 2007-10-31 2009-05-07 Tohoku University プラズマ処理システム及びプラズマ処理方法
US8191397B2 (en) * 2007-12-12 2012-06-05 Air Liquide Electronics U.S. Lp Methods for checking and calibrating concentration sensors in a semiconductor processing chamber
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
WO2009084422A1 (ja) * 2007-12-27 2009-07-09 Horiba Stec, Co., Ltd. 流量比率制御装置
US8037894B1 (en) * 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
WO2009091935A1 (en) * 2008-01-18 2009-07-23 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
WO2009117565A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
AU2009281170B2 (en) * 2008-08-13 2013-01-31 Shell Internationale Research Maatschappij B.V. Method for controlling a gas flow between a plurality of gas streams
US8089046B2 (en) * 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) * 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8291935B1 (en) * 2009-04-07 2012-10-23 Novellus Systems, Inc. Flexible gas mixing manifold
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
CN102473634B (zh) * 2009-08-20 2015-02-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP2013508990A (ja) 2009-10-26 2013-03-07 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Tftマトリックスを製造するためのエッチングプロセス
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US9127361B2 (en) * 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
WO2011078242A1 (ja) * 2009-12-25 2011-06-30 株式会社堀場エステック マスフローコントローラシステム
JP5466756B2 (ja) 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9000114B2 (en) * 2010-08-02 2015-04-07 Basell Polyolefine Gmbh Process and apparatus for mixing and splitting fluid streams
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8905074B2 (en) * 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
EP2649218B1 (en) 2010-12-08 2017-08-23 Evatec AG Apparatus and method for depositing a layer onto a substrate
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) * 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US9790594B2 (en) * 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9476144B2 (en) * 2011-03-28 2016-10-25 Applied Materials, Inc. Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP6068462B2 (ja) * 2011-06-30 2017-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高速ガス交換、高速ガス切換、及びプログラミング可能なガス送出のための方法及び装置
JP5377587B2 (ja) * 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) * 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) * 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) * 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) * 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9804609B2 (en) * 2012-02-22 2017-10-31 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) * 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
KR101974420B1 (ko) 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
KR101974422B1 (ko) 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US9243325B2 (en) * 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP5616416B2 (ja) * 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) * 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) * 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014163742A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
TW201530063A (zh) 2014-01-20 2015-08-01 Pro Iroda Ind Inc 具安全遮蓋的液態燃料燃燒裝置
JP6193679B2 (ja) * 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) * 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
WO2016061475A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
CN107093569B (zh) 2016-02-18 2019-07-05 北京北方华创微电子装备有限公司 一种晶片定位装置及反应腔室
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10591934B2 (en) * 2018-03-09 2020-03-17 Lam Research Corporation Mass flow controller for substrate processing
US10760944B2 (en) * 2018-08-07 2020-09-01 Lam Research Corporation Hybrid flow metrology for improved chamber matching

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI807659B (zh) * 2021-03-03 2023-07-01 美商艾克爾系統公司 流體流動控制系統
US11899477B2 (en) 2021-03-03 2024-02-13 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly

Also Published As

Publication number Publication date
JP6945975B2 (ja) 2021-10-06
US10957561B2 (en) 2021-03-23
JP2017050531A (ja) 2017-03-09
KR102531896B1 (ko) 2023-05-11
KR20170015176A (ko) 2017-02-08
TWI717374B (zh) 2021-02-01
US20170032982A1 (en) 2017-02-02

Similar Documents

Publication Publication Date Title
TWI717374B (zh) 氣體傳輸系統
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US11761084B2 (en) Substrate processing apparatus and method of processing substrate
TW522483B (en) Gas distribution apparatus for semiconductor processing
US7775236B2 (en) Method and apparatus for controlling gas flow to a processing chamber
US7072743B2 (en) Semiconductor manufacturing gas flow divider system and method
TWI686506B (zh) 被帶走的蒸汽之測量系統及方法
US20080202609A1 (en) Method and apparatus for controlling gas flow to a processing chamber
KR20170142891A (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
KR102394219B1 (ko) 기판에 디스펜싱된 액체들의 온도들을 제어하는 사용 현장 혼합 시스템들 및 방법들
TW201843343A (zh) 基板處理系統之前驅物蒸氣供應系統中的流監視系統及方法
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US20200056288A1 (en) Symmetric precursor delivery
TW202340523A (zh) 用於平衡通至基板處理系統之多個站之氣體流的閥系統
US11107704B2 (en) Gas input system for a substrate processing chamber
US20230160065A1 (en) Systems and methods for pulse width modulated dose control
CN116368260A (zh) 具有一体式转向流动路径的喷头