KR20170015176A - 가스 전달 시스템 - Google Patents

가스 전달 시스템 Download PDF

Info

Publication number
KR20170015176A
KR20170015176A KR1020160094074A KR20160094074A KR20170015176A KR 20170015176 A KR20170015176 A KR 20170015176A KR 1020160094074 A KR1020160094074 A KR 1020160094074A KR 20160094074 A KR20160094074 A KR 20160094074A KR 20170015176 A KR20170015176 A KR 20170015176A
Authority
KR
South Korea
Prior art keywords
gas
outlet
fluid communication
valve
manifold
Prior art date
Application number
KR1020160094074A
Other languages
English (en)
Other versions
KR102531896B1 (ko
Inventor
존 드루어리
요시에 키무라
제임스 아담스
요코 야마구치 아담스
토니 젬락
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170015176A publication Critical patent/KR20170015176A/ko
Application granted granted Critical
Publication of KR102531896B1 publication Critical patent/KR102531896B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Filling Or Discharging Of Gas Storage Vessels (AREA)

Abstract

기판 프로세싱 시스템을 위한 가스 전달 시스템은 제 1 매니폴드 및 제 2 매니폴드를 포함한다. 가스 전달 서브시스템은 가스 소스들로부터 가스들을 선택적으로 전달한다. 가스 전달 서브 시스템은 제 1 가스 혼합물을 제 1 매니폴드로 전달하고 제 2 가스 혼합물을 제 2 매니폴드로 전달한다. 가스 스플리터는 제 2 매니폴드의 유출부와 유체로 연통하는 유입부, 제 1 매니폴드의 유출부와 유체로 연통하는 제 1 유출부, 및 제 2 유출부를 포함한다. 가스 스플리터는 제 2 가스 혼합물을 제 1 유출부로 출력되는 제 1 플로우 레이트의 제 1 부분 및 제 2 유출부로 출력되는 제 2 플로우 레이트의 제 2 부분으로 스플릿한다 (split). 기판 프로세싱 시스템의 제 1 존 및 제 2 존은 가스 스플리터의 제 1 유출부 및 제 2 유출부와 각각 유체로 연통한다.

Description

가스 전달 시스템{GAS DELIVERY SYSTEM}
본 개시는 가스 전달 시스템들, 보다 구체적으로 기판 프로세싱 시스템들을 위한 가스 전달 시스템들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 프로세싱 챔버 및 페데스탈을 포함한다. 반도체 웨이퍼와 같은 기판은 프로세싱 동안 페데스탈 상에 배치된다. 기판 프로세싱 시스템들은 기판 상의 막을 증착, 에칭 또는 달리 처리하도록 사용될 수도 있다.
가스 전달 시스템들은 프로세싱 챔버 내로 가스 혼합물들을 전달하도록 사용된다. 가스 혼합물들은 하나 이상의 프로세스 가스들 및/또는 전구체 가스들을 포함한다. 프로세스 또는 레시피의 다양한 단계들 동안 상이한 가스 혼합물들이 프로세싱 챔버로 공급된다. 정지 시간 (downtime) 을 개입시키지 않고 상이한 가스 혼합물들을 신속하고 정확하게 공급하는 것은 전체 프로세싱 시간을 감소시킨다.
현재 가스 전달 시스템들은 질량 유량 제어기들 (MFCs) 에 의해 매니폴드에 연결된 가스 소스들을 갖는 가스 전달 서브시스템을 포함한다. 매니폴드의 유출부는 기판 프로세싱이 일어나는 프로세싱 챔버에 연결된다. 별도의 가스 공급부는 가스 또는 가스들의 혼합물을 프로세싱 챔버의 제 2 존으로 공급할 수도 있다.
이제 도 1을 참조하면, 가스 전달 시스템 (10) 은, 가스 밸브들 및 질량 유량 제어기들 (MFCs) 을 포함하는 가스 전달 서브시스템 (11) 에 연결된 N 개의 가스 소스들을 포함하고, 여기서 N은 1보다 큰 정수이다. 밸브들 및 MFC들은 N 개의 프로세스 가스 소스들 중 하나 이상으로부터 가스 혼합물들을 공급하도록 사용된다. 가스 전달 서브시스템 (11) 의 출력들은, 가스들이 혼합되는 혼합 매니폴드 (12) 로 입력되고, 복수의 가스 유출부들 중 하나 이상으로 출력된다. 밸브 연결된 매니폴드 (13) 는 혼합 매니폴드 (12) 의 복수의 가스 유출부들 중 하나 이상으로부터의 가스들을 수용하고 가스 혼합물을 프로세싱 챔버의 제 1 존으로 출력한다. 튜닝 가스 소스 (14) 는 밸브 (15) (그리고 선택가능하게 MFC) 를 통해 제 2 존으로 튜닝 가스 혼합물을 공급한다.
프로세싱 챔버의 제 2 존으로의 가스들의 혼합물로 하여금 변화되게 하기 위해, 부가적인 가스 소스들 및 MFC들이 제 2 매니폴드와 함께 제공될 수도 있다. 대안적으로, MFC로의 가스 연결부가 변화되고, 이는 재구성을 필요로 하고 통상적으로 프로세스 단계들 또는 레시피들 사이에서 수행될 수 없다. 또 다른 방법에서, 프로세싱 챔버로 공급되던 제어기로부터의 플로우는 대신 제 1 매니폴드와 제 2 매니폴드 간의 가스 플로우를 스위칭하는 밸브들을 갖는 제 2 매니폴드를 사용하여 제 2 존으로 공급된다.
기판 프로세싱 시스템을 위한 가스 전달 시스템은 제 1 매니폴드 및 제 2 매니폴드를 포함한다. 가스 전달 서브시스템은 N 개의 가스 소스들로부터 N 개의 가스들을 선택적으로 전달한다. 가스 전달 서브시스템은 N 개의 가스들 중 P 개의 가스들을 포함하는 제 1 가스 혼합물을 제 1 매니폴드로 전달하고, N 개의 가스들 중 Q 개의 가스들을 포함하는 제 2 가스 혼합물을 제 2 매니폴드로 전달하도록 구성되고, 여기서 N, P 및 Q는 정수들이다. P + Q는 N 이하이고, 그리고 N은 2보다 크다. 가스 스플리터는 제 2 매니폴드의 유출부와 유체로 연통하는 유입부, 제 1 매니폴드의 유출부와 유체로 연통하는 제 1 유출부 및 제 2 유출부를 포함한다. 가스 스플리터는, 가스 스플리터의 제 1 유출부로 출력되는 제 1 플로우 레이트의 제 1 부분 및 가스 스플리터의 제 2 유출부로 출력되는 제 2 플로우 레이트의 제 2 부분으로 제 2 가스 혼합물을 스플릿한다 (split). 기판 프로세싱 시스템의 제 1 존은 가스 스플리터의 제 1 유출부와 유체로 연통하고 기판 프로세싱 시스템의 제 2 존은 가스 스플리터의 제 2 유출부와 유체로 연통한다.
다른 특징들에서, 가스 전달 서브시스템은 N 개의 가스 채널들을 포함하고, 가스 채널들 각각은, N 개의 가스 소스들 중 하나와 유체로 연통하는 유입부를 포함하는 제 1 밸브를 포함한다. 질량 유량 제어기는 제 1 밸브의 유출부와 유체로 연통하는 유입부를 포함한다. 제 2 밸브는 질량 유량 제어기의 유출부와 유체로 연통하는 유입부 및 제 1 매니폴드와 선택적으로 유체로 연통하는 유출부를 포함한다. 제 3 밸브는 질량 유량 제어기의 유출부와 유체로 연통하는 유출부 및 제 2 매니폴드와 선택적으로 유체로 연통하는 유출부를 포함하는를 포함한다.
다른 특징들에서, 제 1 밸브는 제 2 매니폴드로 밸러스트 (ballast) 가스를 선택적으로 공급하도록 밸러스트 가스 소스와 연통한다. 제 2 밸브는 가스 스플리터의 제 2 유출부로 밸러스트 가스를 선택적으로 공급하도록 밸러스트 가스 소스와 유체로 연통한다. 제 1 밸브는, 제 2 가스 혼합물의 플로우 레이트가 가스 스플리터를 통해 초크된 플로우 상태 (choked flow condition) 를 생성하기에 불충분할 때 제 2 매니폴드로 밸러스트 가스를 공급한다.
다른 특징들에서, 가스 스플리터는 M 개의 밸브들 및 M 개의 밸브들 중 대응하는 밸브와 연통하는 M 개의 제한된 오리피스들을 포함한다. M 개의 제한된 오리피스들 중 적어도 2 개는 상이한 오리피스 사이즈들을 갖는다.
기판 프로세싱 시스템 가스 전달 시스템 및 프로세싱 챔버를 포함한다. 기판 지지부는 프로세싱 챔버 내에 배치된다. 제 1 주입기는 프로세싱 챔버의 제 1 위치에 배치된다. 제 1 주입기는 제 1 존에 대응한다. 제 2 주입기는 제 1 위치로부터 이격된 프로세싱 챔버의 제 2 위치에 배치된다. 제 2 주입기는 제 2 존에 대응한다.
다른 특징들에서, 프로세싱 챔버는 유전체 윈도우를 포함한다. 제 1 주입기는 유전체 윈도우의 개구부에 배치된다. 프로세싱 챔버는 측벽들을 포함한다. 제 2 주입기는 측벽들 중 적어도 하나 상에 배치된다.
다른 특징들에서, 제 1 밸브는 가스 스플리터의 제 1 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함한다. 제 2 밸브는 가스 스플리터의 제 2 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함한다.
다른 특징들에서, 제어기는 제 2 가스 혼합물의 제 1 부분 및 제 2 가스 혼합물의 제 2 부분의 상대적인 플로우 레이트들을 계산한다.
다른 특징들에서, 압력 센서는 프로세싱 챔버 내에 배치되고 제어기와 연통한다. 제어기는, 제 1 밸브를 진공으로 개방하고 제 2 밸브를 진공에 대해 폐쇄하고; 프로세싱 챔버 내에서 캘리브레이션 가스 및 제 2 가스 혼합물 중 하나의 제 1 부분의 압력의 상승 레이트를 측정하고; 제 2 밸브를 진공으로 개방하고 제 1 밸브를 진공에 대해 폐쇄하고; 그리고 프로세싱 챔버 내에서 캘리브레이션 가스 및 제 2 가스 혼합물 중 하나의 제 2 부분의 압력의 상승 레이트를 측정함으로써, 제 2 가스 혼합물의 제 1 부분 및 제 2 가스 혼합물의 제 2 부분의 상대적인 플로우 레이트들을 계산한다.
다른 특징들에서, 제 1 매니폴드는 가스 전달 서브시스템과 유체로 연통하는 제 1 혼합 매니폴드 및 제 1 혼합 매니폴드와 유체로 연통하는 제 1 밸브 연결된 매니폴드를 포함한다. 제 2 매니폴드는 가스 전달 서브시스템과 유체로 연통하는 제 2 혼합 매니폴드 및 제 2 혼합 매니폴드와 유체로 연통하는 제 2 밸브 연결된 매니폴드를 포함한다.
기판 프로세싱 시스템을 위한 가스 전달 시스템은 제 1 매니폴드 및 제 2 매니폴드를 포함한다. 가스 전달 서브시스템은 N 개의 가스 소스들로부터 N 개의 가스들을 선택적으로 전달한다. 가스 전달 서브시스템은 N 개의 가스들 중 P 개의 가스들을 포함하는 제 1 가스 혼합물을 제 1 매니폴드로 전달하고, N 개의 가스들 Q 개의 가스들을 포함하는 제 2 가스 혼합물을 제 2 매니폴드로 전달하도록 구성되고, 여기서 N, P 및 Q는 정수들이고, P + Q는 N 이하이고, 그리고 N은 2보다 크다.
제 1 가스 스플리터는 제 1 매니폴드의 유출부와 유체로 연통하는 유입부, 제 1 유출부 및 제 2 유출부를 포함한다. 제 1 가스 스플리터는 제 1 가스 스플리터의 제 1 유출부로 출력되는 제 1 부분 및 제 1 가스 스플리터의 제 2 유출부로 출력되는 제 2 부분으로 제 1 가스 혼합물을 스플릿한다. 제 2 가스 스플리터는 제 2 매니폴드의 유출부와 유체로 연통하는 유입부, 제 1 유출부, 제 2 유출부, 및 제 3 유출부를 포함한다. 제 2 가스 스플리터는 제 2 가스 스플리터의 제 1 유출부로 출력되는 제 1 부분, 제 2 가스 스플리터의 제 2 유출부로 출력되는 제 2 부분, 및 제 1 가스 스플리터의 제 3 유출부로 출력되는 제 3 부분으로 제 2 가스 혼합물을 스플릿한다. 기판 프로세싱 시스템의 제 1 존은 제 1 가스 스플리터의 제 1 유출부 및 제 2 가스 스플리터의 제 1 유출부와 유체로 연통한다. 기판 프로세싱 시스템의 제 2 존은 제 1 가스 스플리터의 제 2 유출부 및 제 2 가스 스플리터의 제 2 유출부와 유체로 연통한다. 기판 프로세싱 시스템의 제 3 존은 제 2 가스 스플리터의 제 3 유출부와 유체로 연통한다.
다른 특징들에서, 가스 전달 서브시스템은 N 개의 가스 채널들을 포함하고, 가스 채널들 각각은, 제 1 밸브 및 제 1 밸브의 유출부와 유체로 연통하는 유입부를 포함한다. 제 2 밸브는 질량 유량 제어기의 유출부와 유체로 연통하는 유입부 및 제 1 매니폴드와 선택적으로 유체로 연통하는 유출부를 포함한다. 제 3 밸브는 질량 유량 제어기의 유출부와 유체로 연통하는 유입부 및 제 2 매니폴드와 선택적으로 유체로 연통하는 유출부를 포함한다.
다른 특징들에서, 제 1 밸브는 제 1 매니폴드로 밸러스트 가스를 선택적으로 공급하도록 밸러스트 가스 소스와 유체로 연통한다. 제 2 밸브는 제 2 매니폴드로 밸러스트 가스를 선택적으로 공급하도록 밸러스트 가스 소스와 유체로 연통한다. 제 2 밸브는 제 2 가스 혼합물의 플로우 레이트가 제 2 가스 스플리터를 통해 초크된 플로우 상태를 생성하기에 불충분할 때 제 2 매니폴드로 밸러스트 가스를 공급한다.
다른 특징들에서, 제 1 가스 스플리터는 M 개의 밸브들 및 M 개의 밸브들 중 대응하는 하나와 연통하는 M 개의 제한된 오리피스들을 포함한다. M 개의 제한된 오리피스들 중 적어도 2 개는 상이한 오리피스 사이즈를 갖는다.
다른 특징들에서, 제 1 밸브는 제 2 가스 스플리터의 제 1 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함한다. 제 2 밸브는 제 2 가스 스플리터의 제 2 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함한다. 제 3 밸브는 제 2 가스 스플리터의 제 3 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함한다. 제 4 밸브는 제 2 가스 스플리터의 제 3 유출부와 유체로 연통하는 유입부 및 제 3 존과 유체로 연통하는 유출부를 포함한다.
다른 특징들에서, 제어기는 제 1 튜닝 가스 혼합물의 플로우 레이트 및 제 2 튜닝 가스 혼합물의 플로우 레이트가 제 2 가스 스플리터를 통해 초크된 플로우 상태를 생성하기에 불충분할 때 제 1 밸브, 제 2 밸브 및 제 4 밸브를 폐쇄하고 제 3 튜닝 가스 혼합물을 진공으로 방향전환하도록 구성된다.
기판 프로세싱 시스템은 가스 전달 시스템, 프로세싱 챔버, 프로세싱 챔버 내에 배치된 기판 지지부, 프로세싱 챔버의 제 1 위치에 배치되고 중앙 주입기 및 측부 주입기 (side injector) 를 포함하는 제 1 주입기를 포함한다. 중앙 주입기는 제 1 존에 대응하고 측부 주입기는 제 2 존에 대응한다. 제 2 주입기는 제 1 위치로부터 이격된 프로세싱 챔버의 제 2 위치에 배치된다. 제 2 주입기는 제 3 존에 대응한다.
다른 특징들에서, 프로세싱 챔버는 유전체 윈도우를 포함한다. 제 1 주입기는 유전체 윈도우 내의 캐비티 내에 배치된다. 프로세싱 챔버는 측벽들을 포함한다. 제 2 주입기는 측벽들 중 적어도 하나 상에 배치된다.
다른 특징들에서, 제 1 밸브는 제 2 가스 스플리터의 제 1 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함한다. 제 2 밸브는 제 2 가스 스플리터의 제 2 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함한다. 제 3 밸브는 제 2 가스 스플리터의 제 3 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함한다.
다른 특징들에서, 제어기는 제 2 가스 혼합물의 제 1 부분, 제 2 가스 혼합물의 제 2 부분 및 제 2 가스 혼합물의 제 3 부분의 상대적인 플로우 레이트들을 계산한다.
다른 특징들에서, 압력 센서는 프로세싱 챔버 내에 배치되고 제어기와 연통한다. 제어기는, 제 2 밸브 및 제 3 밸브를 진공으로 개방하고; 제 1 밸브를 진공에 대해 폐쇄하고; 프로세싱 챔버 내에서 캘리브레이션 가스 및 제 2 가스 혼합물 중 하나의 제 1 부분의 압력의 제 1 상승 레이트를 측정하고; 제 1 밸브 및 제 3 밸브를 진공으로 개방하고; 제 2 밸브를 진공에 대해 폐쇄하고; 프로세싱 챔버 내에서 캘리브레이션 가스 및 제 2 가스 혼합물 중 하나의 제 2 부분의 압력의 제 2 상승 레이트를 측정하고; 제 1 밸브 및 제 2 밸브를 진공으로 개방하고; 제 3 밸브를 진공에 대해 폐쇄하고; 그리고 프로세싱 챔버 내에서 캘리브레이션 가스 및 제 2 가스 혼합물 중 하나의 제 3 부분의 압력의 제 3 상승 레이트를 측정함으로써, 제 2 가스 혼합물의 제 1 부분, 제 2 가스 혼합물의 제 2 부분 및 제 2 가스 혼합물의 제 3 부분의 상대적인 플로우 레이트들을 계산한다.
다른 특징들에서, 제어기는 제 1 상승 레이트, 제 2 상승 레이트, 및 제 3 상승 레이트에 기초하여, 제 2 가스 혼합물의 제 1 부분, 제 2 가스 혼합물의 제 2 부분 및 제 2 가스 혼합물의 제 3 부분의 상대적인 플로우 레이트들을 결정한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 종래 기술에 따른 가스 전달 시스템의 기능적 블록도이다.
도 2는 본 개시에 따른 가스 전달 시스템의 예의 기능적 블록도이다.
도 3a는 본 개시에 따른 가스 밸브들 및 질량 유량 제어기들을 포함하는 가스 전달 시스템의 예의 기능적 블록도이다.
도 3b는 밸러스트 가스를 공급하기 위한 밸브들 및 MFC의 기능적 블록도이다.
도 4는 본 개시에 따른 밸브 연결된 매니폴드의 예의 기능적 블록도이다.
도 5는 본 개시에 따른 듀얼 가스 피드의 예의 기능적 블록도이다.
도 6은 본 개시에 따른 듀얼 가스 피드의 또 다른 예의 기능적 블록도이다.
도 7은 본 개시에 따른 프로세싱 챔버의 예의 기능적 블록도이다.
도 8은 본 개시에 따른 제어 시스템의 예의 기능적 블록도이다.
도 9는 본 개시에 따른 가스 전달 시스템의 또 다른 예의 기능적 블록도이다.
도 10은 도 9의 가스 전달 시스템을 위한 듀얼 가스 피드의 예의 기능적 블록도이다.
도 11은 도 9의 가스 전달 시스템을 위한 트리플 가스 피드의 예의 기능적 블록도이다.
도 12는 도 9의 가스 전달 시스템을 위한 트리플 가스 피드의 또 다른 예의 기능적 블록도이다.
도 13 내지 도 15는 본 개시에 따른 다양한 예시적인 동작 모드들의 도 9의 가스 전달 시스템의 예들의 기능적 블록도들이다.
도 16 내지 도 18은 플로우 레이트들을 캘리브레이팅하기 위한 방법들의 예들을 예시한다.
도 19 및 도 20은 저 플로우 레이트 조건들에서 밸러스트 가스를 사용하기 위한 방법들의 예들을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
관련 출원들의 교차 참조
본 출원은 2015년 7월 30일 출원된 미국 특허 가 출원 제 62/199,031 호의 이익을 주장하고, 상기 출원은 전체가 참조로서 본 명세서에 인용된다.
본 개시에 따른 가스 전달 시스템들 및 방법들은 주 프로세스 가스와 튜닝 가스의 상이한 혼합물들로 하여금 프로세싱 챔버 내 2 이상의 존들로 제공되게 하는 한편, 동일한 가스를 (가스 소스를 복제하지 않고) 2 이상의 존들로 공급하도록 단일 질량 유량 제어기들의 사용을 허용한다. 이는 가스 혼합물들로 하여금 감소된 비용 및 복잡도로 존들에 제공되게 한다.
가스들은 때때로, 주 프로세스 가스 매니폴드 및 튜닝 가스 매니폴드로 본 명세서에서 지칭되는 2 이상의 매니폴드들 중 하나로 질량 유량 제어기들 (MFCs) 을 통해 공급된다. 가스 각각에 대한 목적지 매니폴드는 밸브들을 사용하여 선택될 수 있다. 일부 예들에서, 선택가능하게 주 프로세스 가스 매니폴드로부터의 플로우는 상이한 플로우 레이트들로 동일한 가스 조성을 각각 갖는 2 이상의 플로우들로 스플릿될 수도 있다. 일부 예들에서, 플로우들은 멀티존 주입기들, 2 이상의 주입기들, 샤워헤드, 측부 주입기들, 등을 사용하여 프로세싱 챔버의 상이한 존들로 공급된다.
일부 예들에서, 주 프로세스 가스 스플릿은 연속적으로 제어가능한 제 1 가스 플로우 스플리터 또는 플로우 제한 오리피스들의 선택가능한 뱅크들을 사용하여 수행된다. 튜닝 가스 매니폴드로부터의 플로우는, 통상적으로 주 프로세스 가스 플로우를 위해 사용된 제 1 가스 플로우 스플리터보다 하나 더 많은 유출부를 갖는 제 2 가스 플로우 스플리터를 사용하여 스플릿된다. 일부 예들에서, 제 2 가스 플로우 스플리터의 일 브랜치로부터의 플로우는 프로세싱 챔버의 다른 영역, 통상적으로 웨이퍼 주변의 최외곽 존 아웃보드 (outboard) 로 지향된다 (예컨대 STG (부 튜닝 가스)).
제 2 가스 플로우 스플리터로부터의 플로우들은 제 1 가스 플로우 스플리터로부터 다운스트림의 주 가스 플로우들과 재결합될 수도 있다. 밸러스트 가스의 별도의 전달은 부 튜닝 가스 혼합물의 플로우를 미리 결정된 최소 레벨로 증가시키기 위해 STG 플로우와 결합될 수도 있다. 그 결과는 가스들의 많은 목표된 혼합물들이 값비싼 MFC들의 수를 증가시키지 않고 프로세싱 챔버의 존들로 전달될 수도 있다는 것이다. 게다가, 전달 시스템으로 공급된 모든 프로세스 가스는 부 튜닝 가스로서 사용될 수도 있는 한편, 또한 동시에 (동일한 가스를 전달하기 위한 하드웨어를 두번 제공하지 않고) 주 프로세스 가스 플로우로 사용된다.
본 개시의 시스템들 및 방법들은, 프로세싱 챔버의 상이한 존들로의 가스 전달의 유연한 선택을 제공하면서, 가스 제어 스틱들의 수를 최소화하도록 튜닝 가스 매니폴드로부터의 가스를 주 프로세스 가스 매니폴드로부터의 플로우와 재결합한다.
이제 도 2를 참조하면, 가스 전달 시스템 (18) 이 도시된다. N 개의 가스 소스들은 밸브들 및 질량 유량 제어기들 (MFCs) 을 포함하는 가스 전달 서브시스템 (20) 에 연결되고, 여기서 N은 2보다 큰 정수이다. 일부 예들에서, N은 17과 같지만, 보다 크거나 보다 작은 N 값들이 사용될 수도 있다. 제 1 혼합 매니폴드 (24) 는 가스 전달 서브시스템 (20) 의 출력부들과 유체로 연통한다. 제 1 혼합 매니폴드 (24) 의 하나 이상의 출력부들은, 주 프로세스 가스 혼합물을 출력하는 제 1 밸브 연결된 매니폴드 (26) 와 유체로 연통한다.
제 2 혼합 매니폴드 (30) 는 가스 전달 서브시스템 (20) 의 출력부들과 유체로 연통한다. 제 2 혼합 매니폴드 (30) 의 하나 이상의 출력부들은 제 2 밸브 연결된 매니폴드 (34) 와 유체로 연통한다. (튜닝 가스 혼합물을 포함하는) 제 2 밸브 연결된 매니폴드 (34) 의 출력부는 DGF (dual gas feed) (38) 와 유체로 연통한다. DGF (38) 로부터의 튜닝 가스 혼합물의 제 1 부분은 제 1 밸브 연결된 매니폴드 (26) 에 의한 주 프로세스 가스 출력과 결합되고 이어서 프로세싱 챔버의 제 1 존으로 출력된다. 일부 예들에서, 제 1 존은 프로세싱 챔버의 상단 표면 상에 위치된 주입기에 대응한다.
DGF (38) 로부터의 튜닝 가스 혼합물의 제 2 부분은 밸러스트 가스 (밸러스트 가스 소스 (40) 로부터 밸브 (44) 를 통해) 와 선택적으로 결합되고, 프로세싱 챔버의 제 2 존으로 출력된다. 일부 예들에서, 제 2 존은 프로세싱 챔버의 측벽들을 따라 위치된 부 튜닝 가스 (STG) 위치들에 대응한다. 일부 예들에서, 밸러스트 가스는 헬륨 (He) 을 포함하지만, 하나 이상의 다른 불활성 가스들 또는 임의의 다른 밸러스트 가스들이 사용될 수도 있다. 선택가능하게 밸러스트 가스는 이하에 기술될 바와 같이 일부 동작 모드들 동안 제 2 혼합 매니폴드 (30) 로 공급될 수도 있다.
예를 들어, 튜닝 가스 혼합물이 상대적으로 낮은 플로우 레이트들로 공급될 때, DGF (38) 내의 제한된 오리피스들에서 초크된 플로우 상태를 생성하기에 불충스플릿 플로우가 있을 수도 있다. 초크된 플로우 상태들은 통상적으로 제한된 오리피스에 걸쳐 2 배 이상의 압력 강하가 있을 때 존재한다. 초크된 플로우 상태가 존재할 때, 오리피스를 가로지르는 플로우는 다운스트림 압력에 독립적이다. 따라서, 밸러스트 가스는 튜닝 가스 혼합물이 초크된 플로우 상태를 생성하기 위해 필요한 것보다 낮은 플로우 레이트를 가질 때 제 2 혼합 매니폴드 (30) 로 공급될 수도 있다. 밸러스트 가스는 초크된 플로우 상태를 생성하도록 플로우 레이트를 상승시킨다. 예를 들어, 초크된 플로우 상태는 약 50 sccm에서 일어날 수도 있다. 튜닝 가스 플로우가 50 sccm보다 낮을 때, 밸러스트 가스는 플로우 레이트를 상승시키도록 제 2 혼합 매니폴드 (30) 에 공급될 수 있다. 예를 들어, 10 sccm의 튜닝 가스가 공급된다면, DGF (38) 내의 튜닝 가스와 함께 초크된 플로우 상태를 생성하도록 40 sccm의 밸러스트 가스가 제 2 혼합 매니폴드 (30) 로 공급될 수 있다.
밸브들 (46 및 48) 은 이하에 더 기술될 바와 같이 플로우 레이트 캘리브레이션 동안 진공으로 가스 출력부들을 선택적으로 연결한다.
이제 도 3a를 참조하면, 가스 전달 서브시스템 (20) 은 가스 소스들 (50-1, 50-2, ..., 및 50-N) (집합적으로 가스 소스들 (50)) 을 포함한다. 일부 예들에서, N 개의 가스 소스들은 N 개의 상이한 가스들 또는 가스 혼합물들을 포함한다. 가스 소스들 (50-1, 50-2, ..., 및 50-N) 의 출력부들은 제 1 밸브들 (52-1, 52-2, 및 ... 52-N) (집합적으로 제 1 밸브들 (52)) 의 유입부들과 각각 유체로 연통한다. 제 1 밸브들 (52-1, 52-2, ..., 및 52-N) 의 출력부들은 제 2 밸브들 (54-1, 54-2, ..., 및 54-N) (집합적으로 제 2 밸브들 (54)) 의 유입부들과 각각 유체로 연통한다. 제 2 밸브들 (54-1, 54-2, 및 ... 54-N) 의 출력부들은, 가스 소스들 (50) 의 플로우 레이트들을 제어하는 MFC들 (56-1, 56-2, ..., 및 56-N) (집합적으로 MFC들 (56)) 과 각각 유체로 연통한다. MFC들 (56-1, 56-2, ..., 및 56-N) 은 밸브들 (58-1, 58-2, ..., 및 58-N) (집합적으로 밸브들 (58)) 및 밸브들 (60-1, 60-2, ..., 및 60-N) (집합적으로 밸브들 (60)) 의 유입부들과 각각 유체로 연통한다. 밸브들 (58) 은 제 2 혼합 매니폴드 (30) 와 유체로 연통하고 밸브들 (60) 의 출력부들은 제 1 혼합 매니폴드 (24) 과 유체로 연통한다. N은 1보다 큰 정수이다.
이제 도 3b를 참조하면, 가스 전달 서브시스템 (20) 은 또한 가스 소스 (50-B) 로부터 제 1 매니폴드 및 제 2 매니폴드로 그리고/또는 이하에 더 기술될 바와 같은 다른 위치들로 밸러스트 가스를 전달하도록 사용될 수도 있다. 밸브들 (52-B, 54-B, 58-B 및 60-B) 및 MFC (56-B) 는 상기 기술된 바와 같이 동작한다. 밸브 (61-B) 는 이하에 기술될 바와 같이 다른 위치들로 밸러스트 가스를 전달하도록 사용된다.
이제 도 4를 참조하면, 밸브 연결된 매니폴드 (34) 가 더 상세히 도시되고 유입부 밸브들 (62-1, 62-2, ..., 및 62-T) (집합적으로 유입부 밸브들 (62)) 및 유출부 밸브들 (63)-1, 63-2, ..., 및 63-M) (집합적으로 유출부 밸브들 (63)) 을 포함한다. 유입부 밸브들 (62) 은 제 2 혼합 매니폴드 (30) 및 밸브 연결된 매니폴드 (34) 내의 가스 혼합물의 플로우를 지향성으로 제어하도록 사용될 수도 있다. 일부 예들에서, T 및 M은 0보다 큰 정수이고 T는 M보다 크거나 같다. 예를 들어, 도 4에서, T=3 및 M=1이다. 도 9의 가스 전달 시스템과 같은 다른 예들에서, 혼합 매니폴드는 생략되고 T는 가스 소스들의 수 (예를 들어 17) 와 같고 M은 2 내지 4와 같지만, 다른 수들의 유입부들 및 유출부들이 사용될 수도 있다.
예를 들어, 일부 상황들에서 제 2 혼합 매니폴드 (30) 로 들어가는 프로세스 가스 혼합물은 제 2 혼합 매니폴드 (30) 의 일 단부에서 수용될 수도 있다. 후속하여, 다른 가스들이 제 2 혼합 매니폴드 (30) 의 중간에서 또는 제 2 혼합 매니폴드 (30) 의 반대편 단부에서 수용될 수도 있다. 밸브들 (62) 은 레시피의 이전 단계로부터의 가스가 제 2 혼합 매니폴드 (30) 및 밸브 연결된 매니폴드 (34) 로부터 적절히 배출된다는 것을 보장하도록 스위칭되고 제어된다.
이제 도 5를 참조하면, DGF (38) 는 튜닝 가스 혼합물을 프로세싱 챔버의 제 1 존 또는 제 2 존으로 공급되는 제 1 부분 및 제 2 부분으로 스플릿하는 가변 스플리터 (65) 를 포함할 수도 있다. 튜닝 가스 혼합물의 제 1 부분 및 제 2 부분은 동일하거나 상이한 플로우 레이트들을 가질 수도 있다. 가변 스플리터 (65) 는 프로세싱 챔버의 제 1 존으로의 제 1 부분 및 제 2 부분의 플로우 레이트들을 각각 조정하도록 그리고/또는 프로세싱 챔버의 제 2 존으로의 제 1 부분 및 제 2 부분의 플로우 레이트들을 각각 조정하도록 이하에 기술된 제어기에 의해 제어될 수도 있다.
이제 도 6을 참조하면, DGF (38) 의 예가 도시된다. DGF (38) 의 유입부는 밸브들 (70-1, 70-2, ..., 및 70-P) (집합적으로 밸브들 (70)) 의 유입부들과 유체로 연통한다. 밸브들 (70) 의 유출부들은 제한된 오리피스들 (72-1, 72-2, ..., 및 72-P) (집합적으로 제한된 오리피스들 (72)) 과 유체로 연통한다. 일부 예들에서, 제한된 오리피스들 (72) 중 적어도 2 개는 상이한 오리피스 사이즈들을 갖는다. 일부 예들에서, 모든 제한된 오리피스들 (72) 은 상이한 사이즈들을 갖는다. 제한된 오리피스들 (72) 의 출력부들은 밸브들 (78 및 80) 의 유입부들과 유체로 연통한다. DGF (38) 의 유입부는 또한 밸브 (74) 의 유입부에 연결된다. 밸브 (74) 의 유출부는 제한된 오리피스 (76) 의 유입부에 연결된다. 일부 예들에서, 모든 제한된 오리피스들 (72) 은 상이한 오리피스 사이즈들을 갖고 제한된 오리피스 (76) 는 제한된 오리피스들 (72) 중 하나와 동일한 오리피스 사이즈를 갖지만, 다른 조합들이 사용될 수 있다. 제한된 오리피스 (76) 의 유출부는 밸브들 (82 및 84) 의 유입부들에 연결된다. 밸브들 (78 및 82) 의 유출부들은 프로세싱 챔버의 제 1 존을 채운다. 밸브들 (80 및 82) 의 유출부들은 프로세싱 챔버의 제 2 존을 채운다.
이해될 수 있는 바와 같이, 밸브들 (82 및 84) 의 상태들은 제한된 오리피스 (76) 를 사용하여 제 1 존 또는 제 2 존을 채우도록 선택될 수 있다. 제 1 존 또는 제 2 존 중 다른 하나는 복수의 제한된 오리피스들 (72) 중 하나를 통해 채워진다. 일부 예들에서, 제어기는 프로세스 가스로 하여금 대응하는 제한된 오리피스(들)를 통해 흐르게 하도록 하나 이상의 밸브들 (70) 을 개방한다. 이해될 수 있는 바와 같이, 제 1 존 및 제 2 존 각각으로의 튜닝 가스 혼합물의 제 1 부분 및 제 2 부분의 상대적인 가스 플로우들이 조정될 수도 있다.
이제 도 7을 참조하면, 프로세싱 챔버 (86) 의 예가 도시된다. 이해될 수 있는 바와 같이, 특정한 프로세싱 챔버가 도시되지만, 다른 프로세싱 챔버들이 사용될 수도 있다. 프로세싱 챔버 (86) 는 기판 지지부 (87), 예컨대 정전 척, 페데스탈, 플레이트 또는 다른 기판 지지부를 포함한다. 일부 예들에서, 기판 지지부 (87) 는 저항성 히터들, 냉각 채널들 및/또는 다른 적합한 온도 제어 엘리먼트들을 사용하여 온도 제어된다. 기판 (88) 은 기판 처리 동안 기판 지지부 (87) 상에 배치될 수도 있다. 프로세싱 챔버 (86) 의 상단 표면은 유전체 윈도우 (85) 를 포함할 수도 있다. 유도 코일 (89) 은 유전체 윈도우 (85) 의 대기측 또는 외측 표면 상에 배치될 수도 있다.
주입기 (90) 는 프로세싱 챔버 (86) 내로 프로세스 가스들을 주입하도록 유전체 윈도우 (85) 에 인접하게 배치되거나 유전체 윈도우 (85) 상에 장착될 수도 있다. 일부 예들에서, 주입기 (90) 는 중앙 주입 위치 (91) 및 하나 이상의 에지 주입기 위치들 (92) 을 포함한다. 중앙 주입 위치 (91) 는 기판을 향해 대체로 하향 방향으로 프로세스 가스를 주입한다. 에지 주입기 위치들 (92) 은 중앙 주입 위치 (91) 의 방향에 대해 외측 각으로 프로세스 가스를 주입한다. 프로세싱 챔버는 프로세싱 챔버 (86) 의 측벽들에 배치된 하나 이상의 부 튜닝 가스 주입 위치들 (93) 을 더 포함한다.
이제 도 8을 참조하면, 프로세싱 챔버 (86) 를 위한 제어 시스템의 예가 주 프로세스 가스 플로우 및 튜닝 가스 플로우를 제어하기 위해 밸브들 (96) 및 MFC들 (97) 과 연통하는 제어기 (95) 를 포함하는 것으로 도시된다. 제어기 (95) 는, 유도 코일들 (89) 로 RF 전력을 선택적으로 공급하는 RF 생성기 (98) 와 또한 연통한다. 제어기 (95) 는 또한 프로세싱 챔버 내 압력을 제어하도록 그리고/또는 프로세싱 챔버 (86) 로부터 반응물질들을 선택적으로 배출하도록 펌프 (99) (및 밸브들 (96) 중 대응하는 하나) 를 제어한다. 제어기 (95) 는 또한 프로세싱 챔버 내의 압력을 측정하기 위한 압력 센서 (83) 와 연통한다. 예를 들어, 제어기 (95) 는 이하에 더 기술될 바와 같이 연속적인 상승 레이트 계산들을 사용하여 가스 스플리터를 캘리브레이팅하도록 사용될 수도 있다.
이제 도 9를 참조하면, 가스 전달 시스템 (100) 이 MG (multiple gas)/STG (site tuning gas) 모드 및 RTC (reaction trajectory control) 모드를 위해 구성된다. 도 2의 가스 전달 시스템이 우측으로 주 프로세스 가스를 전달하고 좌측으로 튜닝 가스를 전달하지만, 도 9의 가스 전달 시스템 (100) 은 좌측으로 주 프로세스 가스를 전달하고 우측으로 튜닝 가스를 전달한다. 부가적으로, 도 2의 가스 전달 시스템은 제 1 존 또는 제 2 존으로 상이한 가스 플로우들 및 가스 혼합물들을 전달하지만, 도 9의 가스 전달 시스템은 이하에 더 기술될 바와 같이, 제 1 존, 제 2 존 및 제 3 존으로 상이한 가스 플로우들 및 가스 혼합물들을 전달한다.
N 개의 프로세스 가스 소스들 및 헬륨과 같은 밸러스트 가스는 밸브들 및 MFC들을 포함하는 가스 전달 서브시스템 (110) 에 연결되고, 여기서 N은 2보다 큰 정수이다. 일부 예들에서, N은 17과 같지만, 다른 값들이 사용될 수도 있다. 제 1 밸브 연결된 매니폴드 (114) 는 가스 전달 서브시스템 (110) 의 출력부들과 유체로 연통한다. 제 1 밸브 연결된 매니폴드 (114) 의 출력부는, 프로세싱 챔버의 제 1 존, 제 2 존 및 제 3 존에 대한 튜닝 가스들의 플로우 레이트들을 제어하는 TGF (triple gas feed) (116) 와 유체로 연통한다. 일부 예들에서, 제 3 존은 부 튜닝 가스 (STG) 에 대응할 수도 있고, 제 1 존 또는 제 2 존은 주입기 중앙 위치 및 주입기 에지 위치들에 각각 대응할 수도 있다.
제 2 밸브 연결된 매니폴드 (130) 는 가스 전달 서브시스템 (110) 의 출력부들과 유체로 연통한다. 제 2 밸브 연결된 매니폴드 (130) 의 출력부는 DGF (134) 와 유체로 연통한다. DGF (134) 의 출력부들은 제 1 존 또는 제 2 존으로 가변 플로우 레이트들로 프로세스 가스들의 플로우 레이트들을 제어한다.
가스 전달 서브시스템 (110) 의 밸러스트 가스 출력부 (129) 는 밸브 (120) 에 연결된다. TGF (116) 의 제 1 출력부는 밸브들 (122 및 128) 의 입력들과 유체로 연통한다. 밸브들 (120 및 122) 의 출력부들은 부 튜닝 가스 (STG) 로서 공급된다. DGF (134) 및 TGF (116) 의 제 1 가스 출력부들은 방향전환 밸브 (124) 로 입력된다. DGF (134) 및 TGF (116) 의 제 2 가스 출력부들은 방향전환 밸브 (126) 로 입력된다. TFG (116) 의 제 3 가스 출력부는 방향전환 밸브 (128) 및 밸브 (122) 로 입력된다.
방향전환 밸브들 (124, 126 및 128) 은 캘리브레이션을 위해 사용될 수도 있다. 유사하게, 도 2의 밸브들 (46 및 48) 은 캘리브레이션을 위해 사용될 수도 있다. 일부 예들에서, 캘리브레이션은 상승 레이트 (RoR: rate of rise) 계산을 포함한다. 예를 들어, 튜닝 가스는 목표된 스플릿으로 공급될 수 있다. 3 개의 밸브들 (124, 126 및 128) 중 2 개는 튜닝 가스 스플릿의 일부를 진공으로 방향전환하도록 개방될 수 있다. 튜닝 가스 혼합물의 나머지 부분은 프로세싱 챔버로 전달된다. 프로세싱 챔버 내 가스 압력의 제 1 상승 레이트 (RoR1) 는 튜닝 가스 존들 중 제 1 존을 위한 전구체 센서를 사용하여 측정된다. 프로세스는 제 2 상승 레이트 및 제 3 상승 레이트 (RoR2 및 RoR3) 를 결정하기 위해 나머지 튜닝 가스 존들에 대해 반복된다. 이어서, RoR1, RoR2 및 RoR3에 기초하여 상대적인 플로우 레이트가 결정된다. 일부 예들에서, 플로우 레이트들은 개별 상승 레이트들을 총 상승 레이트들로 나눔으로써 결정된다. 유사한 방법이 주 프로세스 가스에 대해 사용될 수 있다. 2 개의 밸브들 (124 및 126) 중 하나는 주 프로세스 가스의 일부를 진공으로 방향전환하도록 개방될 수 있다.
일부 예들에서, DGF (134) 는 상기 도 5 및 도 6에 도시된 것과 유사한 2-웨이 가변 스플리터를 포함한다. 그러나, DGF (134) 는 주 프로세스 가스를 스플릿한다. 이제 도 10을 참조하면, DGF (134) 의 또 다른 예가 도시된다. DGF (134) 의 유입부는 밸브들 (150-1, 150-2, ..., 및 150-P) (집합적으로 밸브들 (150)) 의 유입부들과 유체로 연통한다. 밸브들 (150) 의 유출부들은 제한된 오리피스들 (152-1, 152-2, ..., 및 152-P) (집합적으로 제한된 오리피스들 (152)) 과 각각 유체로 연통한다. 일부 예들에서, 제한된 오리피스들 (152) 중 적어도 2 개는 상이한 오리피스 사이즈들을 갖는다. 제한된 오리피스들 (152) 의 출력부들은 밸브들 (158 및 160) 의 유입부들과 유체로 연통한다. DGF (134) 의 유입부는 또한 밸브 (154) 의 유입부에 연결된다. 밸브 (154) 의 유출부는 제한된 오리피스 (156) 의 유입부에 연결된다. 제한된 오리피스 (156) 의 유출부는 밸브들 (162 및 164) 의 유입부들에 연결된다. 밸브들 (158 및 162) 의 유출부들은 제 2 존으로 주 프로세스 가스를 공급한다. 밸브들 (160 및 164) 의 유출부들은 제 1 존으로 주 프로세스 가스를 공급한다.
이해될 수 있는 바와 같이, 밸브들 (162 및 164) 은 제한된 오리피스 (156) 를 사용하여 제 1 존 또는 제 2 존 중 하나를 채우도록 위치될 수 있다. 제 1 존 또는 제 2 존 중 다른 하나는 복수의 제한된 오리피스들 (152) 중 하나를 통해 채워진다. 일부 예들에서, 제어기는 프로세스 가스로 하여금 대응하는 하나 이상의 제한된 오리피스들을 통해 흐르게 하도록 하나 이상의 밸브들 (150) 을 개방한다. 이해될 수 있는 바와 같이, 제 1 존 및 제 2 존으로의 상대적인 가스 플로우들이 조정될 수도 있다.
이제 도 11을 참조하면, TGF (116) 는 프로세싱 챔버의 제 1 존, 제 2 존 및 제 3 존으로 각각 공급된 제 1 튜닝 가스 혼합물, 제 2 튜닝 가스 혼합물 및 제 3 튜닝 가스 혼합물로 입력된 튜닝 가스 혼합물을 스플릿하는 3-웨이 가변 스플리터 (165) 를 포함할 수도 있다. 3-웨이 가변 스플리터 (165) 는 프로세싱 챔버의 제 1 존, 제 2 존 및 제 3 존으로 가스들의 플로우를 조정하도록 이하에 기술된 제어기에 의해 제어될 수도 있다. 단지 예를 들면, 제 3 존은 프로세싱 챔버의 측벽들로부터 도입된 부 튜닝 가스 (STG) 에 대응할 수도 있다. 제 1 존 또는 제 2 존은 상단 주입기의 중앙 위치 및 에지 위치에 대응할 수도 있다.
이제 도 12를 참조하면, TGF (116) 의 예가 도시된다. TGF (116) 의 유입부는 밸브들 (170-1, 170-2, ..., 및 170-P) (집합적으로 밸브들 (170)) 의 유입부들과 유체로 연통한다. 밸브들 (170) 의 유출부들은 제한된 오리피스들 (172-1, 172-2, ..., 및 172-P) (집합적으로 제한된 오리피스들 (172)) 과 각각 유체로 연통한다. 일부 예들에서, 제한된 오리피스들 (172) 중 적어도 2 개는 상이한 오리피스 사이즈들을 갖는다. 제한된 오리피스들 (172) 의 출력들은 밸브들 (178 및 180) 의 유입부들과 유체로 연통한다. TGF (116) 의 유입부는 밸브 (174) 의 유입부와 유체로 연통한다. 밸브 (174) 의 유출부는 제한된 오리피스 (176) 의 유입부와 유체로 연통한다. 제한된 오리피스 (176) 의 유출부는 밸브들 (182 및 184) 의 유입부들과 유체로 연통한다. 밸브들 (178 및 182) 의 유출부들은 제 2 존으로 튜닝 가스를 공급한다. 밸브들 (180 및 184) 의 유출부들은 제 1 존으로 튜닝 가스를 공급한다.
이해될 수 있는 바와 같이, 밸브들 (182 및 184) 은 제한된 오리피스 (176) 를 사용하여 제 1 존 또는 제 2 존 중 하나로 튜닝 가스를 공급하도록 위치될 수 있다. 제 1 존 또는 제 2 존 중 다른 하나는 복수의 제한된 오리피스들 (172) 중 하나를 통해 채워진다. 일부 예들에서, 제어기는 프로세스 가스로 하여금 대응하는 하나 이상의 제한된 오리피스들을 통해 흐르게 하도록 하나 이상의 밸브들 (170) 을 개방한다. 이해될 수 있는 바와 같이, 제 1 존 및 제 2 존으로의 튜닝 가스의 상대적인 가스 플로우들은 조정될 수도 있다.
TGF (116) 의 유입부는 밸브들 (190-1, 190-2, ..., 및 190-F) (집합적으로 밸브들 190) 의 유입부들과 유체로 연통한다. 밸브들 (190) 의 유출부들은 제한된 오리피스들 (192-1, 192-2, ..., 및 192-F) (집합적으로 제한된 오리피스들 (192)) 과 각각 유체로 연통한다. F는 1보다 큰 정수이다. 일부 예들에서, 제한된 오리피스들 (192) 중 적어도 2 개는 상이한 오리피스 사이즈들을 갖는다. 제한된 오리피스들 (192) 은 부 튜닝 가스 위치를 채운다.
이제 도 13을 참조하면, 상대적으로 높은 튜닝 가스 플로우에 대한 가스 전달 시스템의 통상적인 동작의 예가 도시된다. 가스 전달 서브시스템 (110) 은, 점선들 (200 및 202) 로 나타낸 바와 같이 제 1 가스 및 제 2 가스를 포함하는 주 프로세스 가스 혼합물을 공급한다. 제 1 가스 및 제 2 가스는 밸브 연결된 매니폴드 (130) 로 공급된다. 밸브 연결된 매니폴드 (130) 의 출력부는 DGF (134) 의 입력부와 유체로 연통한다. DGF (134) 는, 일부 예들에서 중앙 주입기 위치 및 에지 주입기 위치들에 대응할 수도 있는 제 1 존과 제 2 존 간의 프로세스 가스 혼합물의 플로우를 스플릿한다.
가스 전달 서브시스템 (110) 은 또한 밸브 연결된 매니폴드 (114) 로 제 1 튜닝 가스, 제 2 튜닝 가스 및 제 3 튜닝 가스 (204, 206 및 208) 를 공급한다. 튜닝 가스 혼합물 출력부는 밸브 연결된 매니폴드 (114) 에 의해 TGF (116) 의 입력부와 유체로 연통한다. TGF (116) 는 튜닝 가스 혼합물을 제 1 존, 제 2 존 및 제 3 존으로 스플릿한다. 제 1 존 또는 제 2 존에 대한 튜닝 가스는 DGF (134) 의 대응하는 출력부들과 유체로 연통한다. 제 3 존에 대한 튜닝 가스는 밸브 (122) 를 통해 제 3 존으로 공급된다. 일부 예들에서, 이 구성에 대해 튜닝 가스 플로우는 50 sccm 이상이다. 밸러스트 가스는 밸브 (120) 를 사용하여 부 튜닝 가스 위치(들)로 TGF (116) 의 다운스트림에 도입될 수도 있다.
이제 도 14를 참조하면, 상대적으로 낮은 튜닝 가스 플로우에 대한 가스 전달 시스템의 동작이 도시된다. 주 프로세스 가스 혼합물은 상기 기술된 바와 같이 선택되고 전달된다. 그러나, 튜닝 가스 플로우 레이트는 초크된 플로우 상태를 생성하기에 불충분할 수도 있다. 예를 들어, 튜닝 가스는 이 구성에서 50 sccm 미만의 플로우 레이트들에서 초크된 플로우 상태를 생성하지 못할 수도 있다. 이 경우, 밸러스트 가스는, 코-플로우 (co-flow) 및 초크된 플로우 효과들을 감소시키는 밸브 연결된 매니폴드 (114) 내로 푸시 가스로서 사용될 수도 있다.
이제 도 15를 참조하면, 가스 전달 시스템은 부 튜닝 가스 위치들로의 튜닝 가스 전달 없이 주 프로세스 가스에 첨가된 튜닝 가스에 대한 저 플로우 레이트를 사용하여 동작될 수도 있다. 이 예에서, 방향전환 밸브 (128) 는 진공으로 개방되고 밸브 (122) 는 폐쇄된다. 선택가능하게 밸러스트 가스는 밸브 (120) 를 통해 부 튜닝 가스 위치들로 공급될 (또는 아닐) 수도 있다. 이 예에서, 초크된 플로우 상태들은 약 50 sccm에서 일어난다. 튜닝 가스는 5 sccm이 제 1 존으로 공급되고, 10 sccm이 제 2 존으로 공급되고 0 sccm이 제 3 존으로 공급된다. 튜닝 가스는 50 sccm으로 TGF (116) 로 공급된다. TGF는 5 sccm을 제 1 존으로 공급하고, 10 sccm을 제 2 존으로 공급하고 나머지 (35 sccm) 는 방향전환 밸브 (128) 를 통해 진공으로 방향전환된다.
이제 도 16 내지 도 18을 참조하면, 가스 전달 시스템을 동작시키기 위한 다양한 방법들이 도시된다. 도 16에서, 주 프로세스 가스 및 튜닝 가스 혼합물들을 공급하고 캘리브레이팅하기 위한 방법 (300) 이 도시된다. 302에서, 주 프로세스 가스를 위한 주 가스들 및 튜닝 가스 혼합물들을 위한 튜닝 가스들은 대응하는 밸브들을 사용하여 선택된다. 304에서, 플로우 레이트들은 대응하는 질량 유량 제어기들을 사용하여 선택된다. 306에서, 선택가능하게 가스들의 플로우 레이트들은 캘리브레이팅된다.
캘리브레이션 동안, 단일 캘리브레이션 가스 (도 17) 또는 2 이상의 가스 (도 18) 가 사용될 수도 있다. 명목상 플로우 비는 DGF 또는 TGF를 사용하여 선택된다. 공지의 레이트로 가스 스플리터의 유입부 내로 캘리브레이션 가스를 흘리는 동안, 가스 스플릿은 다음과 같이 캘리브레이팅된다. 2 또는 3 개의 출력 존들 중 하나를 제외하고 모두 진공으로 방향전환된다. 나머지 존으로부터의 가스 흐름으로 인해 상승 레이트가 결정된다. 프로세스는 다른 존들에 대해 반복된다.
캘리브레이팅된 스플릿 비들은 개별 상승 레이트들을 상승 레이트들의 합으로 나눔으로써 계산된다. 프로세스는 모든 목표된 캘리브레이션들이 수행될 때까지 또 다른 목표된 스플릿 비에 대해 반복된다. 측정들이 초크된 상태들에서 수행되는지 체크하기 위해, 캘리브레이션 검사들이 복수의 유입 가스 플로우 레이트들로 수행된다. 비 결과들이 인정되면, 그러면 두 상태들이 초크된다.
도 17에서, 캘리브레이션 가스를 사용하여 주 가스 또는 튜닝 가스 혼합물들을 캘리브레이팅하기 위한 방법 (320) 이 도시된다. 324에서, 캘리브레이션 가스가 공급된다. 328에서, 하나를 제외한 모든 존들이 진공으로 방향전환된다. 330에서, 프로세싱 챔버 내 압력의 상승 레이트는 존에 대해 측정된다. 334에서, 방법은 존들에 대해 모든 상승 레이트들이 측정되었는지 여부를 결정한다. 그렇지 않다면, 방법은 336에서 나머지 존들에 대해 반복된다. 그 외에는, 방법은 338에서 상승 레이트들의 함수에 기초하여 플로우 레이트들을 결정한다.
도 18에서, 복수의 가스들을 사용하는 주 가스 혼합물 또는 튜닝 가스 혼합물을 캘리브레이팅하기 위한 방법 (340) 이 도시된다. 341에서, 2 이상의 가스들이 목표된 가스 혼합물을 위해 공급된다. 342에서 하나를 제외한 모든 가스들이 진공으로 방향전환된다. 343에서, 프로세싱 챔버 내 압력의 상승 레이트가 나머지 가스들에 대해 측정된다. 344에서, 방법은 2 이상의 가스들에 대해 모든 상승 레이트들이 측정되었는지 여부를 결정한다. 그렇지 않다면, 345에서 2 이상의 가스들 중 남은 가스들에 대해 반복된다. 그 외에는, 방법이 346에서 상승 레이트들의 함수에 기초하여 플로우 레이트들을 결정한다.
이제 도 19를 참조하면, 방법 (350) 은 특정한 조건들 하에서 튜닝 가스 매니폴드로 밸러스트 가스를 선택적으로 공급한다. 354에서, 방법은 튜닝 가스 혼합물의 플로우 레이트가 플로우 레이트 문턱값 (FRTH) 이하인지 여부를 결정한다. 354가 참이면, 방법은 358에서 튜닝 가스 매니폴드로 밸러스트 가스를 공급한다.
이제 도 20을 참조하면, 방법 (370) 은 다른 조건들 하에서 튜닝 가스 매니폴드로 밸러스트 가스를 선택적으로 공급한다. 374에서, 방법은 튜닝 가스 혼합물의 플로우 레이트가 플로우 레이트 문턱값 (FRTH) 이하인지 여부를 결정한다. 374가 참이면, 밸러스트 가스는 튜닝 가스 매니폴드로 공급된다. 380에서, 보통 제 3 존으로 공급된 (부 튜닝 가스와 같은) 튜닝 가스는 진공으로 방향전환된다. 384에서, 선택가능하게 밸러스트 가스는 방향전환된 가스에 독립적으로 제 3 존으로 공급된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 연통하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 연통할 수도 있다.

Claims (30)

  1. 기판 프로세싱 시스템을 위한 가스 전달 시스템에 있어서,
    제 1 매니폴드;
    제 2 매니폴드;
    N 개의 가스 소스들로부터 N 개의 가스들을 선택적으로 전달하기 위한 가스 전달 서브시스템에 있어서, 상기 가스 전달 서브시스템은 N 개의 가스들 중 P 개의 가스들을 포함하는 제 1 가스 혼합물을 상기 제 1 매니폴드로 전달하고, N 개의 가스들 중 Q 개의 가스들을 포함하는 제 2 가스 혼합물을 상기 제 2 매니폴드로 전달하도록 구성되고, 여기서 N, P 및 Q는 정수들이고, P + Q는 N 이하이고, 그리고 N은 2보다 큰, 상기 가스 전달 서브시스템; 및
    상기 제 2 매니폴드의 유출부와 유체로 연통하는 유입부, 상기 제 1 매니폴드의 유출부와 유체로 연통하는 제 1 유출부 및 제 2 유출부를 포함하는 가스 스플리터를 포함하고,
    상기 가스 스플리터는, 상기 가스 스플리터의 상기 제 1 유출부로 출력되는 제 1 플로우 레이트의 제 1 부분 및 상기 가스 스플리터의 상기 제 2 유출부로 출력되는 제 2 플로우 레이트의 제 2 부분으로 상기 제 2 가스 혼합물을 스플릿하고 (split),
    상기 기판 프로세싱 시스템의 제 1 존은 상기 가스 스플리터의 상기 제 1 유출부와 유체로 연통하고 상기 기판 프로세싱 시스템의 제 2 존은 상기 가스 스플리터의 상기 제 2 유출부와 유체로 연통하는, 가스 전달 시스템.
  2. 제 1 항에 있어서,
    상기 가스 전달 서브시스템은 N 개의 가스 채널들을 포함하고,
    상기 가스 채널들 각각은,
    상기 N 개의 가스 소스들 중 하나와 유체로 연통하는 유입부를 포함하는 제 1 밸브;
    상기 제 1 밸브의 유출부와 유체로 연통하는 유입부를 포함하는 질량 유량 제어기;
    상기 질량 유량 제어기의 유출부와 유체로 연통하는 유입부 및 상기 제 1 매니폴드와 선택적으로 유체로 연통하는 유출부를 포함하는 제 2 밸브; 및
    상기 질량 유량 제어기의 상기 유출부와 유체로 연통하는 유출부 및 상기 제 2 매니폴드와 선택적으로 유체로 연통하는 유출부를 포함하는 제 3 밸브를 포함하는, 가스 전달 시스템.
  3. 제 1 항에 있어서,
    밸러스트 (ballast) 가스 소스; 및
    상기 제 2 매니폴드로 밸러스트 가스를 선택적으로 공급하도록 상기 밸러스트 가스 소스와 연통하는 제 1 밸브를 더 포함하는, 가스 전달 시스템.
  4. 제 3 항에 있어서,
    상기 가스 스플리터의 상기 제 2 유출부로 밸러스트 가스를 선택적으로 공급하도록 상기 밸러스트 가스 소스와 유체로 연통하는 제 2 밸브를 더 포함하는, 가스 전달 시스템.
  5. 제 3 항에 있어서,
    상기 제 1 밸브는, 상기 제 2 가스 혼합물의 플로우 레이트가 상기 가스 스플리터를 통해 초크된 플로우 상태 (choked flow condition) 를 생성하기에 불충분할 때 상기 제 2 매니폴드로 상기 밸러스트 가스를 공급하는, 가스 전달 시스템.
  6. 제 1 항에 있어서,
    상기 가스 스플리터는,
    M 개의 밸브들; 및
    상기 M 개의 밸브들 중 대응하는 하나와 연통하는 M 개의 제한된 오리피스들을 포함하는, 가스 전달 시스템.
  7. 제 6 항에 있어서,
    상기 M 개의 제한된 오리피스들 중 적어도 2 개는 상이한 오리피스 사이즈들을 갖는, 가스 전달 시스템.
  8. 제 1 항에 기재된 상기 가스 전달 시스템;
    프로세싱 챔버;
    상기 프로세싱 챔버 내에 배치된 기판 지지부;
    상기 프로세싱 챔버의 제 1 위치에 배치된 제 1 주입기로서, 상기 제 1 주입기는 상기 제 1 존에 대응하는, 상기 제 1 주입기; 및
    상기 제 1 위치로부터 이격된 상기 프로세싱 챔버의 제 2 위치에 배치된 제 2 주입기로서, 상기 제 2 주입기는 상기 제 2 존에 대응하는, 상기 제 2 주입기를 포함하는, 기판 프로세싱 시스템.
  9. 제 8 항에 있어서,
    상기 프로세싱 챔버는 유전체 윈도우를 포함하고, 그리고 상기 제 1 주입기는 상기 유전체 윈도우의 개구부 내에 배치되는, 기판 프로세싱 시스템.
  10. 제 8 항에 있어서,
    상기 프로세싱 챔버는 측벽들을 포함하고, 그리고 상기 제 2 주입기는 상기 측벽들 중 적어도 하나 상에 배치되는, 기판 프로세싱 시스템.
  11. 제 8 항에 있어서,
    상기 가스 스플리터의 상기 제 1 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함하는 제 1 밸브; 및
    상기 가스 스플리터의 상기 제 2 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함하는 제 2 밸브를 더 포함하는, 기판 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 제 2 가스 혼합물의 상기 제 1 부분 및 상기 제 2 가스 혼합물의 상기 제 2 부분의 상대적인 플로우 레이트들을 계산하기 위한 제어기를 더 포함하는, 기판 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 프로세싱 챔버 내에 배치되고 상기 제어기와 연통하는 압력 센서를 더 포함하고,
    상기 제어기는,
    상기 제 1 밸브를 진공으로 개방하고 상기 제 2 밸브를 진공에 대해 폐쇄하고;
    상기 프로세싱 챔버 내에서 캘리브레이션 가스 또는 상기 제 2 가스 혼합물 중 하나의 제 1 부분의 압력의 상승 레이트를 측정하고;
    상기 제 2 밸브를 진공으로 개방하고 상기 제 1 밸브를 진공에 대해 폐쇄하고; 그리고
    상기 프로세싱 챔버 내에서 상기 캘리브레이션 가스 또는 상기 제 2 가스 혼합물 중 상기 하나의 제 2 부분의 압력의 상승 레이트를 측정함으로써,
    상기 제 2 가스 혼합물의 상기 제 1 부분 및 상기 제 2 가스 혼합물의 상기 제 2 부분의 상기 상대적인 플로우 레이트들을 계산하는, 기판 프로세싱 시스템.
  14. 제 1 항에 있어서,
    상기 제 1 매니폴드는 상기 가스 전달 서브시스템과 유체로 연통하는 제 1 혼합 매니폴드 및 상기 제 1 혼합 매니폴드와 유체로 연통하는 제 1 밸브 연결된 매니폴드를 포함하고, 그리고
    상기 제 2 매니폴드는 상기 가스 전달 서브시스템과 유체로 연통하는 제 2 혼합 매니폴드 및 상기 제 2 혼합 매니폴드와 유체로 연통하는 제 2 밸브 연결된 매니폴드를 포함하는, 가스 전달 시스템.
  15. 기판 프로세싱 시스템을 위한 가스 전달 시스템에 있어서,
    제 1 매니폴드;
    제 2 매니폴드;
    N 개의 가스 소스들로부터 N 개의 가스들을 선택적으로 전달하기 위한 가스 전달 서브시스템에 있어서, 상기 가스 전달 서브시스템은 N 개의 가스들 중 P 개의 가스들을 포함하는 제 1 가스 혼합물을 상기 제 1 매니폴드로 전달하고, N 개의 가스들 Q 개의 가스들을 포함하는 제 2 가스 혼합물을 상기 제 2 매니폴드로 전달하도록 구성되고, 여기서 N, P 및 Q는 정수들이고, P + Q는 N 이하이고, 그리고 N은 2보다 큰, 상기 가스 전달 서브시스템;
    상기 제 1 매니폴드의 유출부와 유체로 연통하는 유입부, 제 1 유출부 및 제 2 유출부를 포함하는 제 1 가스 스플리터로서, 상기 제 1 가스 스플리터는, 상기 제 1 가스 스플리터의 상기 제 1 유출부로 출력되는 제 1 부분 및 상기 제 1 가스 스플리터의 상기 제 2 유출부로 출력되는 제 2 부분으로 상기 제 1 가스 혼합물을 스플릿하는, 상기 제 1 가스 스플리터; 및
    상기 제 2 매니폴드의 유출부와 유체로 연통하는 유입부, 제 1 유출부, 제 2 유출부, 및 제 3 유출부를 포함하는 제 2 가스 스플리터로서, 상기 제 2 가스 스플리터는, 상기 제 2 가스 스플리터의 상기 제 1 유출부로 출력되는 제 1 부분, 상기 제 2 가스 스플리터의 상기 제 2 유출부로 출력되는 제 2 부분, 및 상기 제 1 가스 스플리터의 상기 제 3 유출부로 출력되는 제 3 부분으로 상기 제 2 가스 혼합물을 스플릿하는, 상기 제 2 가스 스플리터를 포함하고,
    상기 기판 프로세싱 시스템의 제 1 존은 상기 제 1 가스 스플리터의 상기 제 1 유출부 및 상기 제 2 가스 스플리터의 상기 제 1 유출부와 유체로 연통하고,
    상기 기판 프로세싱 시스템의 제 2 존은 상기 제 1 가스 스플리터의 상기 제 2 유출부 및 상기 제 2 가스 스플리터의 상기 제 2 유출부와 유체로 연통하고, 그리고
    상기 기판 프로세싱 시스템의 제 3 존은 상기 제 2 가스 스플리터의 상기 제 3 유출부와 유체로 연통하는, 가스 전달 시스템.
  16. 제 15 항에 있어서,
    상기 가스 전달 서브시스템은 N 개의 가스 채널들을 포함하고,
    상기 가스 채널들 각각은,
    제 1 밸브;
    상기 제 1 밸브의 유출부와 유체로 연통하는 유입부를 포함하는 질량 유량 제어기;
    상기 질량 유량 제어기의 유출부와 유체로 연통하는 유입부 및 상기 제 1 매니폴드와 선택적으로 유체로 연통하는 유출부를 포함하는 제 2 밸브; 및
    상기 질량 유량 제어기의 상기 유출부와 유체로 연통하는 유입부 및 상기 제 2 매니폴드와 선택적으로 유체로 연통하는 유출부를 포함하는 제 3 밸브를 포함하는, 가스 전달 시스템.
  17. 제 15 항에 있어서,
    밸러스트 가스 소스;
    상기 제 1 매니폴드로 밸러스트 가스를 선택적으로 공급하도록 상기 밸러스트 가스 소스와 유체로 연통하는 제 1 밸브; 및
    상기 제 2 매니폴드로 밸러스트 가스를 선택적으로 공급하도록 상기 밸러스트 가스 소스와 유체로 연통하는 제 2 밸브를 더 포함하는, 가스 전달 시스템.
  18. 제 17 항에 있어서,
    상기 제 2 밸브는, 상기 제 2 가스 혼합물의 플로우 레이트가 상기 제 2 가스 스플리터를 통해 초크된 플로우 상태를 생성하기에 불충분할 때 상기 제 2 매니폴드로 상기 밸러스트 가스를 공급하는, 가스 전달 시스템.
  19. 제 15 항에 있어서,
    상기 제 1 가스 스플리터는,
    M 개의 밸브들; 및
    상기 M 개의 밸브들 중 대응하는 하나와 연통하는 M 개의 제한된 오리피스들을 포함하는, 가스 전달 시스템.
  20. 제 19 항에 있어서,
    상기 M 개의 제한된 오리피스들 중 적어도 2 개는 상이한 오리피스 사이즈를 갖는, 가스 전달 시스템.
  21. 제 15 항에 있어서,
    상기 제 2 가스 스플리터의 상기 제 1 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함하는 제 1 밸브;
    상기 제 2 가스 스플리터의 상기 제 2 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함하는 제 2 밸브; 및
    상기 제 2 가스 스플리터의 상기 제 3 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함하는 제 3 밸브를 더 포함하는, 가스 전달 시스템.
  22. 제 21 항에 있어서,
    상기 제 2 가스 스플리터의 상기 제 3 유출부와 유체로 연통하는 유입부 및 상기 제 3 존과 유체로 연통하는 유출부를 포함하는 제 4 밸브를 더 포함하는, 가스 전달 시스템.
  23. 제 22 항에 있어서,
    상기 제 1 튜닝 가스 혼합물의 플로우 레이트 및 상기 제 2 튜닝 가스 혼합물의 플로우 레이트가 상기 제 2 가스 스플리터를 통해 초크된 플로우 상태를 생성하기에 불충분할 때 상기 제 1 밸브, 상기 제 2 밸브 및 상기 제 4 밸브를 폐쇄하고 상기 제 3 튜닝 가스 혼합물을 진공으로 방향전환하도록 구성된 제어기를 더 포함하는, 가스 전달 시스템.
  24. 제 15 항에 기재된 상기 가스 전달 시스템;
    프로세싱 챔버;
    상기 프로세싱 챔버 내에 배치된 기판 지지부;
    상기 프로세싱 챔버의 제 1 위치에 배치되고 중앙 주입기 및 측부 주입기 (side injector) 를 포함하는 제 1 주입기로서, 상기 중앙 주입기는 상기 제 1 존에 대응하고 상기 측부 주입기는 상기 제 2 존에 대응하는, 상기 제 1 주입기; 및
    상기 제 1 위치로부터 이격된 상기 프로세싱 챔버의 제 2 위치에 배치된 제 2 주입기로서, 상기 제 2 주입기는 상기 제 3 존에 대응하는, 상기 제 2 주입기를 포함하는, 기판 프로세싱 시스템.
  25. 제 24 항에 있어서,
    상기 프로세싱 챔버는 유전체 윈도우를 포함하고, 그리고 상기 제 1 주입기는 상기 유전체 윈도우 내 캐비티 내에 배치되는, 기판 프로세싱 시스템.
  26. 제 24 항에 있어서,
    상기 프로세싱 챔버는 측벽들을 포함하고, 그리고 상기 제 2 주입기는 상기 측벽들 중 적어도 하나 상에 배치되는, 기판 프로세싱 시스템.
  27. 제 24 항에 있어서,
    상기 제 2 가스 스플리터의 상기 제 1 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함하는 제 1 밸브;
    상기 제 2 가스 스플리터의 상기 제 2 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함하는 제 2 밸브; 및
    상기 제 2 가스 스플리터의 상기 제 3 유출부와 유체로 연통하는 유입부 및 진공과 유체로 연통하는 유출부를 포함하는 제 3 밸브를 더 포함하는, 가스 전달 시스템.
  28. 제 27 항에 있어서,
    상기 제 2 가스 혼합물의 상기 제 1 부분, 상기 제 2 가스 혼합물의 상기 제 2 부분 및 상기 제 2 가스 혼합물의 상기 제 3 부분의 상대적인 플로우 레이트들을 계산하기 위한 제어기를 더 포함하는, 가스 전달 시스템.
  29. 제 28 항에 있어서,
    상기 프로세싱 챔버 내에 배치되고 상기 제어기와 연통하는 압력 센서를 더 포함하고,
    상기 제어기는,
    상기 제 2 밸브 및 상기 제 3 밸브를 진공으로 개방하고;
    상기 제 1 밸브를 진공에 대해 폐쇄하고;
    상기 프로세싱 챔버 내에서 캘리브레이션 가스 또는 상기 제 2 가스 혼합물 중 하나의 제 1 부분의 압력의 제 1 상승 레이트를 측정하고;
    상기 제 1 밸브 및 상기 제 3 밸브를 진공으로 개방하고;
    상기 제 2 밸브를 진공에 대해 폐쇄하고;
    상기 프로세싱 챔버 내에서 캘리브레이션 가스 또는 상기 제 2 가스 혼합물 중 하나의 제 2 부분의 압력의 제 2 상승 레이트를 측정하고;
    상기 제 1 밸브 및 상기 제 2 밸브를 진공으로 개방하고;
    상기 제 3 밸브를 진공에 대해 폐쇄하고; 그리고
    상기 프로세싱 챔버 내에서 상기 캘리브레이션 가스 또는 상기 제 2 가스 혼합물 중 상기 하나의 제 3 부분의 압력의 제 3 상승 레이트를 측정함으로써,
    상기 제 2 가스 혼합물의 상기 제 1 부분, 상기 제 2 가스 혼합물의 상기 제 2 부분 및 상기 제 2 가스 혼합물의 상기 제 3 부분의 상대적인 플로우 레이트들을 계산하는, 기판 프로세싱 시스템.
  30. 제 29 항에 있어서,
    상기 제어기는 상기 제 1 상승 레이트, 상기 제 2 상승 레이트, 및 상기 제 3 상승 레이트에 기초하여, 상기 제 2 가스 혼합물의 상기 제 1 부분, 상기 제 2 가스 혼합물의 상기 제 2 부분 및 상기 제 2 가스 혼합물의 상기 제 3 부분의 상대적인 플로우 레이트들을 결정하는, 기판 프로세싱 시스템.
KR1020160094074A 2015-07-30 2016-07-25 가스 전달 시스템 KR102531896B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562199031P 2015-07-30 2015-07-30
US62/199,031 2015-07-30
US14/945,680 US10957561B2 (en) 2015-07-30 2015-11-19 Gas delivery system
US14/945,680 2015-11-19

Publications (2)

Publication Number Publication Date
KR20170015176A true KR20170015176A (ko) 2017-02-08
KR102531896B1 KR102531896B1 (ko) 2023-05-11

Family

ID=57886559

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160094074A KR102531896B1 (ko) 2015-07-30 2016-07-25 가스 전달 시스템

Country Status (4)

Country Link
US (1) US10957561B2 (ko)
JP (1) JP6945975B2 (ko)
KR (1) KR102531896B1 (ko)
TW (1) TWI717374B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102122113B1 (ko) * 2014-10-17 2020-06-29 램 리써치 코포레이션 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10031007B2 (en) * 2015-09-16 2018-07-24 Tokyo Electron Limited Method of calculating output flow rate of flow rate controller
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10727089B2 (en) 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10267728B2 (en) 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US11469079B2 (en) 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
CN112335016A (zh) 2018-06-13 2021-02-05 朗姆研究公司 高深宽比结构的有效率的清洁和蚀刻
TW202044320A (zh) 2019-01-23 2020-12-01 美商蘭姆研究公司 包含下游電漿用雙離子過濾器的基板處理系統
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
KR20230150309A (ko) 2021-03-03 2023-10-30 아이커 시스템즈, 인크. 매니폴드 조립체를 포함하는 유체 유동 제어 시스템

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004214591A (ja) * 2002-11-15 2004-07-29 Renesas Technology Corp 半導体製造装置
KR100809127B1 (ko) * 2006-01-31 2008-03-03 동경 엘렉트론 주식회사 가스 공급 장치, 기판 처리 장치 및 가스 공급 방법
KR101155839B1 (ko) * 2004-04-30 2012-06-21 램 리써치 코포레이션 고속 가스 스위칭 능력을 가진 가스 분배 시스템

Family Cites Families (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3534753A (en) * 1968-03-18 1970-10-20 Veriflo Corp Ratio controller for gases
DE2831856B2 (de) * 1978-07-20 1981-07-02 Drägerwerk AG, 2400 Lübeck Anordnung zum elektrisch gesteuerten Dosieren und Mischen von Gasen
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US5220515A (en) * 1991-04-22 1993-06-15 Applied Materials, Inc. Flow verification for process gas in a wafer processing system apparatus and method
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5413145A (en) * 1993-04-19 1995-05-09 Texaco Inc. Low-pressure-drop critical flow venturi
US5329965A (en) * 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5605179A (en) * 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US6050283A (en) * 1995-07-07 2000-04-18 Air Liquide America Corporation System and method for on-site mixing of ultra-high-purity chemicals for semiconductor processing
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) * 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) * 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6210593B1 (en) 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
US6062256A (en) * 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) * 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) * 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
KR100427563B1 (ko) * 1999-04-16 2004-04-27 가부시키가이샤 후지킨 병렬분류형 유체공급장치와, 이것에 사용하는 유체가변형압력식 유량제어방법 및 유체가변형 압력식 유량제어장치
US6210482B1 (en) * 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
ATE420454T1 (de) 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
EP1265700B1 (en) * 2000-03-07 2005-01-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6645302B2 (en) * 2000-04-26 2003-11-11 Showa Denko Kabushiki Kaisha Vapor phase deposition system
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP2002110570A (ja) * 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) * 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
EP1399789A1 (en) * 2001-05-24 2004-03-24 Unit Instruments, Inc. Method and apparatus for providing a determined ratio of process fluids
US20020189947A1 (en) * 2001-06-13 2002-12-19 Eksigent Technologies Llp Electroosmotic flow controller
US20030003696A1 (en) * 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
EP1324033B1 (de) * 2001-12-21 2006-09-20 Agilent Technologies, Inc. (a Delaware corporation) Verfahren zur Bereitstellung von Volumenströmen von Fluiden
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7552015B2 (en) * 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6810308B2 (en) * 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US6895983B2 (en) * 2002-09-26 2005-05-24 The Chemithon Corporation Method and apparatus for dividing the flow of a gas stream
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR20040050080A (ko) 2002-12-09 2004-06-16 주식회사 하이닉스반도체 플라즈마 식각 챔버용 포커스 링 구동 장치
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6997202B2 (en) * 2002-12-17 2006-02-14 Advanced Technology Materials, Inc. Gas storage and dispensing system for variable conductance dispensing of gas at constant flow rate
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) * 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) * 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
WO2004097919A1 (ja) 2003-05-02 2004-11-11 Tokyo Electron Limited 処理ガス導入機構およびプラズマ処理装置
TW200507141A (en) * 2003-05-12 2005-02-16 Agere Systems Inc Method of mass flow control flow verification and calibration
JP4224492B2 (ja) * 2003-06-09 2009-02-12 シーケーディ株式会社 圧力制御システム及び流量制御システム
JP4195837B2 (ja) * 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) * 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070204914A1 (en) * 2006-03-01 2007-09-06 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixing system
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4788920B2 (ja) * 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) * 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4814706B2 (ja) * 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP4806598B2 (ja) * 2006-07-18 2011-11-02 株式会社日立ハイテクノロジーズ 真空処理装置
JP5037510B2 (ja) * 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US7757541B1 (en) * 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8592220B2 (en) * 2006-10-26 2013-11-26 Intermolecular, Inc. High pressure parallel fixed bed reactor and method
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
TWI444799B (zh) * 2006-12-05 2014-07-11 Horiba Stec Co 流量控制裝置與流量測定裝置之校準方法、流量控制裝置之校準系統、及半導體製造裝置
KR101428826B1 (ko) * 2006-12-12 2014-08-08 가부시키가이샤 호리바 에스텍 유량 비율 제어 장치
SE530902C2 (sv) * 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
JP5231441B2 (ja) * 2007-10-31 2013-07-10 国立大学法人東北大学 プラズマ処理システム及びプラズマ処理方法
US8191397B2 (en) * 2007-12-12 2012-06-05 Air Liquide Electronics U.S. Lp Methods for checking and calibrating concentration sensors in a semiconductor processing chamber
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
JP4585035B2 (ja) * 2007-12-27 2010-11-24 株式会社堀場エステック 流量比率制御装置
US8037894B1 (en) * 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
EP2247819B1 (en) * 2008-01-18 2022-11-02 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
CN102084468B (zh) 2008-02-08 2014-10-29 朗姆研究公司 包括横向波纹管和非接触颗粒密封的可调节间隙电容耦合rf等离子反应器
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
CN102446739B (zh) * 2008-03-21 2016-01-20 应用材料公司 基材蚀刻系统与制程的方法及设备
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
RU2475803C2 (ru) * 2008-08-13 2013-02-20 Шелл Интернэшнл Рисерч Маатсхаппий Б.В. Способ регулирования расхода газа между множеством потоков газа
US8089046B2 (en) * 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) * 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8291935B1 (en) * 2009-04-07 2012-10-23 Novellus Systems, Inc. Flexible gas mixing manifold
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
TW201123293A (en) 2009-10-26 2011-07-01 Solvay Fluor Gmbh Etching process for producing a TFT matrix
WO2011068959A1 (en) 2009-12-02 2011-06-09 Xactix, Inc. High-selectivity etching system and method
US9127361B2 (en) * 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
US9223318B2 (en) * 2009-12-25 2015-12-29 Horiba Stec, Co., Ltd. Mass flow controller system
KR101430093B1 (ko) 2010-03-04 2014-09-22 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9000114B2 (en) * 2010-08-02 2015-04-07 Basell Polyolefine Gmbh Process and apparatus for mixing and splitting fluid streams
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8905074B2 (en) * 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
EP2649218B1 (en) 2010-12-08 2017-08-23 Evatec AG Apparatus and method for depositing a layer onto a substrate
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) * 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US9790594B2 (en) * 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
WO2012134512A1 (en) * 2011-03-28 2012-10-04 Applied Materials, Inc. Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101932250B1 (ko) * 2011-06-30 2019-03-20 어플라이드 머티어리얼스, 인코포레이티드 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치
JP5377587B2 (ja) * 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) * 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) * 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) * 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) * 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
CN104380101B (zh) * 2012-02-22 2016-10-19 安捷伦科技有限公司 质量流量控制器以及在不关闭质量流量控制器的情况下自动调零流量传感器的方法
JP5881467B2 (ja) * 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
KR101974420B1 (ko) 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
KR101974422B1 (ko) 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US9243325B2 (en) * 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP5616416B2 (ja) * 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) * 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) * 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN107516626B (zh) 2013-07-19 2021-03-26 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
TW201530063A (zh) 2014-01-20 2015-08-01 Pro Iroda Ind Inc 具安全遮蓋的液態燃料燃燒裝置
JP6193679B2 (ja) * 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) * 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
KR102122113B1 (ko) * 2014-10-17 2020-06-29 램 리써치 코포레이션 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
CN107093569B (zh) 2016-02-18 2019-07-05 北京北方华创微电子装备有限公司 一种晶片定位装置及反应腔室
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10591934B2 (en) * 2018-03-09 2020-03-17 Lam Research Corporation Mass flow controller for substrate processing
US10760944B2 (en) * 2018-08-07 2020-09-01 Lam Research Corporation Hybrid flow metrology for improved chamber matching

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004214591A (ja) * 2002-11-15 2004-07-29 Renesas Technology Corp 半導体製造装置
KR101155839B1 (ko) * 2004-04-30 2012-06-21 램 리써치 코포레이션 고속 가스 스위칭 능력을 가진 가스 분배 시스템
KR100809127B1 (ko) * 2006-01-31 2008-03-03 동경 엘렉트론 주식회사 가스 공급 장치, 기판 처리 장치 및 가스 공급 방법

Also Published As

Publication number Publication date
KR102531896B1 (ko) 2023-05-11
TWI717374B (zh) 2021-02-01
JP6945975B2 (ja) 2021-10-06
US10957561B2 (en) 2021-03-23
JP2017050531A (ja) 2017-03-09
US20170032982A1 (en) 2017-02-02
TW201718934A (zh) 2017-06-01

Similar Documents

Publication Publication Date Title
KR102531896B1 (ko) 가스 전달 시스템
US12000047B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102122113B1 (ko) 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
CN107017147B (zh) 包括多个注气点和双注射器的衬底处理室
US20220259725A1 (en) Systems and methods for reducing effluent build-up in a pumping exhaust system
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US11959172B2 (en) Substrate processing systems including gas delivery system with reduced dead legs
CN111433902A (zh) 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
WO2020112608A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US11834736B2 (en) Systems and methods for pulse width modulated dose control
TW202340523A (zh) 用於平衡通至基板處理系統之多個站之氣體流的閥系統
CN116368260A (zh) 具有一体式转向流动路径的喷头

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant