TW201624560A - 不需要晶圓傾斜或旋轉的離子束蝕刻 - Google Patents

不需要晶圓傾斜或旋轉的離子束蝕刻 Download PDF

Info

Publication number
TW201624560A
TW201624560A TW104128242A TW104128242A TW201624560A TW 201624560 A TW201624560 A TW 201624560A TW 104128242 A TW104128242 A TW 104128242A TW 104128242 A TW104128242 A TW 104128242A TW 201624560 A TW201624560 A TW 201624560A
Authority
TW
Taiwan
Prior art keywords
electrode
ion beam
etching
ion
substrate
Prior art date
Application number
TW104128242A
Other languages
English (en)
Other versions
TWI685032B (zh
Inventor
伊凡 L 貝里三世
托爾斯滕 立爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/473,863 external-priority patent/US9406535B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201624560A publication Critical patent/TW201624560A/zh
Application granted granted Critical
Publication of TWI685032B publication Critical patent/TWI685032B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

此處各種實施例相關於在基板上蝕刻特徵部的方法和設備。在許多實施例中,並未使用基板旋轉或傾斜。儘管習知的蝕刻製程依賴於基板旋轉來使離子在基板表面範圍內平均分布,但此處各種實施例藉由相對於離子源而移動離子束的方式來達成此目的。離子束的移動可以許多方式達成,包含靜電技術、機械技術、磁感技術、及其組合。

Description

不需要晶圓傾斜或旋轉的離子束蝕刻
本發明相關於在基板上蝕刻特徵部的方法和設備。
半導體元件的製造典型地包含一系列操作,在該系列操作中,各種材料係沉積至半導體基板上、及自半導體基板而移除。一材料移除技術係離子束蝕刻,該離子束蝕刻涉及傳送離子至基板的表面,以從表面以非等向的方式而物理性地、及/或化學性地移除原子及化合物。撞擊離子打擊基板表面,且透過動量轉移(且在反應離子蝕刻的情形中還透過反應)而移除材料。
此處各種實施例相關於在半導體基板上蝕刻材料的方法和設備。在此處實施例的一實施態樣中,提供一在半導體基板上蝕刻材料的離子束蝕刻設備,該設備包含:反應腔室;反應腔室中之基板支撐件;離子源;以及控制器。該離子源包含:電漿區,用以產生及/或維持電漿;以及離子抽取器,其係接近電漿區而定位,該離子抽取器包含第一電極、第二電極、及可選的第三電極,其中第一、第二、和第三電極的每一者包含複數孔;以及電源,該電源用以向第一電極、第二電極、及第三電極的一者或更多者供應偏壓電位,離子源於該處係配置成產生自第一、第二、及第三電極中複數孔而放射的複數離子束。該控制器具有指令,以進行以下者:(a)產生離子束,以使離子束軌道相關於離子抽取器之最下方電極而偏轉至非法線角,最下方電極係第一電極、第二電極、及第三電極的其中一者;以及(b)改變離子束軌道,以使得在蝕刻期間離子束相關於離子源的定向而移動。
在某些實施例中,第三電極係偏心孔電極,此處第三電極中的孔係自第一和第二電極中的孔而偏離。在一些如此的實施例中,指令在(a)中包含施加偏壓至偏心孔電極,從而造成離子束自最下方電極中之複數孔而以非法線角放射。在該等或其他情形中,指令在(b)中可包含在蝕刻期間改變施加至偏心孔電極的偏壓,從而使離子束相關於離子源及基板表面的定向而移動。
也可使用機械技術。例如,指令在(b)中可包含在蝕刻期間機械性地移動第三電極,從而使離子束相關於離子源及基板表面的定向而移動。在各種實施例中,在達成(a)及/或(b)方面可使用一或更多電磁線圈。在一些範例中,設備更包含定位於反應腔室外側的一或更多電磁線圈,使得在離子源與基板支撐件之間的區域中產生磁場,並且指令在(b)中包含改變磁場強度的指令,從而使離子束相關於離子源及基板表面的定向而移動。
在一些情形中,可使用多於一個的偏心孔電極。舉例而言,第三電極中之孔可係自第一和第二電極中之孔而在第一方向上偏離,該設備於此處進一步包含第四電極,該第四電極係具有自第一和第二電極中之孔而在第二方向上偏離之孔的偏心孔電極,此處第一方向係不同於第二方向。
偏轉板提供了一額外的、或可選的使離子束偏轉之機構。在一些實施例中,離子源更包含設置於最下方電極之下的複數組之偏轉板,此處指令在(a)中包含在每組偏轉板中的偏轉板之間產生電場,且此處離子束行進通過電場。在各種情形中,指令在(b)中可包含使每組偏轉板中的偏轉板之間之電場的強度發生改變的指令,從而使離子束相關於離子源及基板表面的定向而移動。偏轉板可採取各種形式。在一些實施例中,偏轉板係設置在一或更多陣列之平行傳導性條狀物中。在特定的實施例中,偏轉板係設置在第一陣列之平行傳導性條狀物和第二陣列之平行傳導性條狀物中,該第一和第二陣列係相互垂直而定向。在另一實施例中,偏轉板係設置為至少部分定位於絕緣板中之複數洞內的傳導板。
如以上所提及,電磁線圈可用於各種情形中。在一些實施例中,設備更包含定位於反應腔室外側的一或更多電磁線圈,以在離子源與基板支撐件之間產生磁場,並且指令在(b)中包含改變離子源與基板支撐件之間之磁場的強度的指令,從而改變離子源與基板支撐件之間區域中之離子束軌道。在該等或其他情形中,設備更包含定位於反應腔室外側的一或更多電磁線圈,以產生接近離子源的第一磁場,此處指令在(a)中包含產生第一磁場,以造成離子束偏轉。指令在(b)中可包含改變第一磁場的強度,從而使離子束相關於離子源及基板表面的定向而移動。在一些實施例中,設備更包含定位於反應腔室外側的一或更多額外的電磁線圈,以在離子源與基板支撐件之間產生第二磁場,並且指令在(b)中包含改變第二磁場的強度的指令,從而使離子束相關於離子源及基板表面的定向而移動。
在各種情形中,基板支撐件並非係用以在蝕刻期間傾斜基板。在該等或其他情形中,基板支撐件並非係用以在蝕刻期間旋轉基板。該等特徵可顯著地簡化蝕刻方法、及/或實施該蝕刻方法的設備。在所揭露實施例的任何者中,中空陰極發射器電極可出現於離子源中。可對所揭露實施例之任何者而作出的另一修改係注入頭。在某些實施例中,設備更包含用以在反應腔室中以局域高壓而提供反應物至基板的注入頭,此處控制器更包含使反應腔室維持在處理壓力之下的指令,此處局域高壓係至少比處理壓力高約100倍。在一些實施例中,自最下方電極中之孔而放射的離子束以相互平行的方式而放射。
所揭露實施例的另一實施態樣中,提供在半導體基板上蝕刻材料的方法,該方法包含:(a)產生電漿;(b)使用離子源而自電漿產生離子束,該離子源包含至少兩電極,其中每一電極具有複數孔,離子束具有相關於離子源之最下方電極而偏轉至非法線角的離子束軌道;以及(c)改變離子束軌道,以使離子束在蝕刻期間相關於離子源的定向而移動。
在各種實施例中,基板在蝕刻期間並不旋轉。在該等或其他實施例中,基板在蝕刻期間並不傾斜。
該等及其他實施例將於以下參考隨附圖式而描述。
本申請案中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、以及「部分製造的積體電路」係可互換地使用。此領域通常技術者將理解,用語「部分製造的積體電路」可指處於矽晶圓上積體電路製造之許多階段的任何者期間的矽晶圓。半導體元件產業中所使用之晶圓或基板典型地具有200mm、或300mm、或450mm的直徑。以下實施方式假設本發明係於晶圓上實施。然而,本發明並非如此限制。工件可具有各種形狀、尺寸、以及材料。除半導體晶圓外,其他可利用本發明的工件包含各種物件,例如,印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡、光學元件、微機械元件、及其類似物。
在以下實施方式中,提出許多具體細節以提供對所呈現之實施例的透徹理解。所揭露之實施例可在缺少該等具體細節之一些或全部者的情況下實施。在其他情形中,已熟知的製程操作並未作詳細描述,以避免不必要地模糊所揭露之實施例。儘管所揭露實施例將結合具體的實施例而進行描述,但是吾人將理解,其並非意圖限制所揭露實施例。 I.                脈絡
離子束蝕刻常見地用於磁性元件的製造。如以上所提及,離子束蝕刻涉及藉由傳送能量離子至基板表面而將材料自基板之表面移除。離子束蝕刻可廣泛地分類為:僅涉及惰性離子(例如,氬離子)的製程;以及涉及反應離子、或由離子引發之化學反應的製程(例如,氧離子、如含氟電離化合物的某些電離化合物、引發與化學吸附或物理吸附於基板上表面上之反應物之間的化學反應的反應或惰性離子等)。在該等製程中,離子撞擊在基板表面上,並透過直接的物理動量轉移(濺射)、 或透過由轉移自離子的能量而發起之化學反應(反應離子束蝕刻或化學輔助離子束蝕刻)而將材料移除。反應離子束蝕刻(RIBE,reactive ion beam etching)典型地涉及到使用可與基板進行化學反應的離子(例如,氧、氟、及類似物)。在化學輔助離子束蝕刻(CAIBE,chemically assisted ion beam etching)中,惰性離子進行以下者:引發基板與反應物(例如,吸附於表面上的施加氣體)之間的化學反應;或者在基板之表面上產生一反應位置,於該反應物位置產生的同時、或之後,基板與施加反應物進行反應;或其之任何組合。
離子束蝕刻製程的某些應用與非揮發性材料的蝕刻有關。在一些情形中,所蝕刻之材料為傳導材料。在某些實施例中,在形成以下者的過程中蝕刻材料:磁阻式隨機存取記憶體(MRAM,magnetoresistive random-access memory)元件、旋轉力矩轉移記憶體(STT-RAM,spin-torque-transfer memory)元件、相變記憶體(PSM,phase-change memory)元件、非揮發性導體(銅、鉑、金、及類似物)。在其他應用中,控制離子對基板之入射角的能力可對於產生如垂直堆疊記憶體之3D元件而有用。
執行離子束蝕刻製程時,期望促進基板表面範圍之高度均勻的離子通量。高程度的均勻性有利於在基板的整個表面範圍內產生可靠的元件。進一步講,在某些情形中可期望促進高的離子通量、及/或高通量之氣相反應物。高通量可幫助使產能最大化。影響蝕刻結果品質的另一因素係控制能量、及離子衝擊表面之角度的能力。該等因素對於形成具有期望尺寸和輪廓之特徵部係重要的。
圖2呈現的是某些方法中,執行離子束蝕刻之設備100的簡化橫剖面視圖。在此範例中,基板101置於基板支撐件103上,該基板支撐件103可配備有硬體(未顯示),以提供電連接和流體連接。電連接在一些情形中可用來供應電流至基板支撐件103,或至位於基板支撐件103上或內的靜電夾頭(未顯示),而流體連接可用來提供用以控制基板101和基板支撐件103之溫度的流體。基板支撐件103可藉由加熱器(未顯示)而加熱、或藉由冷卻機制(未顯示)而冷卻。冷卻機制可涉及使冷卻流體流過基板支撐件103內,或與其鄰近之管路。如圖2中雙頭箭號所示,基板支撐件103可係能夠以可變的速度、和角度進行旋轉和傾斜。
產生電漿的氣體係傳送至初級電漿產生區105。產生電漿的氣體係藉由電漿源107而激發。在圖2之內容中,電漿源107係充當電感式耦合電漿源的線圈。如電容式耦合源、微波源、或放電源之其他源可應用於適當設計的反應器。電漿在初級電漿產生區105中形成。抽取電極109包含一系列孔110,離子係通過該等孔而抽取。
孔110可具有約0.5-1cm之間的直徑、以及由電極之厚度而定義的高度。孔110可具有約0.01-100.0之間的高對寬縱橫比(AR,aspect ratio)。在一些情形中,孔110係以六角形、方形網格、或螺旋圖案而排列,然而也可使用其他圖案。相鄰孔之間中心到中心的距離可約為1mm-10cm之間。孔可用以達成約為僅考量電極的單一(頂或底)面時電極之表面面積的0.1%-95%之間的總開放面積(亦即,每一孔之面積的總和)。舉例而言,具有40cm之直徑、以及500個洞(每一洞具有1cm的直徑)的電極將具有約31%(393cm2 的開放面積除以1257cm2 的總面積)的開放面積。孔110在不同的電極中可具有不同的直徑。在一些情形中,上電極中之孔直徑較小,且下電極中較大。在一實施例中,下電極113中之孔係大於聚焦電極111中之孔(例如,約大0-30%之間)。在該等或其他情形中,聚焦電極111中之孔係大於抽取電極109中之孔(例如,約大0-30%之間)。
相關於基板101而施加至抽取電極109之偏壓V1 用於向離子提供相關於基板的動能。此偏壓一般係正偏壓,且可在約20-10000伏特之間的範圍中或更高。在某些情形中,抽取電極上之偏壓係約20-2000伏特之間。抽取電極109之上的電漿中的正離子係藉由電極109和113之間的電位差異而受吸引至下電極113。附加聚焦電極111以聚焦離子,且在需要時排斥電子。此電極上之偏壓V2 可相關於抽取電極109而為正偏壓或負偏壓,但一般係負向偏置。聚焦電極111之偏壓電位係藉由聚焦電極111的透鏡特性而判定。聚焦電極上之偏壓電壓包含:約為抽取電極上電位V1 的1.1倍至20倍之間的正電壓、以及具有約為電位V1 的0.001倍至0.95倍之間大小的負電壓。由於施加至不同電極的不同電位,所以存在電位梯度。電位梯度可約為100V/cm之等級。相鄰電極之間的例示性間隔距離落在約0.1-10cm之間,或者舉例而言,約為1cm。
在最習知的離子束蝕刻操作中,離子離開接地下電極113的底部之後,它們以準直及聚焦之射束行進。可選地,若調整聚焦電極的電壓至離子束聚焦不足、或過度聚焦,則可使射束變得發散。在此處實施例中,離子束可為發散的或準直的。在某些實施例中,離子束的發散度可為至少約5°、至少約10°、至少約15°、或至少約20°。在該等或其他情形中,離子束的發散度可為約30°或更小,例如,約25°或更小、或20°或更小、或約15°或更小。下電極113在許多(但並非全部)情形中係接地的。接地下電極113與接地基板101的結合使用導致基板處理區115實質上(靜電上)係無場的。使基板位於無場區預防由離子束與殘餘氣體之間、或與反應腔室中表面之間的碰撞而產生之電子、或二次離子向基板加速,從而使得引起不需要之損壞或二次反應的風險最小化。
另外,預防基板101從離子束本身、或從於離子束與基板的碰撞期間而產生之射出二次電子而被充電係重要的。中和作用係典型地藉由在基板101之周圍中附加低能量電子源(未顯示)而實現。因為離子上的正電荷及射出二次電子兩者都使基板正充電,所以基板之周圍中的低能量電子可受吸引至帶正電的表面,並且可中和此電荷。在無場區內執行此中和作用係容易得多。
在一些應用中,可期望在下電極113與基板101之間具有電位差異。舉例而言,若需要非常低能量的離子,那麼由於帶正電離子的互斥力(空間電荷效應),將高準直的射束經過長的距離而維持在低能量係困難的。該情況之解決方案係相關於基板101而在下電極113上設置負偏壓(或者,相反地,相關於下電極113而將基板101正向偏置)。這容許在較高能量下抽取離子,然後當它們接近基板時使它們減速。
在某些離子束蝕刻操作中,可省略三個電極之其中一者。在此情形中,關於離子被引導至基板的表面之能量有較少的彈性。此限制出現的原因是,為使離子如期望般受到聚焦及引導,應施加特定比例之偏壓電位至兩電極。該比例之偏壓電位係由這兩電極的聚焦特性和幾何形狀而控制。就此而言,在使用特定幾何形狀、且期望於下電極上有特定偏壓/電性狀態(例如,接地)之情形中,施加至上電極的偏壓便有少許彈性、或沒有彈性。結果就是,使用如此設定之反應腔室便在可於離子行進通過不同電極時給予其的離子能量的範圍上受限制。如以上所述,第三電極的引入容許離子如期望般在許多不同的離子能量下受到聚焦/引導。
在其他離子束蝕刻操作中,可設置一或更多額外的電極。如此的電極可以是具有相較於剩餘電極中之孔而偏心之孔的電極。此偏心孔電極、以及施加至其的電壓可用以控制離子束離開電極以及朝基板行進的角度。該偏心孔電極係於以下作進一步詳細討論。另一類可用且於圖2中未顯示的電極係中空陰極發射器電極。在中空陰極發射器電極中,電極上每一孔係中空陰極發射器。該中空陰極發射器可用以形成局域電漿,自該局域電漿而有效率地抽取離子,以形成離子束。該中空陰極發射器電極係於以下作進一步詳細討論。
電極109、111、及113之每一者具有可約為0.5mm-10cm之間、或約1mm-3cm之間(例如,約5mm)的厚度。電極109、111、及113之每一者可為相同的厚度,或它們可具有不同的厚度。進一步而言,抽取電極109與聚焦電極111之間的分離距離可相同於、大於、或小於聚焦電極111與下電極113之間的分離距離。電極109、111、及113之每一者具有的尺寸也可小於、等於、或大於受處理基板的尺寸。在某些實施例中,電極的尺寸係接近於基板或基板支撐件的尺寸(例如,約50%以內)。
電極109、111、及113可係圓形、矩形、或其他多角形。電極可共同稱為離子抽取器。離子抽取器與電漿產生區105可一起稱為離子源。在某些實施例中,電極係長且窄,其中長的尺寸約等於、或大於基板的一尺寸,且在正交方向上掃描基板,使得對時間取平均時,離子束均勻地打擊在基板表面的範圍。
抽取電極109、聚焦電極111、及下電極113中之孔110可相互精確地對準。習知的應用中使用精確對準的孔,以確保離子束以法線角離開電極。此處某些實施例中,刻意地包含一偏心孔電極。如以下進一步討論,該偏心電極可用以控制離子束離開電極、及朝基板行進的角度。
離子束蝕刻製程係典型地在低壓下運行。在一些實施例中,該壓力可約為100mTorr或更小(例如,約1mTorr或更小),且在許多情形中約為0.1mTorr或更小。低壓幫助使離子與任何出現在基板處理區中之氣態物種之間的不期望碰撞最小化。
習知的離子束蝕刻製程一般地涉及在蝕刻期間傾斜和旋轉基板。如以下進一步詳細解釋,此處各種實施例相關於不需要基板旋轉或傾斜之執行離子束蝕刻的方法和設備。在習知的方法中,基板旋轉係用以控制離子衝擊基板的入射角,並且由此控制蝕刻率、以及所蝕刻特徵部的結果輪廓。如圖1中所示,蝕刻率係取決於入射角,且最快的蝕刻發生在約60°之入射角。入射角係測量為離子軌道與基板表面的法線之間的角度。例如,若基板係維持在水平位置,且離子以直接向下/垂直的方向撞擊在表面上,則入射角為0°。若使基板傾斜10°,且離子直接地向下行進,則入射角為10°。
在形成垂直特徵部的許多情形中,在單一的蝕刻操作期間使用複數的傾斜角度,伴隨著基板在蝕刻期間的旋轉。第一傾斜角度可用以形成所蝕刻特徵部的側壁,且第二傾斜角度可用以清理/塑形特徵部中的某些區域,例如特徵部之底部側壁附近的肩部區域。該塑形可有利於移除在蝕刻期間再沉積(例如,通過背濺射)至特徵部之側壁上的某些物種。單一蝕刻製程期間也可使用其他角度。基板旋轉係用以使離子束平均覆蓋於晶圓之表面範圍,使得基板表面範圍之離子通量更加均勻。該傾斜與旋轉可能引入顯著的處理困難。
某些由基板旋轉而出現的處理困難有關於基板支撐件上或內之電連接和流體連接。可於蝕刻製程期間使用該等連接夾持基板,並且向基板支撐件提供功率、冷卻流體等。當使基板於基板支撐件上旋轉時,該等連接可能在其自身、基板支撐件、或反應腔室中的其他機構周圍進行不期望的扭轉、及/或捲繞。該扭轉及/或捲繞可能阻止基板在一個方向上進行連續旋轉。如以上所併入用作參考之美國專利申請案第14/473,863號中所相關之內容,此問題的一解決方案有關於在蝕刻期間使用雙向旋轉。然而,雙向旋轉可能引入的其他困難有關於在蝕刻製程期間精確地控制基板的相對旋轉位置。例如,光學的、或機械的位置追蹤系統可用來精確地追蹤基板的旋轉位置,以確保蝕刻期間基板在適當的時間切換方向。該等追蹤系統增加了蝕刻設備的複雜性和成本。雙向旋轉也可能引入某些處理的不均勻性,特別是在基板不能足夠快地切換方向時,或者在旋轉期間之旋轉速度的不均勻性範圍過大時。
與基板旋轉有關的另一處理困難出現在化學輔助離子束蝕刻(CAIBE,chemically assisted ion beam etching)的過程中。如於2014年8月12日提出申請、且命名為「DIFFERENTIALLY PUMPED REACTIVE GAS INJECTOR」的美國專利申請案第14/458,161號(該案係整體併入於此作為參考)中所解釋,經常期望在處理腔室中維持低壓,以使離子束中之離子與腔室中之其他物種的碰撞最小化。另一方面,期望以相對較高的壓力來傳送反應物氣體(在其所使用之處),以增加反應的速率。如申請案第14/458,161號中所呈現,一解決方案係使用局域的反應物傳送機構,以將反應物局域地傳送至基板的表面。局域的反應物傳送機構(也稱為注入頭、或差異泵抽反應氣體注入器)可定位於基板表面的鄰近處,使得反應物傳送至非常接近基板。注入頭典型地具有至少兩區:反應物傳送區、和真空區。真空區可圍繞、實質上圍繞、及/或毗連反應物傳送區。關於注入頭的進一步細節係包含於以下內容中。
儘管注入頭可有利地以局域高壓傳送反應物,但在使用基板旋轉和傾斜之情形中,注入頭可能難以實施。此困難可能與在基板表面範圍達成均勻的反應物傳送有關,並且此困難可能在以下實施例中最有問題:基板於移動掃描基板表面範圍之注入頭下進行旋轉之實施例、以及基板在蝕刻期間經歷複數傾斜角度之實施例。相比之下,在基板不進行旋轉、或傾斜之情形中,配置注入頭以將反應物均勻地傳送至基板表面可能容易得多(亦即,可使用較簡單的掃描圖案,及/或較簡單的注入頭設計與支撐/定位機構)。
基於該等及其他困難,期望有不使用基板旋轉及/或傾斜之改善的蝕刻製程。有利地,不採用基板旋轉和/或傾斜的設備較習知的離子束蝕刻設備將較不複雜、且較不昂貴。 II.             改善的離子束蝕刻製程和設備
此處某些實施例相關於蝕刻期間不涉及基板旋轉的離子束蝕刻製程和設備。為在基板之表面範圍提供均勻的離子通量,可使用一或更多之若干選擇。該等選擇可廣泛地分類為靜電技術、機械技術、及磁感技術,並且係於以下作進一步討論。在某些實施例中,離子束係發散的。在其他實施例中,可使用較準直的離子束。可將某些額外的特徵整合至蝕刻設備內,以進一步改善蝕刻結果。如此之特徵可為中空陰極發射器電極。另一如此之特徵可為在基板上以局域高壓傳送反應物的注入頭。該等選擇及特徵的每一者係於以下作進一步討論。 A. 發散離子束
圖3A至3C描述具有三個電極(其中有孔)的離子抽取器。在圖3A中,離子束係聚焦不足的。在圖3B中,離子束係聚焦的(亦即,準直的)。在圖3C中,離子束係過度聚焦的。聚焦不足的、和過度聚焦的離子束都可稱為發散束。發散束可尤其有用於無基板旋轉使用之情形、或無其他使離子平均覆蓋的方法使用之情形(然而發散束並不限制於本內容)。就每一離子束而言,高度聚焦的離子束在相對小的範圍內提供離子。若沒有使用基板旋轉、或其他使離子平均分布的方法,則蝕刻將僅發生於聚焦離子束撞擊在基板上之範圍內,且在該等範圍之間的區域中將沒有蝕刻發生。此結果係非常不期望的。相比之下,聚焦不足的、及過度聚焦的離子束在有效離子分布角之較寬的範圍內傳送氣體,並且因此而用來確保離子束撞擊在基板的整個表面上。然而,即使是發散離子束,其傳送離子也伴隨著某種程度的不均勻性。該不均勻性出現的原因是,在射束之中心線以下傳送的離子相對較多,且在射束之邊緣附近傳送的離子相對較少。
圖3A至3C中每一孔正上方是有時稱為暗區的半球形區。暗區可出現在最上方電極之上(例如,在使用以下者之處而出現在其之上:抽取電極、或中空陰極發射器電極)。暗區係無電漿存在的區域。該等區域中帶電顆粒密度係非常的低。電子自該區而受到排斥,因為自最上方電極以下的位置而放射之負電位延伸通過複數孔、並且自電漿排斥電子。相似地,電漿中的離子係快速地受吸引至孔中,並且通過孔而加速。這兩種效應(電子之排斥和正離子之吸引/加速)組合形成無電漿區(亦即,暗區)。
圖4呈現的圖式顯示圖3A-3C中所顯示射束之類型在有效離子分布角之範圍的相對離子強度,其中,有效離子分布角係自離子抽取孔其中一者而放射之離子打擊基板的角度分布。如圖4中所示,聚焦不足射束、和過度聚焦射束實質上在較大的分布角處提供較大的離子強度。
圖5呈現與圖4中所顯示之內容相似的資訊。特別地,圖5顯示過度聚焦發散離子束之射束輪廓和角輪廓。射束輪廓係自離子抽取孔其中一者而放射之離子打擊基板的強度分布。角輪廓係自離子抽取孔其中一者而放射之離子相對於基板表面之法線的離子角度分布。該資料係假設約0.5cm之網格至晶圓距離、約2cm之透鏡焦距、約0.5cm之電極孔尺寸、及約3eV之能量展佈而產生。假設球面像差、和色差、和空間電荷係標稱值。如圖4及圖5中所示,離子強度於射束之中心線處(x=0)係最高,此處有效離子分布角係0。這適用於圖3A-3C中所示射束的全部類型。
圖6說明的圖式顯示離子束係發散束之實施例之相對射束電流密度對基板直徑範圍之距離。由於自每一個單獨離子束的離子強度都有尖峰,所以射束電流密度在基板範圍係不均勻的。反之,基板範圍之離子強度中有清晰的週期變化。因此,儘管發散束相較於使用聚焦束之處,可幫助促進晶圓之表面範圍內更為均勻的離子覆蓋,但仍有理由相對於彼此而移動基板和離子束。此移動將藉由空間上使基板表面範圍之離子分布平均化而幫助促進更為均勻的離子傳送,從而使每一離子束內不均勻的離子傳送的影響最小化。
相對於彼此而移動基板和離子束的一種方法係旋轉基板。然而,由於以上所討論的原因,基板旋轉可在此處各種實施例中避免。另一方法係移動基板。為以非旋轉的方式移動晶圓,可設置機械馬達、自動機器、或其他機構。該機構移動基板的方向可致使離子束撞擊在基板的不同部位上(亦即,在不平行於離子束之方向的方向上)。如此處所使用,離子束的方向係考量為沿離子束之中心線。無論離子束是準直的、抑或是發散的,都是此情形。可選地的、或額外地,離子束本身可在基板之表面範圍移動。如以上所提及,可使用各種技術以移動離子束。 B. 靜電技術
此處所揭露的某些技術涉及到使用具有電極的離子抽取器/源,該電極具有相較於離子源之其他電極中的孔而偏心的孔。該偏心孔電極可用以控制離子束離開離子抽取器/源的角度。該偏心孔電極可在此處稱為偏心(OC, off-center)電極。
離子軌道的角度係在離子束之中心線、及離子抽取器/源中最下方電極之表面的基礎上而量測。最下方電極係離子抽取器中定位於最接近基板(且在電漿產生區與基板之間)的電極。換句話說,徑直行進通過離子抽取器之電極中複數孔的離子束,未受到偏轉而大約係以相關於離子抽取器和離子源之法線角而受到引導。相比之下,受到偏轉(無論通過靜電技術、機械技術、磁感技術、或其組合等)的離子束係以相關於離子抽取器和離子源之非法線角而受到引導(因為剛剛離開離子源之最下方電極或是此後之某時間點的離子軌道都以非90°角而遠離最下方電極)。
圖7A和7B呈現的是包含四電極701、702、703、及704之離子抽取器700的簡化橫剖面圖。電極703係OC電極,因為電極703中之孔710相較於其他電極中之孔710係偏心的。在圖7A中,無偏壓電位施加至OC電極703,且離子束以垂直於電極的方向垂直地行進通過孔710。然而在圖7B中,施加偏壓電位至OC電極703,以引起離子束進行彎曲。如此,離子束以非垂直於電極的方向離開電極。離子束可平行於彼此(亦即,離子束的中心線可平行)。在圖7B中,施加偏壓電位至OC電極703時,離子束係向右偏斜。
OC電極相對於其他電極無需如圖7A和7B中所顯示般定位。在某些實施例中,電極的相對位置係不同於該等圖中所顯示的內容。無論它們的相對位置為何,OC電極和其他電極應如此配置(例如,適當地加以塑形、定位、及偏壓),以使得在離子束彎曲時,離子束仍然能夠行進通過其他(例如,較下方位置的)電極中的孔。在某些實施例中,如圖8中所顯示之範例,使用多於一個的OC電極。此處,離子抽取器800包含兩個OC電極:803a和803b。也有顯示電極801、802、和804。第一OC電極803a具有的孔810係在第一方向上(例如,x方向,圖8中的左/右)而偏離電極801、802、和804中之孔810。第二OC電極803b具有的孔810係在第二方向上(例如,y方向,圖8中向頁面內/外)而偏離電極801、802、和804中之孔810。因此,OC電極803a和803b兩者可一起用於在基板表面周圍於x和y兩者方向上移動離子束。在所顯示之特定橫剖面中,第二OC電極803b中之孔810係顯示小於電極803a和804中之孔810。然而,第二OC電極803b中之孔810可為與其他電極中之該等其他孔810相同或較大的尺寸。因為第二OC電極803b中之孔810係在y方向上偏離(例如,電極801、802、803a、和804之孔中心係在頁面的平面中,而第二OC電極之孔中心係在頁面之平面之後),所以並未顯示該等孔的全直徑。
在某些情形中,OC電極中之孔可係與其他電極中之孔相同的尺寸,或者該孔可小於、或大於其他電極中之孔。在一特定實施例中,較下位置的電極可具有比較高位置的電極較大的直徑孔。自上方審視時,OC電極中孔的中心可從其他電極中之孔中心偏離約OC電極中孔之直徑的25%或更小之距離,例如約15%或更小。
在各種實施例中,OC電極中全部、或實質上全部孔的每一者相較於其他電極中之孔,係在相同的方向上偏離、且偏離至相同的程度。在設置有多於一個的OC電極之處,每一OC電極中的孔相較於彼此,可偏離相同的、或不同的程度。經常地,每一OC電極中的孔在不同的方向上偏離(例如,如圖8中,第一OC電極中之孔係在與第二OC電極中之孔不同的方向上偏離)。
在某些實施例中,離子束離開離子抽取器/源的角度係藉由改變施加至偏心孔電極的電壓而改變。當無電壓施加至偏心孔電極時,離子束實質上直行通過孔,且離子束以法線角離開離子源。相比之下,當施加電壓至偏心孔電極時,離子束係以非法線角而受引導遠離離子源。該非法線角取決於施加至偏心孔電極的電壓。因此,離子束可藉由改變施加至偏心孔電極的電壓而在基板之表面周圍移動。
在某些實施例中,施加至偏心孔電極的電壓於加速電位至減速電位之間掃掠。所施加之電壓係於最大約10倍射束電壓(使射束加速)至最小(相反的極性)約射束電壓的95%(使射束減速)之間掃掠,舉例而言,相關於直接鄰近的電極而在約2倍加速射束電壓至50%的減速射束電壓之間,或者例如在1.5倍加速射束電壓至25%的減速射束電壓之間。
如圖9中所示,於基板之表面範圍移動離子束的另一靜電技術,涉及在電極其中一者下設置偏轉板(例如,MEMS設備)。在圖9中,為清楚起見而僅顯示單一孔910和一組偏轉板920。然而,應該理解,電極經常具有陣列之孔,及針對相關電極上之每一孔而設置的複數組偏轉板。進一步講,圖9顯示三個電極901、902、和903,然而在各種實施例中可設置額外的或更少的電極。偏轉板920係設置於電極903下每一孔910的相反側上。偏轉板920不限制於定位在最下方電極下。藉由施加適當的靜電電位,在每一組偏轉板之間產生電場。該電場可隨離子束的通過而使其軌道彎曲。因此,在設置如此之偏轉板的地方,可省略偏心孔電極。藉由改變偏轉板組之間電場,離子束的軌道可受到控制,使得蝕刻期間離子束在基板之表面範圍移動。換句話說,離開離子抽取器/源之離子束的方向可在蝕刻的過程期間得到修正。複數組之偏轉板係可單獨地/獨立地定向/控制,或者他們可一起受到存取/控制。
在某些實施例中,偏轉板係設置在離子源內之最下方電極下。在其他實施例中,偏轉板可設置在不同的電極下,例如,第二電極下、或第三電極下(自底部電極向上計序時)。電極、孔、及偏轉板應配置成使得離子束的一顯著部分行進通過電極中之複數孔,即使是在離子束的軌道受到偏斜的時候亦然。該因素使得偏轉板在最下方電極下的放置尤其有效(因為沒有進一步的電極阻擋該偏斜離子束),然而具有通常技術者也將理解,其他配置係有可能,且係於本實施例之範疇內。
用以產生射束偏轉電場的結構可以若干方式進行製造/配置。如圖21中所示之如此方法使用陣列之堆疊金屬、或半導體條狀物。該等條狀物(2101、2102、2103、及2104)係連接至絕緣體(因簡潔而未顯示),且由該絕緣體隔開。條狀物2101和2102係用以使射束在例如x方向上偏轉,而條狀物2103和2104將用以使射束在例如y方向上偏轉。一般來講,每一條狀物可設定至不同的電壓。在各種實施例中,所有的條狀物2101可設定至相同的電位,而條狀物2102可設定至與條狀物2101相同的大小,但相反的極性。相似地,條狀物2104可設定至與條狀物2103相同的大小、及相反的極性。也可使用其他相對(例如,不相等的)的大小的電位。在一些實施例中,條狀物2102和2104可接地,且偏轉係藉由僅向條狀物2101和2103施加電位而達成。舉例而言,針對1cm高、且間隔1cm的條狀物,施加至條狀物2101之+50V電位、及施加至條狀物2102之-50V電位將使100eV的氬離子偏轉約26度。如圖22中所示,偏轉條狀物(2201、2202、2203、及2204)之該等陣列可安裝在電極板2205的其中一者上、或與其接近。
可選地,微機械加工技術可用以將偏轉板裝配至如石英、或鋁土、或其他絕緣材料之絕緣板上。圖23和24中顯示一範例。圖23代表具有方形洞2302陣列加工或微加工於其中的絕緣板2301。該等洞2302可隔開至與離子源抽取/聚焦電極中所使用之洞陣列重合。洞2302之陣列形成後,傳導材料(例如,金屬、或半導體)可沉積至絕緣板2301之表面上、或其洞2302的內部,且該傳導材料可進行圖案化以形成偏轉電極。圖24中顯示如此之代表性的洞。所沉積之導體材料(顯示於2402、2403、2404、2405及2406處)進行圖案化,以形成兩對偏轉電極2403/2405、及2406/偏轉電極2406之對向電極(未顯示)。偏轉電極2403和2405可分別各自藉由導體2402和2404充能。偏轉電極2406可藉由以下者而供電:絕緣體2401(如圖24中所代表)之相反側上的導體、或者絕緣體2401之與導體2402和2404相同側上之額外的圖案化的導體。 C.機械技術
於基板之表面範圍移動離子束的另一選擇相關於某些機械技術。該等技術系相關於以上所述之靜電技術的一些者。在一實施例中,如上所述之偏心電極係受到偏壓,以使離子束的軌道彎曲。離子束可藉由物理性地移動OC電極而在基板表面周圍移動。例如,在各種實施例中,OC電極可在電極之平面內移動,以使離子束在基板表面的範圍移動。如此移動可附加至、或取代對施加至OC電極之偏壓電位的調節。在使用機械技術之處,OC電極移動的距離可至少約為孔直徑的1%,例如,至少約20%。在該等或其他情形中,OC電極移動的距離可約為孔直徑的50%或更小,例如孔直徑的約25%或更小。 D.磁感技術
磁感技術也可用以促使離子束在基板表面範圍移動。該等磁感技術也可用作其他目的,例如,將離子束以期望的方式引導至基板上。在一範例中,設置於腔室周圍之一或更多線圈在離子抽取器/源附近提供一磁場,該磁場可用於:(a)使離子束的軌道彎曲,使得離子束以非法線角行進遠離離子源;以及可選地(b)造成離子束於蝕刻期間在基板之表面範圍移動。在另一範例中,設置於腔室周圍之一或更多線圈在離子源與基板之間的位置提供一磁場,用以:(a)使離子束的軌道彎曲,使得離子束在期望的區域/以期望的入射角衝擊基板;以及/或者(b)造成離子束於蝕刻期間在基板之表面範圍移動。施加於此區域(離子源與基板之間)中之磁場可對於離子束的再瞄準尤其有用,否則該離子束將完全地脫靶基板,或者以較不期望之角度衝擊基板。舉例而言,此區內之磁場可與導致離子束以非法線角離開離子源之技術結合使用。無論磁場施加在何處,離子束可藉由改變(複數)磁場(其中至少一者)的強度而在基板之表面周圍移動。施加至腔室的任何磁場可由二者或更多磁場的之組合/重疊而導致產生。
磁場係通過電磁體、及/或永磁體而產生。該等磁體典型地係設置於反應腔室之主要處理部分的外側,例如,在反應腔室之外周部的周圍。在使用電磁體之處,電磁體可係線圈的形式。在某些情形中,設置有複數組之共軸電磁線圈(包含螺線管)。在一特定情形中,共軸電磁線圈可係亥姆霍玆線圈對(Helmholtz coil pairs),其可用於產生近乎均勻的磁場。第三線圈(有時稱為馬克斯威爾(Maxwell)線圈)可設置於一組亥姆霍玆(或其他的)線圈之間,以使磁場甚至更為均勻。
圖10說明具有一組電磁線圈1054定位於設備1000周圍的蝕刻設備1000的一部分。線圈在各個位置處產生具有特定大小和方向的磁場。該場的大小和方向可使用軟體計算、或建模程式包而計算,例如,可由Ansoft Corporation of Pittsburgh(Pennsylvania)取得的MAXWELL™,其使用線圈之已知參數(線圈中電流的大小和方向、線圈匝數、它們的位置等)。所施加之約0.1T或更小的磁場將足以使100eV的氬離子偏轉。在各種實施例中,對於100eV的氬離子,更典型地可使用約0.05T或更小的磁場,或者具體地約0.03T或更小的磁場。為達成相似的偏轉角度,較重質量、或較高能量的離子將需要較高的磁場。
在某些實施例中,使用二或更多單獨的磁場,舉例而言,施加在離子源附近的磁場,及施加在離子源與基板之間的磁場。離子束可為聚焦的、過度聚焦的、或聚焦不足的。本實施例係顯示於圖12中。此處,蝕刻設備1200包含:上部1230,其容納有離子源(電極組,未顯示);以及下部1231,蝕刻期間基板定位於此處。第一組電磁線圈1255係定位於設備1200之上部1230中接近離子源,且第二組電磁線圈1256係定位於設備1200之下部1231中(離子源與基板之間的區域中)。第一組電磁線圈1255在產生第一方向上的第一磁場,且第二組電磁線圈1256在第二方向上產生第二磁場。在圖12中,第一和第二磁場係相反的方向。在一相似的實施例中,第一和第二磁場可係正交的方向(例如,如顯示,第一場在頁面之平面內定向向右,且第二場由頁面內或外定向)。不同的磁場可使用各種方向和方向的組合。由第一組電磁線圈1255所產生之第一磁場的目的是造成離子束以非法線角離開離子源。由第二組電磁線圈1256所產生之第二磁場的目的是使離子束再重新瞄準至基板表面上。複數磁場之任一者或兩者的額外目的是在基板表面周圍移動離子束,以使基板之表面範圍的離子束強度平均化。如此的移動可藉由改變流過線圈的電流、從而改變磁場、並且影響離子束之軌道而實現。
在另一實施例中,使用電場(或一系列電場,如以上所描述與定位在電極下每一孔之任一側的成對的結構/元件相關)來改變離子束的軌道,使得離子束以非法線角離開離子源,並且在離子源與基板之間施加磁場,以使離子束再重新瞄準至基板表面上。離子束可為聚焦的、過度聚焦的、或聚焦不足的。該等實施例係顯示於圖13和14中。在該等圖中,為清楚起見僅顯示單一孔。然而,應該理解,電極典型地包含陣列之複數孔。進一步講,圖13和14並未顯示反應腔室的壁。應該理解,反應腔室一般容納有離子源/電極,而電磁線圈係典型地設置於反應腔室壁之外側。
在圖13中,離子源1330包含五個電極1301、1302、1303a、1303b、及1304。電極1303a和1303b係如以上所描述之偏心孔電極。特別說明的是,與圖8中所顯示實施例相似,電極1303a具有在第一方向上偏離的孔,且電極1303b具有在第二方向上偏離的孔。可施加偏壓電位至OC電極1303a和1303b的任一者或兩者,以造成離子束以非法線角離開離子源1330。可改變OC電極1303a和1303b的任一者或兩者上之偏壓電位,以造成離子束在基板之表面範圍移動。相似地,如以上所提及,OC電極1303a和1303b的任一者或兩者可機械式地移動,以造成離子束在基板表面範圍移動。離子束以非法線角離開離子源1330之後,通過電磁線圈1356所產生之磁場係用以改變離子束的軌道。該磁場使離子束以期望的入射角再重新瞄準至基板上。
在圖14中,離子源1430包含三個電極1401、1402、和1403。如以上相關於圖9所描述,定位於電極1403下的是一組偏轉板1420。偏轉板1420係用以產生造成離子束以非法線角離開離子源1430之電場。複數組電磁線圈1456可用以產生在離子束離開離子源1430後而作用於離子束上的磁場。該磁場可使離子束的軌道彎曲,使得離子束重新以期望的入射角而再瞄準至基板上。
圖15概括地說明圖12和14中所顯示實施例的實作。已省略各種細節。圖15之實施例中的離子束係聚焦的/準直的。如以上所提及,該等實施例也可利用發散(亦即,過度聚焦、或聚焦不足)離子束而實施。此處,離子源1530包含三個電極1501、1502、及1503。產生第一場1561,以使離子束朝向右偏轉,且產生第二場1562,以使離子束朝向左偏轉。場1561和1562中箭頭係意在顯示離子束上來自於所施加之場的力的方向。第一場1561可為電場(如圖14中)或磁場(如圖12中)。第二場1562可為磁場。相似地,在實施圖13之實施例之處,離子束可相應地轉向以及再轉向,然而在該情形中,離子源將包含至少一個OC電極。
如此處所描述之引導離子束的不同選擇可以各種組合而使用。進一步講,不同的選擇可利用發散的和非發散的離子束而使用。該等選擇並非限制於蝕刻設備的任一特定實施例,且可作出各種修改。如此的修改可包含:電極的數量不同、電極的相對定向不同、所施加場的相對定向不同等。再者,此處實施例的任何者可利用特定的設備特徵而實施,該設備特徵包含如以下進一步描述之中空陰極發射器電極、及/或以局域高壓提供反應物的注入頭。 E. 中空陰極發射器電極
此處實施例的任何者可修改至包含額外的電極,該電極可為中空陰極發射器電極。在某些實施例中,中空陰極發射器電極係設置在抽取電極之上,使得抽取電極之上產生大量的高密度離子源。實際上,中空陰極發射器電極中之每一孔充當中空陰極發射器,從而提供大量的局域高密度離子源。在其他實施例中,中空陰極發射器可包含於抽取電極的自身內。中空陰極發射器電極中之孔係設計成、或配置成與其他電極中之孔對準。因此中空陰極發射器電極增加了活性離子產生的效率,因為產生於中空陰極發射器中之的極大部分離子係成功地通過電極而轉移至晶圓。這便容許以較低的能量位準而產生高密度離子。
中空陰極典型地包含內側表面上具有發射器材料的傳導管/氣缸。在中空陰極發射器電極的內容中,傳導管/氣缸即為孔。發射器材料較佳地具有低的功函數,這便容許材料具有高的二次電子產率。例示性發射器材料包含,但不限於以下者:矽(silicon)、 鎢(tungsten)、鉬 (molybdenum)、 錸(rhenium)、 鋨(osmium)、鉭(tantalum)、鋁(aluminum)、鈦(titanium)、以及釷氧鎢(thoriated tungsten)。發射器也可利用材料而塗佈,以加強二次電子產率,或預防來自於濺射的腐蝕。該塗佈可為氣相沉積、噴塗於其上、電鍍、無電電鍍、化學氣相沉積、電漿加強化學氣相沉積、塗抹於其上、旋塗於其上等。額外地,電極材料可受到陽極化處理。典型地,電極僅包含單一材料。換句話說,發射器材料即為電極材料。中空陰極發射器電極的整體形狀(例如,厚度、直徑)實質上可與其他電極的形狀相同。
在蝕刻期間,氣體和/或電漿可饋送/產生於中空陰極發射器電極的上游。在電漿係產生於自中空陰極發射器電極之上游之處,如此之電漿可為電感耦合電漿、電容耦合電漿、變壓耦合電漿、微波電漿等。電漿可遠程地產生、或在反應腔室中之中空陰極發射器電極之上產生。可對中空陰極發射器電極加RF偏壓,例如,約50-5000W之間(假設存在單一的300mm基板)。發射電子在氣體行進通過中空陰極發射器電極時使每一孔中之氣體離子化。離子化機制係於以下參考圖17而作進一步討論。
在某些實施例中,中空陰極發射器電極之孔係配置成具有促進高密度離子形成的形狀。達成此目的的例示性形狀係截頭圓錐形的孔。其他例如倒錐形、拱頂形、倒金字塔形之形狀也可用以促進離子形成。一般來講,頂部上較底部而寬的孔形狀尤其地有用。
在某些實施例中,期望具有的氣壓係中空陰極發射器之上游高於下游。為使發射器之範圍能夠有壓力降,氣體通過發射器洞的傳導率應係低的。在一些情形中,氣體通過電極堆棧的傳導率可約為10000L/min以下。舉例而言,氣體傳導率可約為50-1000L/min之間。例如,藉由窄化孔的最小直徑(圖16中之尺寸d2 )可達成約1Torr的壓差(中空陰極發射器的上對下)。舉例而言,具有直徑d2 為0.5mm,且d3 的長度為1mm的1000個孔之陣列將具有約為800L/min的氣體傳導率,並且在氣體以約1SLM的流速進行流動時,將經受約1Torr的壓力降。
在氣體傳導率係通過電極組而降低之實施例中,可使用氣體旁通路徑。例在如整組之初始抽空期間,將打開該氣體旁通路徑,以預防電極組範圍之過度的壓差。然後若操作期間期望有壓差,則可關閉該氣體旁通。
圖16呈現的是具有截頭圓錐形形狀孔1614之中空陰極發射器電極1654的橫剖面特寫圖。每一孔1614具有在中空陰極發射器電極1654之上表面1618上的第一直徑d1 ,及在中空陰極發射器電極1654之下表面1620(或晶圓側)上的第二直徑d2 。第一直徑d1 係大於第二直徑d2 。在一些情形中,第一直徑d1 約為1mm-20cm之間。第二直徑d2 可約為0.1mm-10cm之間。第一直徑對第二直徑的比率(d1 / d2 )可約為1.2-10之間。如可見,孔1614的上側在形狀上係一般地截頭圓錐形,以90°倒角向內漸縮直至孔1614的內徑等於d2 。倒角的角度係如圖16中所顯示般量測。在其他實施例中,錐形部分具有不同的倒角角度,例如約45-120°之間。截頭圓錐形部分與柱形部分約在通過中空陰極發射器電極1654之厚度的中途相會,且因此孔1614可特徵化為具有截頭圓錐形部分1617和柱形部分1619兩者。孔1614之柱形部分1619具有的高度藉由圖16中之d3 代表。在一些情形中,柱形部分1619的高度約為0.2mm-2cm之間。在該等或其他情形中,截頭圓錐形部分1617的高度約為0.5mm-2cm之間。儘管所顯示的內容中孔具有截頭圓錐形部分和柱形部分,但此處之孔直徑和高度也可應用至具有不同但相似之形狀的孔。
圖17說明的是通過中空陰極發射器電極1754之倒角孔1714的微射流的、低能量的離子產生區域。由於中空陰極發射器電極1754之上初級電漿放電的結果,局域鞘區1722係產生於孔1714中,從而導致生成電場。初級電漿放電可係來自中空陰極發射器電極1754之上游的任何適當的電漿源。例示性電漿源包含電感式耦合電漿源、電容式耦合電漿源、微波電漿源、遠程電漿源等。
來自初級放電之電子和離子進入孔1714,且產生通過每一孔而至中空陰極發射器電極1754之下表面1720的電流路徑(藉由虛線箭頭1723顯示)。當電流路徑(箭頭1723)收斂接近孔1714時,電流密度增加,造成孔中形成較密集的電漿,從而形成具有窄電漿鞘區的電漿射流。微射流之增加的電漿密度也可增加中子溫度,這使孔內中子的密度降低。該等效應的組合可增加電子溫度,並且改變微射流中的放電化學。此外,離子也藉由鞘區而加速,並打擊孔1714的內表面1724,從而射出二次電子。與高電漿密度電漿相關之較窄的鞘區容許電子在鞘區1722之範圍利用少許碰撞而加速,導致在微射流中產生非常高能的電子。二次電子獲得足夠的能量,以便與中性的氣體分子碰撞,從而使它們離子化,並產生通過孔的微射流狀放電區1726。
在一些情形中,可省略初級電漿放電。換句話說,中空陰極發射器電極可係唯一的電漿/離子源。在該等實施例中,開啟離子之串聯形成的初始高能量電子係由施加至中空陰極發射器電極的RF偏壓的結果而產生。高的電壓梯度、及/或長的孔幫助促進微射流的形成。在高能電子也自中空陰極發射器電極上游之初級電漿而提供之情形中,該等考量較不重要。在除中空陰極發射器電極以外而未包含單獨電漿源之情形中,中空陰極發射器電極上之RF偏壓可約為500-10000W之間。在包含單獨電漿源之處,偏壓範圍則可較不廣泛。
微射流放電區1726係最終撞擊在晶圓上之離子的主要來源。此外,已根據經驗而判定,孔1714之低的縱橫比(直徑d2 除以高度d3 )加強該微射流放電區。然而,若孔1714之高度(亦即,中空陰極發射器電極1754之厚度)製作得過小,就會削減用以冷卻電極的容量。另一方面,若孔之直徑為降低縱橫比而製作得過大,就會減少中空陰極發射器電極在均勻地分散電漿放電之方面的功效。據此,本實施例之孔1714可受配置以針對富含離子之電漿的有效產生和輸送(通過孔而至晶圓)而提供較低的縱橫比,同時仍容許電極有效地冷卻。
在孔1714中產生可靠微射流所需要之孔1714的大小和縱橫比係製程條件(包含如,電漿功率、壓力、氣體成份等參數)的函數。在此製程中,孔1714中微射流的「激發」係達成均勻的處理所必需。所述製程達成微射流之均勻的、且可靠的激發,以產生如此之均勻的處理。這與其他應用(例如,網格)不同,在其他應用中,用以屏蔽、或產生/調節電場或電磁場的多孔板具有的洞並不可靠地形成微射流放電區。相似地,本發明實施例與使用具有洞之多孔板作為電子或離子透鏡(其中電漿通過板中之複數孔而並不形成微射流放電區)的其他先前技術不同。
中空陰極發射器電極的使用容許使用相對較低的電壓/抽取場而得到高密度的離子抽取。這可幫助降低晶圓上的來自於高能離子的損壞。在使用中空陰極發射器之處,其可加以約100-10000W之間的RF偏壓。在如此情形中,抽取電極可相關於下電極而加以約20-10000V的偏壓,聚焦電極可加以抽取電極與下電極之間之中間電位、或高於抽取電極之電位的偏壓。下電極可為接地的、或相對於晶圓的位準而加以偏壓(例如,相對於基板約為0-負1000V之間)。如所安裝之抽取電極/聚焦電極/下電極之間的電位梯度可約為0-5000V/cm之間。
中空陰極發射器電極在離子束蝕刻之過程中的使用係於以上所併入以供參考的美國專利申請案第14/473,863號中作進一步討論。 F.注入頭
此處實施例的任何者可與如此處所述之注入頭相結合而實施。注入頭係有助於反應物氣體傳送至基板的離子束蝕刻製程。離子束蝕刻製程典型地係運行於低壓。在一些實施例中,壓力可約為100mTorr或更小,例如,約10mTorr或更小、或者約1mTorr或更小,並且在許多情形中,約為0.1mTorr或更小。低壓力幫助使離子與任何存在於基板處理區中之氣體物種之間的不期望的碰撞最小化。
不幸地,許多反應離子束蝕刻應用中所使用之低壓力對反應物能夠以何者速率而傳送至基板處理區加以限制。若反應物係以過高的速率進行傳送,則壓力將上升,且離子-氣體的碰撞成為問題。低的反應物流動速率導致產生相對低產能的製程,因為化學反應物/蝕刻物並非在足夠以快速的方式有效蝕刻表面的分壓下存在。
此處所揭露的某些實施例藉由利用注入頭以相對高的局域壓力將反應物氣體提供至基板的表面,同時維持注入頭外側之相對低的壓力而解決此碰撞-產能的取捨問題。操作中,注入頭可在注入頭之反應物傳送區與注入頭之邊緣之間提供大的壓力梯度。注入頭傳送反應物的同時將多餘的反應物物種和副產物抽走。此設定阻止大部分之反應物氣體負載進入此反應物可能與離子發生碰撞的區域,且因此使得在整個的基板處理區中能夠有較低的壓力及較高的局域反應物傳送壓力。
注入頭可在晶圓之不同部分的範圍進行掃描,以如此方式經過一段時間而使反應物氣體均勻地傳送至基板表面。儘管在任一給定的時間點,進行掃描的注入頭僅是以高壓供應反應物至晶圓的局部,但注入頭在蝕刻製程期間掃過基板之表面範圍,使得就平均而言,反應物氣體係以均勻的方式而傳送。如此之掃描在基板並不於蝕刻期間進行旋轉或傾斜之處可更為簡單、且/或更為均勻,使得各種現有的實施例因為掃描注入頭的使用而尤其地有吸引力。在基板並不於蝕刻期間進行傾斜的情形中,移動注入頭的機制無需包含如此之旋轉動作。相似地,在基板並不於蝕刻期間進行旋轉的情形中,注入頭為達成均勻的反應物傳送而在基板範圍進行移動的掃描圖案的設計相對較為簡單。可使用各種掃描圖案,以提供均勻的反應物傳送。可選地,注入頭可覆蓋整個基板,並且可選地,可定位於基板之上,然後自基板而移動。
在使用注入頭的範例中,注入頭以相對高的局域分壓(例如,為注入頭之反應物傳送區外側之基板處理區內之壓力的約至少10倍、或約至少100倍、或約至少500倍、或約至少1000倍、約至少2000倍、以及在一些情形中約至少3000倍之分壓)傳送反應物氣體或蒸汽,同時離子係有效地衝擊基板表面。
對於如原子層蝕刻(ALE, atomic layer etching)的應用,注入頭可尤其地有用,因為它容許蝕刻製程的每一部分進行壓力最佳化。ALE涉及到反應物的順序傳送和吸附、多餘反應物的沖洗、以及為移除材料之極薄層(例如,一些情形中的單分子層)而暴露至能量源。經常地,如此之吸附、沖洗、及能量暴露操作係以循環的方式執行,以在逐層的基礎上蝕刻材料。注入頭及使用方法實質上擴大了提供各種氣體之壓力的可用的操作範圍。進一步講,所揭露之技術可導致使用不同時序之ALE方法。例如,反應物傳送、沖洗、以及暴露至能量均可在晶圓的不同部位上同時發生。反應物傳送和沖洗僅僅局域地發生在注入頭下,且暴露至能量(離子)全域地發生在注入頭未阻擋的所有處。原子層蝕刻方法係於以下美國專利中進一步討論,以下美國專利的每一者係整體併入於此作為參考:美國專利第7,416,989號,命名為「ADSORPTION BASED MATERIAL REMOVAL PROCESS」;美國專利第7,977,249號,命名為「METHODS OF REMOVING SILICON NITRIDE AND OTHER MATERIALS DURING FABRICATION OF CONTACTS」;美國專利第8,187,486號,命名為「MODULATING ETCH SELECTIVITY AND ETCH RATE OF SILICON NITRIDE THIN FILMS」;美國專利第7,981,763號,命名為「ATOMIC LAYER REMOVAL FOR HIGH ASPECT RATIO GAPFILL」;美國專利第8,058,179號,命名為「ATOMIC LAYER REMOVAL PROCESS WITH HIGHER ETCH AMOUNT」。
圖18呈現的是根據某些實施例,通行於晶圓1801範圍之注入頭1800的簡化的橫剖面圖。圖18顯示注入頭1800以及其中的元件。箭頭顯示反應物氣體的流動。反應物氣體係於反應物入口1802處引至注入頭1800。反應物氣體係以相對高的壓力引至局域高壓區R0 (也稱為反應物出口區)。第一分隔件D1 將局域高壓區R0 與第一壓力降區R1 (也稱為第一吸入區)隔開;第二分隔件D2 將第一壓力降區R1 與第二壓力降區R2 (有時稱為第二吸入區)隔開;且第三分隔件D3 將第二壓力降區R2 與周圍的基板處理區R3 隔開。分隔件可為薄片或其他薄的結構,且可由如聚合物、陶瓷、金屬、或玻璃之抗蝕刻物材料而製成。例示性材料包含鋁、 鋁合金、陽極化鋁(anodized aluminum)、 不鏽鋼、氧化鋁陶瓷( alumina ceramic)、切削性玻璃陶瓷(machinable glass ceramic)、熔融矽石(fused silica)、英高鎳 (inconel)、莫乃耳(monel)、矽酸硼玻璃(boro-silicate glass)、聚醯亞胺(vespel,)、聚四氟乙烯(Teflon)、或聚亞醯胺膜(kapton),以上者可針對所使用之特定的蝕刻物而作選擇。
為抽走傳送至局域高壓區R0 之多餘的反應物而對第一和第二壓力降區R1 和R2 設置真空泵。真空泵係通過真空連接端1803而設置。在一相似的實施例中,真空連接端1803自頁面向內及向外延伸,而並非如圖18中所示般向右延伸離開。在一實施例中,局域高壓區R0 可為由第一分隔件D1 界定邊側之柱形形狀區。第一和第二壓力降區R1 和R2 可為環形形狀,以圍繞局域高壓區R0 。可選地,局域高壓區R0 及第一和第二壓力降區R1 和R2 的每一者自上方審視時可係長且薄(例如,每一者自上方審視時具有實質上為矩形的橫剖面),且每一者自頁面向內及向外延伸。
圖19說明的是關於R0 -R3 區中所經受之壓力的模擬結果。具體地,壓力P0 對應於圖18之R0 區中的壓力、壓力P1 對應於圖18之R1 區中的壓力等。該資料係假設N2 為以高壓傳送之反應物(較大分子的結果是甚至更大的壓力降)而模型化。進一步而言,該資料係以下述者為假設而模型化:局域高壓區R0 約為5cm寬、且R1 和R2 區約為1cm寬、且分隔件D1 -D3 的每一者約為1cm寬、且注入頭1800的最下表面與晶圓1801之間的間隙約為1mm。分子/過渡流量係在「A low conductance optical slit for windowless vacuum ultraviolet light sources」by R. A. George et al., Journal of Physics E: Scientific Instruments, Volume 4, Number 5 (1971). R. A. George et al., Journal of Physics E: Scientific Instruments, Volume 4, Number 5 (1971) 中描述內容的基礎上,使用狹縫傳導近似法而計算。對於圖19中所模型化之任何給定流速而言,壓力的降低超過噴淋頭之鄰近區域間的大小等級。結果,壓力自P0 處約2Torr降至P3 處約0.00025Torr,這代表整體約99.9875%的降低。本範例中使結果特徵化的另一方法是壓力降低至約8000分之一。
重新回到圖18之實施例,抗濺射塗佈1804可將注入頭1800塗佈。如此之抗濺射塗佈1804可由碳(例如,非晶碳)、或者即使受到濺射也不必考慮會污染基板材料之材料(例如,矽、SiO2 、鋁、或Al2 O3 等)製成。抗濺射塗佈1804可幫助使自注入頭1800而被蝕刻掉之材料的量最小化。注入頭外殼(抗濺射塗佈下)可由以下者製成:聚合物、陶瓷、金屬、或玻璃,其範例包含:鋁、 鋁合金、陽極化鋁(anodized aluminum)、 不鏽鋼、氧化鋁陶瓷( alumina ceramic)、切削性玻璃陶瓷(machinable glass ceramic)、熔融矽石(fused silica)、英高鎳 (inconel)、莫乃耳(monel)、矽酸硼玻璃(boro-silicate glass)、聚醯亞胺(vespel,)、聚四氟乙烯(Teflon)、或聚亞醯胺膜(kapton)。
使不同的相關區特徵化的另一方法是藉由察看晶圓自身上所發生之情況。晶圓之位於局域高壓區R0 下的部分可稱為局域高壓範圍(也稱為反應物出口範圍)。晶圓之位於壓力降區R1 和R2 下的部分可稱為壓力降範圍、或兩個壓力降子範圍。該等範圍也可稱為吸入範圍。晶圓之非注入頭下的部分可稱為離子處理範圍。局域高壓範圍、壓力降範圍、及離子處理範圍的位置於注入頭在晶圓之表面範圍移動時改變。
此領域通常技術者理解的是,為形成局域高壓區R0 、及第一和第二壓力降區R1 和R2 ,可在結構或複數結構中使用多種形狀的任何者,只要壓力降區係設計成、或配置成在多餘的反應物和反應副產物傳送至局域高壓區R0 之後、且在它們進入基板處理區R3 之前而將它們抽走。如此,第一壓力降區R1 可圍繞、或實質上圍繞局域高壓區R0 ,且第二壓力降區R2 可圍繞、或實質上圍繞第一壓力降區R1 。該複數區可為圓形/柱形/環形,或者它們可為其他形狀(扁圓形、方向、矩形、三角形、其他多角形、狹縫等)。R0 下所暴露的範圍實質上可小於基板、約等於基板、或大於基板。
在一特定的範例中,局域高壓區係以相對長、且薄的狹縫為形狀,且壓0力降區毗連該狹縫的的兩側。在此情形中,儘管壓力降區可整體地圍繞、或可非整體地圍繞局域高壓區(例如,該狹縫之細側的附近),如此的壓力降區可說成實質上圍繞局域高壓區,因為極大部分的多餘反應物係藉由接近狹縫狀局域高壓區之長側的壓力降區而抽走。在某些實施例中,壓力降區的一者或兩者至少圍繞反應物傳送區之周長的70%(或者,至少約90%)。在一特定情形中,壓力降區的一者或兩者圍繞反應物傳送區之周長的100%。在某些實施例中,第一壓力降/吸入區係直接鄰近局域高壓/反應物傳送區。在某些實施例中,第二壓力降/吸入區係直接鄰近第一壓力降/吸入區。
圖20A(俯視圖)和圖20B(橫剖面圖)說明的實施例處,注入頭係薄的狹縫。如顯示,在各種情形中,注入頭之長的長度延伸於基板的全長度/直徑,且注入頭之窄的寬度延伸於基板寬度的一部分。在本實施例中,如圖中所顯示,注入頭係在垂直於注入頭之長軸的方向上往返掃描,以於每一次通行期間全部地覆蓋基板(例如,在圖20A中,注入頭進行左右掃描)。在該等或其他範例中,注入頭可具有大於或等於基板物理長度的長度(例如在許多情形中,等於或大於約200mm、300mm、或450mm)。在各種實施例中,注入頭具有的長度係比基板長度長約1至10cm之間。注入頭可具有的寬度約為1至15cm之間,例如約2至5cm之間。該頭的掃描可使用線性致動器(圖20A中所顯示之移動)、或使用一或更多樞軸點而實現。基板在注入頭下可旋轉、或可不旋轉。在某些實施例(例如,在單一樞軸頭的配置中)中,可改變注入頭的寬度,以補償掃描速度或其他可能發生的變化(例如,頭的遠部可能較近部厚,近部係定位於較接近樞軸點)。也可使用額外的樞軸點。在兩個樞軸點的情形中,注入頭可在基板範圍呈直線掃描。如圖20B中所示,基板固持器可受配置,使得當存在基板時,基板之表面與基板固持器之表面係實質上相互齊平。在注入頭係至少部分地離開基板而定位時,此配置使得自注入頭流動之氣體會逃離進入一般基板處理區的風險最小化,或將其消除。
可使用任何數目之單獨壓力降/吸入區。儘管圖18中顯示兩個壓力降區,但在一些實施例中,僅使用單一的壓力降區。在其他實施例中,使用二或更多的壓力降區,例如,三或更多的壓力降區。在一些實施例中,使用達約五個壓力降區。基板處理區及局域高壓區並不視為壓力降區。典型地,任何壓力降區將位於接近局域高壓區、或另一壓力降區,並且將具有移除多餘反應物之真空連接。壓力降區用於依序降低鄰近區之間的壓力。
離子束蝕刻過程中用來以局域高壓傳送反應物之注入頭的設計和使用係於以上所併入以供參考之美國專利申請案第14/458,161號中作進一步討論和描述。 G.系統控制器
此處描述的方法可藉由任何適合的設備而執行。根據本發明,適合的設備包含用以實現製程操作的硬體,以及具有用以控制製程操作之指令的系統控制器。舉例而言,在一些實施例中,硬體可包括包含於處理工具中之一或更多的處理站。如此處所描述,處理站的至少一者可為用以蝕刻基板的反應腔室。反應腔室可包含圖2中所顯示的基本元件,以及此處所描述之額外的元件。在各種實施例中,該額外的元件可包含以下者之各種組合:一或更多電極,其具有的孔相較於其他電極中的孔係偏心的;一電極,其具有其為中空陰極發射器的孔;用以產生一或更多磁場的線圈;用以產生接近離子源之電場的機構;用來以局域高壓傳送反應物的注入頭;具有特定指令的控制器等。
在一些實施例中,控制器為系統的一部分,該系統可為以上描述之範例的一部分。如此的系統可包含含有(複數)處理工具、(複數)腔室、(複數)處理平臺、及/或特定處理元件(晶圓基座、氣體流動系統等)的半導體處理設備。該等系統可與電子設備整合,以在處理半導體晶圓或基板之前、期間、及之後,控制系統的運作。電子設備可稱為「控制器」,其可控制系統、或系統的各種元件或子部件。取決於處理需求和/或系統類型,控制器可編程為控制此處所揭露之製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、電極偏壓設定、頻率設定、電流和磁場設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSPs,digital signal processors)、定義為特殊用途積體電路(ASICs,application specific integrated circuits )的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以各種單獨的設定(或程式檔案)之形式而傳達至控制器或者系統的指令,該單獨的設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)而定義操作參數。在一些實施例中,操作參數可以是由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:複數層、複數材料、複數金屬、複數氧化物、矽、二氧化矽、複數表面、複數電路、以及/或者晶圓的複數晶粒。
在一些實施例中,控制器可為電腦的一部分、或耦接至電腦,該電腦係與系統整合、耦接至系統、或以其他網路的方式接至系統、或其組合之方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部或部分中。該電腦可使系統可以遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開啟新的處理。在一些範例中,遠程電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠程電腦可包含使得可以進入參數及/或設定、或對參數及/或設定進行編程的使用者介面,然後該參數及/或設定自遠程電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行執行之處理步驟的每一者指定參數。應該理解,參數可特定地針對待執行之製程的類型、以及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,此處所描述之處理、及控制)而運作的一或更多分離的控制器。用於如此目的之分散式控制器的一範例將是腔室上的一或更多積體電路,該腔室上的一或更多積體電路與位於遠程的一或更多積體電路(例如,在作業平臺位準處、或作為部分的遠程電腦)進行通訊,兩者相結合以控制腔室上之製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD ,chemical vapor deposition )腔室或模組、原子層沉積(ALD ,atomic layer deposition )腔室或模組、原子層蝕刻(ALE ,atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室(track chamber)或模組、以及可在半導體晶圓的製造和加工中使用的、或與半導體晶圓的製造和加工相關的任何其他半導體處理系統。
如以上所提及,取決於即將藉由工具而執行之(複數)製程步驟,控制器可與半導體加工工廠中一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具(cluster tools)、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。 III.          實驗
圖11A和11B呈現的是與某些蝕刻製程相關的模擬結果。使圖11A中顯示之特徵部模型化而使用之離子束蝕刻製程利用的離子束係具有4°的發散度(亦即,低發散度),伴隨著蝕刻期間之10°的傾斜(例如,藉由傾斜基板固持器而實現)和旋轉。使圖11B中顯示之特徵部模型化而使用之離子束蝕刻製程利用的離子束係具有10°的發散度(亦即,中等發散度),且蝕刻期間無基板傾斜或旋轉。明顯地,特徵部係蝕刻至一非常相似的輪廓。該等結果顯示發散束可用以蝕刻特徵部,而完全不需要在蝕刻期間旋轉或傾斜基板。
以上描述之各種硬體和方法實施例可結合微影圖案化工具或製程使用,例如,用於製造或加工半導體元件、顯示器、LEDs、光電板、及類似物。儘管並非必然,但典型地,如此之工具/製程將於共同的製造設施中一起使用或進行。
膜的微影圖案化典型地包含以下步驟之一些或全部者,每一步驟係利用數個可能的工具而得以進行。(1)使用旋塗、或噴塗工具而將光阻施加至工件上,例如,具有氮化矽膜形成於其上的基板;(2)使用熱板、或爐、或其他適合的固化工具來固化光阻;(3)利用如晶圓步進器之工具將光阻暴露至可見光、或紫外線光、或X射線光;(4)使光阻顯影,以選擇性地移除光阻,從而使用如濕檯、或噴塗顯影器之工具而使其圖案化;(5)藉由使用乾式、或電漿輔助式蝕刻工具而轉移光阻圖案至下層膜、或工件中;以及(6)使用如射頻或微波電漿光阻剝離器之工具而移除光阻。在一些實施例中,可於施加光阻之前而沉積可灰化的硬遮罩層(如非晶碳層)、及另一適合的硬遮罩(例如抗反射層)。
應該理解的是,此處所述之配置、及/或方法本質上係例示性的,且該等具體實施例、或範例並不以限制的意義而考量,因為可能有許多的變化此處所描述之具體程式、或方法可代表任何數目之處理策略的其中一者、或更多者。如此,所說明之各種動作可以所說明之順序、其他順序、平行方式而進行執行,或者在一些情形中而被省略。同樣地,以上所述之製程的順序可以改變。
本揭示內容的標的包含此處所揭露之以下者的所有新穎的、且非顯而易見的組合、及子組合、及其任何的或所有的等效物:各種製程、系統及配置、及其他特徵部、功能、動作、及/或特性。
100‧‧‧設備
101‧‧‧基板
103‧‧‧基板支撐件
105‧‧‧電漿產生區(初級電漿產生區)
107‧‧‧電漿源
109‧‧‧電極(抽取電極)
110‧‧‧孔
111‧‧‧電極(聚焦電極)
113‧‧‧電極(下電極)
115‧‧‧基板處理區
700‧‧‧離子抽取器
701‧‧‧電極
702‧‧‧電極
703‧‧‧電極(偏心電極)
704‧‧‧電極
710‧‧‧孔
800‧‧‧離子抽取器
801‧‧‧電極
802‧‧‧電極
803a‧‧‧電極(第一偏心電極)
803b‧‧‧電極(第二偏心電極)
804‧‧‧電極
810‧‧‧孔
901‧‧‧電極
902‧‧‧電極
903‧‧‧電極
910‧‧‧孔
920‧‧‧偏轉板
1000‧‧‧設備
1054‧‧‧電磁線圈
1200‧‧‧設備
1230‧‧‧上部
1231‧‧‧下部
1255‧‧‧電磁線圈(第一組電磁線圈)
1256‧‧‧電磁線圈(第二組電磁線圈)
1301‧‧‧電極
1302‧‧‧電極
1303a‧‧‧電極(偏心孔電極)
1303b‧‧‧電極(偏心孔電極)
1304‧‧‧電極
1330‧‧‧離子源
1356‧‧‧電磁線圈
1401‧‧‧電極
1402‧‧‧電極
1403‧‧‧電極
1420‧‧‧偏轉板
1430‧‧‧離子源
1456‧‧‧電磁線圈
1501‧‧‧電極
1502‧‧‧電極
1503‧‧‧電極
1530‧‧‧離子源
1561‧‧‧場(第一場)
1562‧‧‧場(第二場)
1614‧‧‧孔
1617‧‧‧截頭圓錐形部分
1618‧‧‧上表面
1619‧‧‧柱形部分
1620‧‧‧下表面
1654‧‧‧中空陰極發射器電極
1714‧‧‧孔(倒角孔)
1720‧‧‧下表面
1722‧‧‧鞘區
1723‧‧‧電流路徑/電流線
1724‧‧‧內表面
1726‧‧‧放電區
1754‧‧‧中空陰極發射器電極
1800‧‧‧注入頭
1801‧‧‧晶圓
1802‧‧‧反應物入口
1803‧‧‧真空連接端
1804‧‧‧抗濺射塗佈
2101‧‧‧條狀物
2102‧‧‧條狀物
2103‧‧‧條狀物
2104‧‧‧條狀物
2201‧‧‧條狀物
2202‧‧‧條狀物
2203‧‧‧條狀物
2204‧‧‧條狀物
2205‧‧‧電極板
2301‧‧‧絕緣板/絕緣材料
2302‧‧‧洞
2401‧‧‧絕緣體
2402‧‧‧導體
2403‧‧‧偏轉電極
2404‧‧‧導體
2405‧‧‧偏轉電極
2406‧‧‧偏轉電極
d1‧‧‧第一直徑
d2‧‧‧第二直徑
d3‧‧‧高度
D1‧‧‧分隔件(第一分隔件)
D2‧‧‧分隔件(第二分隔件)
D3‧‧‧分隔件(第三分隔件)
P0‧‧‧壓力
P1‧‧‧壓力
P2‧‧‧壓力
P3‧‧‧壓力
R0‧‧‧局域高壓區(反應物傳送區)
R1‧‧‧壓力降區/吸入區(第一壓力降區/第一吸入區)
R2‧‧‧壓力降區/吸入區(第二壓力降區/第二吸入區)
R3‧‧‧基板處理區
圖1係描述離子束蝕刻製程之蝕刻率對入射角的圖式。
圖2說明用以執行某些離子束蝕刻製程之反應腔室的簡化視圖。
圖3A-3C描述聚焦不足的(圖3A)、聚焦的(圖3B)、或過度聚焦的(圖3C)離子束。
圖4的圖式顯示圖3A-3C中顯示射束之類型的相對離子強度對有效離子分布角。
圖5的圖式顯示發散離子束之離子射束輪廓及角輪廓。
圖6說明在使用靜態發散射束及靜態基板之情況下,相對射束電流密度對基板直徑範圍之距離。
圖7A和7B說明包含偏心孔電極之離子抽取器的實施例。
圖8呈現的是包含兩個偏心孔電極之離子抽取器的一實施例。
圖9描述包含一組偏轉板的離子抽取器。
圖10顯示具有設置於設備外周周圍之複數組磁感線圈的蝕刻設備。
圖11A和11B說明使用不同的離子束發散度、及傾斜條件下之基板的輪廓模擬結果。
圖12描述具有不同兩組之磁感線圈的蝕刻設備,一組定位於接近離子源,且一組定位於離子源與基板之間。
圖13說明其中離子源包含兩偏心孔電極,且其中磁感線圈係用於在離子源之下產生磁場的實施例。
圖14說明的是其中離子源包含偏轉板,且其中磁感線圈係用於在離子源之下產生磁場的實施例。
圖15概括地描述其中電場及/或磁場係用以改變離子束的軌道之各種實施例。
圖16顯示根據某些實施例之中空陰極發射器電極。
圖17顯示根據某些實施例之中空陰極發射器電極的特寫視圖。
圖18說明在某些實施例中用來以局域高壓傳送反應物之注入頭的一實施例。
圖19的圖式說明圖18中顯示之反應腔室和注入頭之各個區域內所經受的壓力。
圖20A和20B說明在某些實施例中用來以局域高壓傳送反應物之注入頭的另一實施例。
圖21說明根據某些實施例之用以使離子束偏轉的偏轉板。
圖22描述附加至電極之圖21的偏轉板。
圖23顯示其中具有複數洞的絕緣板,該複數洞包含偏轉板,以使行進通過該複數洞之離子束發生偏轉。
圖24描述圖23中顯示之複數洞的特寫視圖。
700‧‧‧離子抽取器
701‧‧‧電極
702‧‧‧電極
703‧‧‧電極
704‧‧‧電極
710‧‧‧孔

Claims (24)

  1. 一種在半導體基板上蝕刻材料的離子束蝕刻設備,該設備包含: 一反應腔室; 一基板支撐件,在該反應腔室中; 一離子源,包含:         一電漿區,用以產生及/或維持一電漿,以及         一離子抽取器,其係接近該電漿區而定位,該離子抽取器包含:               一第一電極、一第二電極、及可選的一第三電極,其中該第一、第二、及第三電極的每一者中包含複數孔,以及        一電源,該電源用以供應一偏壓電位至該第一電極、第二電極、及   第三電極的一者或更多者, 其中該離子抽取器係用以產生自該第一、    第二、及第三電極中之該複數孔放射的複數離子束;以及 一控制器,該控制器具有指令,以進行以下者: (a)  產生該離子束,使得該離子束的軌道相關於該離子抽取器之最下方電極而偏轉至一非法線角,該最下方電極係該第一電極、第二電極、及第三電極的其中一者,以及 (b) 改變該離子束的軌道,使得該離子束在蝕刻期間相關於基板的定向而移動。
  2. 如申請專利範圍第1項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該第三電極係一偏心孔電極,且該第三電極中的孔係自該第一和第二電極中的孔而偏離。
  3. 如申請專利範圍第2項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該指令在(a)中包含施加一偏壓至該偏心孔電極,從而造成該離子束自該最下方電極中之該複數孔而以一非法線角放射。
  4. 如申請專利範圍第3項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該指令在(b)中包含在蝕刻期間改變施加至該偏心孔電極的該偏壓,從而使該離子束相關於該離子源及基板表面的定向而移動。
  5. 如申請專利範圍第3項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該指令在(b)中包含在蝕刻期間機械性地移動該第三電極,從而使該離子束相關於該離子源及基板表面的定向而移動。
  6. 如申請專利範圍第3項之在半導體基板上蝕刻材料的離子束蝕刻設備,更包含定位於該反應腔室外側的一或更多電磁線圈,以在該離子源與該基板支撐件之間的一區域中產生一磁場,其中該指令在(b)中包含改變該磁場之強度的指令,從而使該離子束相關於該離子源及基板表面的定向而移動。
  7. 如申請專利範圍第3項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該第三電極中之孔係自該第一和第二電極中之孔而在一第一方向上偏離,且該設備更包含一第四電極,該第四電極係具有自該第一和第二電極中之孔而在一第二方向上偏離之孔的一偏心孔電極,其中該第一方向係不同於該第二方向。
  8. 如申請專利範圍第1項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該離子抽取器更包含設置於該最下方電極之下的複數組之偏轉板,其中該指令在(a)中包含在每組偏轉板中之該偏轉板之間產生電場,且其中該離子束行進通過該電場。
  9. 如申請專利範圍第8項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該指令在(b)中包含改變每組偏轉板中之該偏轉板之間之該電場的強度的指令,從而使該離子束相關於該離子源及基板表面的定向而移動。
  10. 如申請專利範圍第8項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該偏轉板係設置在一或更多陣列之平行傳導性條狀物中。
  11. 如申請專利範圍第10項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該偏轉板係設置在一第一陣列之平行傳導性條狀物和一第二陣列之平行傳導性條狀物中,該第一和第二陣列係相互垂直而定向。
  12. 如申請專利範圍第8項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該偏轉板係設置為至少部分定位於一絕緣板中之複數洞內的傳導板。
  13. 如申請專利範圍第8項之在半導體基板上蝕刻材料的離子束蝕刻設備,更包含定位於該反應腔室外側的一或更多電磁線圈,以在該離子源與該基板支撐件之間產生一磁場,其中該指令在(b)中包含改變該離子源與該基板支撐件之間的該磁場的強度的指令,從而改變該離子源與該基板支撐件之間區域內之該離子束的軌道。
  14. 如申請專利範圍第1項之在半導體基板上蝕刻材料的離子束蝕刻設備,更包含定位於該反應腔室外側的一或更多電磁線圈,以產生接近該離子源的一第一磁場,其中該指令在(a)中包含產生該第一磁場,以造成該離子束發生偏轉。
  15. 如申請專利範圍第14項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該指令在(b)中包含改變該第一磁場的強度,從而使該離子束相關於該離子源及基板表面的定向而移動。
  16. 如申請專利範圍第14項之在半導體基板上蝕刻材料的離子束蝕刻設備,更包含定位於該反應腔室外側的一或更多額外的電磁線圈,以在該離子源與該基板支撐件之間產生一第二磁場,其中該指令在(b)中包含改變該第二磁場的強度的指令,從而使該離子束相關於該離子源及基板表面的定向而移動。
  17. 如申請專利範圍第1-16項中任一項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該基板支撐件並非用以在蝕刻期間傾斜該基板。
  18. 如申請專利範圍第1-16項中任一項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中該基板支撐件並非用以在蝕刻期間旋轉該基板。
  19. 如申請專利範圍第1-16項中任一項之在半導體基板上蝕刻材料的離子束蝕刻設備,更包含一中空陰極發射器電極。
  20. 如申請專利範圍第1-16項中任一項之在半導體基板上蝕刻材料的離子束蝕刻設備,更包含用以在該反應腔室中以一局域高壓而提供反應物至該基板的一注入頭,其中該控制器更包含使該反應腔室維持在一處理壓力之下的指令,其中該局域高壓係至少比該處理壓力高約10倍。
  21. 如申請專利範圍第1-16項中任一項之在半導體基板上蝕刻材料的離子束蝕刻設備,其中自該最下方電極中之孔而放射的離子束以相互平行的方式而放射。
  22. 一種在半導體基板上蝕刻材料的方法,該方法包含: (a)產生一電漿; (b)使用一離子源而自該電漿產生離子束,該離子源包含至少兩電極,每一電極中具有複數孔,該離子束具有相關於該離子源之一最下方電極而偏轉至一非法線角的離子束軌道;以及 (c)改變該離子束軌道,使得該離子束在蝕刻期間相關於該離子源的定向而移動。
  23. 如申請專利範圍第22項之在半導體基板上蝕刻材料的方法,其中該基板並不於蝕刻期間旋轉。
  24. 如申請專利範圍第22或23項之在半導體基板上蝕刻材料的方法,其中該基板並不於蝕刻期間傾斜。
TW104128242A 2014-08-29 2015-08-28 不需要晶圓傾斜或旋轉的離子束蝕刻 TWI685032B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/473,863 US9406535B2 (en) 2014-08-29 2014-08-29 Ion injector and lens system for ion beam milling
US14/473,863 2014-08-29
US14/592,820 US10825652B2 (en) 2014-08-29 2015-01-08 Ion beam etch without need for wafer tilt or rotation
US14/592,820 2015-01-08

Publications (2)

Publication Number Publication Date
TW201624560A true TW201624560A (zh) 2016-07-01
TWI685032B TWI685032B (zh) 2020-02-11

Family

ID=55403317

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104128242A TWI685032B (zh) 2014-08-29 2015-08-28 不需要晶圓傾斜或旋轉的離子束蝕刻
TW108145644A TWI713111B (zh) 2014-08-29 2015-08-28 不需要晶圓傾斜或旋轉的離子束蝕刻

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108145644A TWI713111B (zh) 2014-08-29 2015-08-28 不需要晶圓傾斜或旋轉的離子束蝕刻

Country Status (4)

Country Link
US (2) US10825652B2 (zh)
KR (1) KR20160026776A (zh)
CN (1) CN105390419B (zh)
TW (2) TWI685032B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718491B (zh) * 2018-03-27 2021-02-11 美商瓦里安半導體設備公司 抽取板

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP6122169B1 (ja) * 2016-03-15 2017-04-26 株式会社東芝 処理装置およびコリメータ
US10381231B2 (en) 2016-06-21 2019-08-13 Veeco Instruments Inc. Ion beam etching
CN108232002B (zh) * 2016-12-14 2022-02-25 上海磁宇信息科技有限公司 一种制备磁性隧道结阵列的方法
US10193066B2 (en) * 2017-06-30 2019-01-29 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for anisotropic substrate etching
KR102491093B1 (ko) 2017-08-21 2023-01-20 삼성전자주식회사 패턴 형성 방법
TWI658489B (zh) * 2017-09-14 2019-05-01 南韓商吉佳藍科技股份有限公司 包括能夠旋轉之靜電吸盤之電漿基板處理裝置及利用其之基板處理方法
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102595297B1 (ko) * 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
JP6965205B2 (ja) * 2018-04-27 2021-11-10 東京エレクトロン株式会社 エッチング装置、及びエッチング方法
US11227741B2 (en) 2018-05-03 2022-01-18 Plasma-Therm Nes Llc Scanning ion beam etch
US10535522B1 (en) * 2018-08-21 2020-01-14 Varian Semiconductor Equipment Associates, Inc. Angular control of ion beam for vertical surface treatment
US10468226B1 (en) * 2018-09-21 2019-11-05 Varian Semiconductor Equipment Associates, Inc. Extraction apparatus and system for high throughput ion beam processing
US11195703B2 (en) * 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
KR20210094115A (ko) * 2018-12-17 2021-07-28 어플라이드 머티어리얼스, 인코포레이티드 전자 빔 장치를 사용한 광 디바이스 제작 방법들
KR20210094103A (ko) * 2018-12-17 2021-07-28 어플라이드 머티어리얼스, 인코포레이티드 경사 격자들의 롤링 k 벡터들의 조절
US11715621B2 (en) * 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US11081643B1 (en) 2020-01-21 2021-08-03 International Business Machines Corporation Bevel metal removal using ion beam etch
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
KR20220076976A (ko) * 2020-12-01 2022-06-08 삼성전자주식회사 웨이퍼 처리 장치

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US3969646A (en) 1975-02-10 1976-07-13 Ion Tech, Inc. Electron-bombardment ion source including segmented anode of electrically conductive, magnetic material
JPS5223467A (en) 1975-08-14 1977-02-22 Matsushita Electric Ind Co Ltd Heat sensitive controlling device for use in cooking equipment
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) * 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5675606A (en) 1995-03-20 1997-10-07 The United States Of America As Represented By The United States Department Of Energy Solenoid and monocusp ion source
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
EP1098360A4 (en) 1998-06-15 2004-09-15 Nikon Corp POSITION DETECTING METHOD, POSITION SENSOR, EXPOSURE METHOD, EXPOSURE DEVICE AND METHOD FOR THEIR PRODUCTION AND DEVICE MANUFACTURING METHOD
US6515426B1 (en) 1998-12-15 2003-02-04 Hitachi, Ltd. Ion beam processing apparatus and method of operating ion source therefor
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR100382720B1 (ko) 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
KR100412953B1 (ko) 2001-11-26 2003-12-31 학교법인 성균관대학 중성빔을 이용한 식각장치
JP3906686B2 (ja) 2001-12-28 2007-04-18 三菱電機株式会社 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP3713683B2 (ja) * 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US6846726B2 (en) 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6911660B2 (en) 2002-10-02 2005-06-28 Varian Semiconductor Equipment Associates, Inc. Method of measuring ion beam angles
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4233348B2 (ja) 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
US7632379B2 (en) 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
US7123454B2 (en) 2003-06-12 2006-10-17 Headway Technologies, Inc. Longitudinal bias structure having stability with minimal effect on output
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
US6992284B2 (en) 2003-10-20 2006-01-31 Ionwerks, Inc. Ion mobility TOF/MALDI/MS using drift cell alternating high and low electrical field regions
US7495241B2 (en) 2004-02-26 2009-02-24 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
KR100706809B1 (ko) * 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
WO2007106076A2 (en) 2006-03-03 2007-09-20 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7935942B2 (en) 2006-08-15 2011-05-03 Varian Semiconductor Equipment Associates, Inc. Technique for low-temperature ion implantation
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) * 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
GB2451480B (en) * 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
KR100895630B1 (ko) 2007-10-01 2009-05-06 박흥균 전자빔 방출장치
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
TWI520660B (zh) 2009-04-03 2016-02-01 瓦里安半導體設備公司 保形沈積的方法以及在工件中蝕刻三維特徵的方法
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
WO2010120805A2 (en) 2009-04-13 2010-10-21 Applied Materials, Inc. Modification of magnetic properties of films using ion and neutral beam implantation
JP5174750B2 (ja) 2009-07-03 2013-04-03 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び荷電粒子線画像を安定に取得する方法
KR20120053003A (ko) 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) * 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR20110097193A (ko) 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5444044B2 (ja) 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012057251A (ja) 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
CA2811750C (en) 2010-08-23 2018-08-07 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
EP2625306B1 (en) 2010-10-05 2020-09-30 Veeco Instruments Inc. Grid providing beamlet steering
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
JP2014209406A (ja) 2011-07-20 2014-11-06 キヤノンアネルバ株式会社 イオンビーム発生装置、およびイオンビームプラズマ処理装置
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
US8613863B2 (en) 2011-11-29 2013-12-24 Intermolecular, Inc. Methods for selective etching of a multi-layer substrate
US8895323B2 (en) 2011-12-19 2014-11-25 Lam Research Corporation Method of forming a magnetoresistive random-access memory device
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9373775B2 (en) 2012-09-13 2016-06-21 Micron Technology, Inc. Methods of forming magnetic memory cells
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
TWI506680B (zh) 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
JP5432396B1 (ja) 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
US9564297B2 (en) * 2013-05-16 2017-02-07 Applied Materials, Inc. Electron beam plasma source with remote radical source
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9269542B2 (en) * 2013-11-01 2016-02-23 Varian Semiconductor Equipment Associates, Inc. Plasma cathode charged particle lithography system
US9543110B2 (en) * 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
WO2015136723A1 (en) 2014-03-11 2015-09-17 Yasuyuki Sonoda Magnetic memory and method of manufacturing magnetic memory
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
KR20160135044A (ko) 2015-05-15 2016-11-24 삼성전자주식회사 패턴 형성 방법, 이를 이용한 자기기억소자의 제조방법, 및 이를 이용하여 제조된 자기기억소자
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
KR102411080B1 (ko) 2015-09-02 2022-06-21 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 자기 메모리 장치의 제조 방법
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180233662A1 (en) 2017-02-14 2018-08-16 Lam Research Corporation Systems and methods for patterning of high density standalone mram devices
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718491B (zh) * 2018-03-27 2021-02-11 美商瓦里安半導體設備公司 抽取板
TWI761866B (zh) * 2018-03-27 2022-04-21 美商瓦里安半導體設備公司 抽取組及工件加工系統

Also Published As

Publication number Publication date
US20190237298A1 (en) 2019-08-01
TWI713111B (zh) 2020-12-11
US20160064232A1 (en) 2016-03-03
US10825652B2 (en) 2020-11-03
TW202013504A (zh) 2020-04-01
TWI685032B (zh) 2020-02-11
US10998167B2 (en) 2021-05-04
KR20160026776A (ko) 2016-03-09
CN105390419B (zh) 2019-09-10
CN105390419A (zh) 2016-03-09

Similar Documents

Publication Publication Date Title
US10998167B2 (en) Ion beam etch without need for wafer tilt or rotation
US11062920B2 (en) Ion injector and lens system for ion beam milling
US10580628B2 (en) Differentially pumped reactive gas injector
US11289306B2 (en) Ion beam etching utilizing cryogenic wafer temperatures
CN107293468B (zh) 离子束蚀刻系统
TWI687962B (zh) 使用離子束蝕刻以產生環繞式閘極結構
TW201528310A (zh) 用於具有雙電漿源反應器之晶圓處理的離子對中性物種控制
US11361935B2 (en) Apparatus and system including high angle extraction optics
TWI573883B (zh) 物理氣相沉積系統與應用其之物理氣相沉積方法
CN115398594A (zh) 用于远程等离子体工艺的对称中空阴极电极和放电模式的方法和设备