CN105390419A - 不需要晶片倾斜或旋转的离子束蚀刻 - Google Patents

不需要晶片倾斜或旋转的离子束蚀刻 Download PDF

Info

Publication number
CN105390419A
CN105390419A CN201510546899.1A CN201510546899A CN105390419A CN 105390419 A CN105390419 A CN 105390419A CN 201510546899 A CN201510546899 A CN 201510546899A CN 105390419 A CN105390419 A CN 105390419A
Authority
CN
China
Prior art keywords
electrode
substrate
ion beam
ion
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510546899.1A
Other languages
English (en)
Other versions
CN105390419B (zh
Inventor
伊凡·L·贝瑞三世
索斯藤·利尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/473,863 external-priority patent/US9406535B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105390419A publication Critical patent/CN105390419A/zh
Application granted granted Critical
Publication of CN105390419B publication Critical patent/CN105390419B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating, or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating, or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

本发明涉及不需要晶片倾斜或旋转的离子束蚀刻。本发明的各个实施例涉及用于在衬底上蚀刻特征的方法和装置。在多个实施例中,不使用衬底旋转或倾斜。尽管传统的蚀刻工艺依靠衬底旋转来均衡离子在衬底表面上的分布,但本文的各个实施例通过相对于离子源移动离子束来实现这一目的。离子束的移动可以以多种方式来实现,包括以静电技术、机械技术、磁技术及其组合来实现。

Description

不需要晶片倾斜或旋转的离子束蚀刻
技术领域
本发明总体上涉及半导体加工领域,更具体地涉及不需要晶片倾斜或旋转的离子束蚀刻。
背景技术
半导体器件的制造典型地包括一系列操作,在其中各种材料在半导体衬底上沉积和从其上除去。材料去除的一种技术是离子束蚀刻,这涉及将离子传递到衬底的表面以各向异性的方式物理地和/或化学地从该表面去除原子和化合物。撞击离子撞击衬底表面并通过动量转移(以及通过在反应性离子蚀刻的情况下的反应)去除材料。
发明内容
本文的各个实施例涉及用于蚀刻在半导体衬底上的材料的方法和装置。在本文的实施例的一个方面,提供了一种用于蚀刻在半导体衬底上的材料的离子束蚀刻装置,该装置包括:反应室;在反应室中的衬底支撑件;离子源,其包括:用于生成和/或维持等离子体的等离子体区,和被置于等离子体区附近的离子提取器,离子提取器包括:第一电极、第二电极和任选的第三电极,第一电极、第二电极和任选的第三电极中的每一个中包含多个孔,和构造成提供偏置电势到第一电极,第二电极和第三电极中的一个或多个的电源,其中离子源被配置为生成从第一电极,第二电极和第三电极中的多个孔发出的多个离子束;和具有用于下述操作的指令的控制器:(a)生成离子束,使得离子束轨迹偏转到相对于离子提取器的最下部电极成非垂直角度,最下部电极是第一电极、第二电极和第三电极中的一个,和(b)改变离子束轨迹,使得离子束在蚀刻期间相对于离子源的方位移动。
在某些实施例中,第三电极是偏心孔电极,其中在第三电极中的孔从第一电极和第二电极中的孔偏移。在一些这样的实施例中,(a)中的指令包括施加偏置到所述偏心孔电极从而使所述离子束从所述最下部电极中的多个孔以非垂直的角度发出。在这些或其它情况下,(b)中的指令可以包含在蚀刻期间用以改变施加到偏心孔电极的偏置从而相对于离子源和衬底表面的方位移动离子束。
也可使用机械技术。例如,(b)中的指令可以包含在蚀刻期间机械地移动所述第三电极从而相对于所述离子源和衬底表面的方位移动所述离子束。在各种实现中,一个或多个电磁线圈可以用来实现(a)和/或(b)。在一些实例中,该装置还包括被置于反应室外面以在离子源和衬底支撑件之间的区中生成磁场的一个或多个电磁线圈,其中,(b)中的指令包含改变磁场的强度,从而相对于所述离子源和衬底表面的方位移动所述离子束的指令。
在一些情况下,可以使用一个以上的偏心孔电极。例如,在第三电极中的孔相对于在第一电极和第二电极中的孔在第一方向上偏移,其中装置还包括第四电极,该第四电极是具有相对于在所述第一电极和第二电极中的孔在第二方向上偏移的孔的偏心孔电极,其中所述第一方向与所述第二方向不同。
偏转板提供了额外的或可替代的机制来偏转所述离子束。在一些实施例中,离子源还包括多组在最下部电极的下方设置的偏转板,其中(a)中的指令包括在每一组偏转板的偏转板之间生成电场,并且其中所述离子束通过电场行进。在多种情况下,(b)中的指令可以包含改变在每一组偏转板中的偏转板之间的电场强度的指令,由此相对于离子源和衬底表面的方位移动离子束。偏转板可以采取多种形式。在一些实施例中,偏转板被设置成一个或多个阵列的平行导电条。在特定实施例中,偏转板被设置成第一阵列的平行导电条和第二阵列的平行导电条,所述第一阵列和第二阵列定位成彼此垂直。在另一实施例中,偏转板被设置作为至少部分地置于绝缘板中的孔内的导电板。
如上所述,电磁线圈可以在各种情况下使用。在一些实施例中,该装置还包括被置于反应室外面以在离子源和衬底支撑件之间生成磁场的一个或多个电磁线圈,(b)中的指令包含改变在离子源和衬底支撑件之间的磁场的强度从而改变在离子源和衬底支撑件之间的区中的离子束轨迹的指令。在这些或其它情况下,该装置可进一步包括被置于反应室外面以生成邻近离子源的第一磁场的一个或多个电磁线圈,其中,(a)中的指令包括生成第一磁场以使离子束偏转的指令。(b)中的指令可以包括改变第一磁场的强度从而相对离子源和衬底表面的方位移动离子束。在一些实施例中,该装置还包括被置于反应室外面以生成在离子源和衬底支撑件之间的第二磁场的一个或多个电磁线圈,其中,(b)中的指令包括改变第二磁场的强度从而相对于离子源和衬底表面的方位移动离子束的指令。
在多种情况下,衬底支撑件没有被配置为在蚀刻期间使衬底倾斜。在这些或其它情况下,衬底支撑件没有被配置为在蚀刻期间旋转衬底。这些特征可以显著简化蚀刻方法和/或用于实施所述蚀刻方法的装置。在任何所公开的实施例中,中空的阴极发射极电极可以存在于离子源中。可以对任一公开的实施例进行的另一改变是注射头。在某些实施例中,该装置还包括用于在局部高压下向反应室中的衬底提供反应物的注射头,其中,控制器还包括维持所述反应室低于加工压强的指令,其中所述局部高压比所述加工压强高至少约100倍。在一些实施例中,从最下部电极的孔发出的离子束彼此平行地发出。
在所公开的实施例的另一方面,提供一种用于蚀刻在半导体衬底上的材料的方法,该方法包括:(a)生成等离子体;(b)使用包括至少两个电极的离子源从等离子体生成离子束,每一个电极内具有多个孔,离子束具有离子束轨迹,该离子束轨迹被偏转到相对于离子源的最下部电极成非垂直角度,和(c)改变离子束轨迹,使得离子束在蚀刻期间相对于离子源的方位移动。
在各个实施例中,衬底在蚀刻期间不旋转。在这些或其它实施例中,衬底在蚀刻期间不倾斜。
]这些和其它特征将在下面参照相关附图进行说明。
附图说明
图1是描绘了离子束蚀刻工艺的蚀刻速率与入射角的关系的图。
图2示出了用于执行某些离子束蚀刻工艺的反应室的简图。
图3A-3C描绘了欠聚集(图3A)、聚集(图3B)或过聚集(图3C)的离子束。
图4是表示在图3A-3C中所示的光束类型的相对离子强度与有效离子分布角度的图。
图5是表示发散离子束的离子束轮廓和角度轮廓的图。
图6示出了相对束电流密度与跨越衬底直径的距离之间的关系,其中静态发散光束与静态衬底一起使用。
图7A和7B示出了其中包括偏心孔电极的离子提取器的实施例。
图8提出了一种包括两个偏心孔电极的离子提取器的实施例。
图9描绘了包括成组的偏转板的离子提取器。
图10示出了具有围绕装置的周边配置的几组磁性线圈的蚀刻装置。
图11A和11B示出了使用不同的离子束发散和倾斜条件蚀刻的衬底的外形建模结果。
图12描绘了具有两组不同的磁性线圈的蚀刻装置,其中一组被置于邻近离子源,另一组被置于在离子源与衬底之间。
图13示出了离子源包括两个偏心孔电极并且其中磁线圈被用于在离子源下面生成磁场的一个实施例。
图14示出了其中离子源包括偏转板并且其中电磁线圈被用于在离子源下面生成磁场的一个实施例。
图15一般性地描绘了在其中电场和/或磁场被用来改变离子束的轨迹的各个实施例。
图16示出了根据某些实施例的中空的阴极发射极电极。
图17示出了根据某些实施例的中空的阴极发射极电极的特写图。
图18示出了注射头用于在某些实施例中在局部高压下传送反应物的一个实施例。
图19是示出了在反应室和图18所示的注射头的各个区中经历的压强的图。
图20A和20B示出了用于在某些实施例中在局部高压下传送反应物的注射头的另一实施例。
图21示出了根据某些实施例的、用于偏转离子束的偏转板。
图22描绘了附着到电极上的、图21的偏转板。
图23示出了在其中具有孔的绝缘板,所述孔包括偏转板来偏转通过孔行进的离子束。
图24描绘了在图23中所示的孔的特写图。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。一个本领域的普通技术人员会理解,术语“部分制造的集成电路”可指在其上制造集成电路的许多阶段中的任何阶段的硅晶片。在半导体器件工业中使用的晶片或衬底典型地具有200mm、或300mm、或450mm的直径。下面的详细描述假设本发明是在晶片上实现的。然而,本发明并不局限于此。工件可以是各种形状、尺寸和材料。除了半导体晶片,可利用本发明的优点的其它工件还包括各种物品,如印刷电路板、磁记录介质、镜子、光学器件、微机械器件和类似物。
在以下说明中,阐述了多个具体细节以便提供对本发明的透彻理解。本发明的实施例可以在不具有这些具体细节中的一些或全部的情况下实施。在其他实例中,未详细描述公知的方法操作以便不会不必要地使所公开的实施方式难以理解。尽管将会结合具体实施例描述本公开的主题,但是应当理解,并不旨在限制这些公开的实施例。
I.背景
离子束蚀刻通常用于磁性器件的制造。如上所述,离子束蚀刻涉及通过提供高能离子到衬底表面来从衬底的表面去除材料。离子束蚀刻可以大致分为仅涉及惰性离子(例如,氩离子)的工艺以及涉及反应性离子或通过离子(例如,氧离子,如含氟电离化合物之类的某些离子化合物,发起与化学吸附或物理吸附在衬底的表面上的反应物的化学反应的反应性离子或惰性离子,等)引发的化学反应的工艺。在这些工艺中,离子撞击衬底表面,并通过直接物理动量转移(溅射)或由离子的能量转移引发的化学反应(反应性离子束蚀刻或化学辅助的离子束蚀刻)中的任一种来移除材料。反应性离子束蚀刻(RIBE)通常涉及利用可与衬底发生化学反应的离子(如氧、氟等)。在化学辅助离子束蚀刻(CAIBE)中,惰性离子发起衬底和反应物(如被吸附在表面上的施加气体)之间的化学反应,或在衬底的表面上生成反应部位(衬底的表面伴随反应物部位的生成或在反应物部位的生成后与施加的反应物反应),或它们的任意组合。
离子束蚀刻工艺的某些应用涉及非挥发性材料的蚀刻。在一些实例中,蚀刻的材料是导电材料。在某些实施例中,材料在形成磁阻随机存取存储器(MRAM)装置、自旋力矩转移存储器装置(STT-RAM)、相变存储器装置(PSM)、非易失性导体(铜,铂,金等)的背景下被蚀刻。在其它应用中,控制到衬底的离子入射角的能力在生成诸如垂直堆叠存储器之类的3D装置中会是有用的。
当执行离子束蚀刻工艺时,希望在衬底表面上促进高度均匀的离子通量。高度均匀化对于创建跨越衬底的整个表面的可靠的器件是有利的。此外,可能希望在某些情况下促进高的离子通量和/或气相反应物的高通量。高通量可以帮助最大限度地提高产量。影响蚀刻结果的质量的另一因素是控制能量和离子撞击表面的角度能力。这些因素对于形成具有所需尺寸和外形的特征是很重要的。
图2示出了用于在某些方法中执行某些离子束蚀刻的装置100的简化横截面图。在这个例子中,衬底101搁置在衬底支撑件103上,它可以配备有硬件(未示出)以提供电连接和流体连接。在某些情况下,电连接可被用来提供电力到衬底支撑件103或到位于衬底支撑件103上或其内的静电夹盘(未示出),而流体连接可以被用于提供用于控制衬底101和衬底支撑件103的温度的流体。衬底支撑件103可以由加热器(未示出)加热或通过冷却机构(未示出)冷却。冷却机构可包括通过在衬底支撑件103中的管道或邻近衬底支撑件103的管道的流动的冷却流体。衬底支撑件103可以是能够以可变速度和角度旋转和倾斜,如图2中双箭头所示。
一种等离子体生成气体被传送到离子体生成区105。等离子体生成气体通过等离子体源107激励。在图1的背景下,等离子体源107是作为感应耦合等离子体源的线圈。在适当设计的反应器中,可以采用诸如电容耦合源、微波源或放电源等其它源。等离子体形成在主等离子体生成区105。提取电极109包括一系列孔110,通过这一系列孔110提取离子。
这些孔110可具有约0.5-1厘米之间的直径和由电极的厚度所限定的高度。孔110可具有在约0.01-100.0之间的高度与宽度的高宽比(AR)。在某些情况下,孔110被安排为六边形、方形网格或螺旋模式,但其它的模式也可以使用。在相邻孔之间的中心到中心的距离可为约1毫米至10厘米之间。只考虑(顶部或底部)单面时,孔可以被配置为获得电极的表面面积的约0.1%至95%的总开口面积(即,每个孔的面积的总和)。例如,具有40厘米的直径和500个孔(每个孔各自具有的直径为1厘米)的电极将具有约31%(393平方厘米的开口面积除以1257平方厘米总面积)的开口面积。在不同的电极中,孔110可以具有不同的直径。在一些情况下,孔直径是在上部电极中较小,在下部电极中较大。在一个实施例中,在下部的电极113中的孔比在聚集电极111中的孔大(例如,大:约0-30%)。在这些或其它情况下,在聚集电极111中的孔是比在提取电极109中的孔大(例如,大:约0-30%)。
施加到对应于衬底101的提取电极109的偏置V1起相对于所述衬底为离子提供动能的作用。这个偏置通常为正,可在约20-10,000伏的范围内或更高。在某些情况下,在提取电极上的偏置在约20-2,000伏之间。在提取电极109上方的等离子体中的正离子通过在电极109和聚集电极113之间的电势差被吸引到下部电极113。添加聚集电极111来聚集离子以及如果需要的话排斥电子。在此电极上的偏置V2相对于提取电极109可正可负,但一般为负偏置。聚集电极111的偏置电势由聚集电极111的透镜特性来确定。在聚集电极上的偏置电压包括在提取电极上的、在电势V1的约1.1倍至20倍之间的正电压和在电势V1的约0.001倍至0.95倍之间的负电压电势。由于施加到不同电极上的不同电势,就有电势梯度存在。电势梯度可以为约1000伏/厘米的数量级。在相邻的电极之间的示例性间隔距离是在约0.1-10厘米之间,或例如是约1厘米。
在离子离开接地的下部电极113的底部之后,在大多数传统的离子束蚀刻操作中,它们以准直的聚集的光束行进。可替换地,如果聚集电极电压调整到不足聚集离子束或超过聚集离子束,则光束会发散。在本文的实施例中,离子束可以是发散或准直。在某些实施例中,离子束的发散可以是至少约5℃、至少约10℃、至少约15℃或至少约20℃。在这些或其它情况下,离子束的发散可为约30°或更小,例如约25°或更小、或约20°或更小、或约15°或更小。在许多(但不是全部)情况下,下部电极113被接地。组合使用接地的下部电极113和接地的衬底101导致了基本上(静电)无场的衬底处理区115。使衬底位于无场区防止了通过在电子束与残留气体之间或与反应室中的表面之间的碰撞而生成的电子或二次离子向着衬底加速,从而最大限度地减少了导致不希望的损害或副反应的风险。
此外,防止衬底101自身从离子束充电或从在衬底与离子束碰撞期间生成的喷出的二次电子充电是重要的。中和通常是通过在衬底101的附近添加低能量的电子源(未示出)来实现。由于在离子上的正电荷和喷出的二次电子都给衬底充正电,所以,在衬底附近的低能量的电子可以被吸引到带正电荷的表面上并可以中和该电荷。执行这一中和在无场区要容易得多。
在一些应用中,可能期望在下部电极113和衬底101之间具有电势差。例如,如果需要非常低的能量的离子,则由于带正电荷的离子的相互排斥(空间电荷效应),因此很难在低能量下长距离保持良好的准直光束。一种解决方法是相对于衬底101将负偏置放在下部电极113上(或相反地,相对于下部电极113,正偏置衬底101)。这使得能够提取处于较高能量的离子,然后当它们接近衬底时使其变缓。
在某些离子束蚀刻操作中,三个电极中的一个可以被省略。如果是这种情况,关于在该离子以什么电能被引导到衬底表面有更少的灵活性。这一限制的生成是因为,为了使离子按照需要聚集和定向,应向两个电极应用偏置电势的特定比。偏置电势的比例是由两个电极的聚集特性和几何形状来控制的。这样,当下部电极(例如,接地)使用特定的几何形状和希望特定的偏置/电状态时,施加到上部电极的偏置几乎没有灵活性或没有灵活性。其结果是,使用这样的设定的反应室在可施加给离子的离子能量的范围上是受限的,因为它们通过各种电极行进。如上所述,第三电极的引入使得离子能根据需要以许多不同的离子能量聚集/引导。
在其它离子束蚀刻操作时,一个或多个附加电极可以被提供。一个这样的电极可以是具有与其余的电极的孔相比是偏离中心的孔的电极。该偏心孔电极及施加于它的电压可被用来控制离子束离开电极和向衬底行进的角度。在下文对偏心孔电极进一步详细讨论。可使用的另一种类型的电极没有在图2中示出,是中空的阴极发射极电极。在中空的阴极发射极电极中,在电极上的每个孔都是中空的阴极发射极。中空的阴极发射极可以被用于形成局部等离子体,从该局部等离子体中有效地提取离子以形成离子束。中空的阴极发射极电极将在下面进一步详细讨论。
电极109、111以及113中的每一个都具有可以是约0.5mm-10cm之间或约1mm-3cm之间、例如是约5mm的厚度。电极109、111和113可以是每一个都有相同的厚度,或者可以有不同的厚度。此外,在提取电极109和聚集电极111之间的间隔距离可以是等于、大于或小于在聚集电极111和下部电极113之间的间隔距离。每一个电极109、111和113还具有尺度,其可以是小于、等于或大于被处理的衬底的尺度。在某些实施例中,电极的尺度接近于衬底或衬底支撑件的尺度(例如,在约50%内)。
电极109、111和113可以是圆形、矩形或其它多边形形状。电极可统称为离子提取器。离子提取器和等离子体生成区105可一起被称作离子源。在某些实施例中,电极是长而窄的,其中,长尺度约等于或大于衬底的尺寸,并且衬底被在正交方向上扫描,使得在时间上平均时离子束均匀地撞击整个衬底表面。
在提取电极109、聚集电极111和下部电极113中的孔110可以被精确地彼此对准。孔的精确对准是用在传统的应用中,以确保离子束以垂直的角度离开电极。在本文的某些实施例中,偏心孔电极被有意包括在内。偏心电极可被用于控制离子束离开电极和向衬底行进时的角度,如下面进一步讨论的那样。
离子束蚀刻工艺通常是在低压下运行的。在一些实施例中,压强可为约100mTorr或更低,例如为约1mTorr或更低,并且在许多情况下为约0.1mTorr或更低。低压有助于最大限度地减少在离子和存在于衬底处理区中的任何气态物质之间的不希望的碰撞。
传统的离子束蚀刻工艺通常涉及在蚀刻期间使衬底倾斜和旋转。如下面进一步详细解释的那样,本文的各个实施例涉及不需要衬底旋转或倾斜而执行离子束蚀刻的方法和装置。在传统的方法中,衬底倾斜被用来控制撞击衬底的离子的入射角,从而控制蚀刻特征的蚀刻速率和形成的外形。如图1所示,蚀刻速率取决于入射角,最快的蚀刻发生在约60°的入射角处。入射角作为在离子轨迹和衬底表面的垂直线之间的角度。例如,如果衬底被保持在水平位置并且离子在直接向下/垂直的方向上撞击表面,则入射角为0°。如果衬底倾斜10°并且离子直接向下行进,则入射角是10°。
在形成垂直特征的许多情况下,在单一的蚀刻操作中使用多个倾斜角度,在蚀刻期间衬底旋转。第一倾斜角可以用于形成蚀刻特征的侧壁,第二倾斜角可用于清理/整形诸如在特征的底部侧壁附近的肩部区的某些区中的特征。这种整形可能有利于去除在蚀刻期间再沉积(例如,通过回溅射)到特征的侧壁上的某些物质。在单个蚀刻工艺中,也可以使用其它角度。衬底旋转用于均衡在晶片表面上的离子束覆盖,使得在衬底表面上的离子通量更均匀。这种倾斜和旋转会导致显著的加工困难。
源于衬底旋转的某些处理困难涉及到在衬底支撑件上或在其内的电气和流体连接。在蚀刻工艺期间可以使用这些连接来夹住衬底、提供功率、冷却流体等。当衬底在衬底支撑件上旋转时,这些连接可能会不期望地绕自己、衬底支撑件或在反应室中的其它机制扭转和/或旋绕。这种扭转和/或旋绕可能会防止衬底在一个方向上连续旋转。这个问题的一个解决方案涉及在蚀刻期间使用双向旋转,如在美国专利申请号14/473863所涉及的,其通过如上引用而并入本发明。但是,双向旋转可能会导致与在蚀刻工艺期间精确控制衬底的相对旋转位置有关的其它困难。例如,光学或机械定向跟踪系统可以用于准确地跟踪衬底的旋转位置,以确保在蚀刻期间衬底在适当的时间切换方向。这些跟踪系统增加了蚀刻装置的复杂性和成本。双向旋转也可能导致一定的处理的非均匀性,当在衬底无法足够快地切换方向或在旋转期间的旋转速度不均匀性过于广泛时尤是如此。
与衬底旋转有关的另一个处理困难是在CAIBE的背景中产生。如在2014年8月12日递交的、美国专利申请号为14/458161、标题为“DIFFERENTIALLYPUMPEDREACTIVEGASINJECTOR”中解释的那样,在本文中通过引用将其全文引入作为参考,通常希望在处理室内保持低压,以尽量减少在处理室内离子束的离子与其他物质的碰撞。另一方面,期望在相对较高的压强下提供反应物气体(如果使用),以提高反应速率。如在14/458161申请中给出的一个解决方案是使用局部反应物传送机构以将反应物局部传送到衬底的表面上。局部反应物传送机构(也被称为注射头或差动泵送反应性气体注射器)可以被置于衬底表面附近,以使得非常靠近衬底传送反应物。注射头通常具有至少两个区:反应物传送区和真空区。真空区可包围、基本上包围和/或抵靠反应物传送区。涉及到注射头的具体细节包括在下面。
尽管注射头可有利于在局部高压下传送反应物,但注射头可能在使用衬底的旋转和倾斜时难以实现。这个困难可涉及在衬底表面上实现均匀的反应物传送,并可能在如下的实施例中最有问题:其中衬底在移动以扫描整个衬底的表面的注射头下旋转,其中在蚀刻期间衬底经过多个倾斜角度。与此相反,当衬底不旋转或倾斜时,可能更容易配置注射头均匀地为衬底表面提供反应物(即,可以使用更简单的扫描模式和/或更简单的注射头的设计和支撑/定向机构)。
基于这些和其它困难,不使用衬底旋转和/或倾斜的改进的蚀刻工艺是期望的。有利的是,与常规的离子束蚀刻装置相比,不采用衬底旋转和/或倾斜的装置将是较不复杂的,并且成本较低。
II.改进的离子束蚀刻方法和装置
本文的某些实施例涉及到在蚀刻期间不涉及衬底旋转的离子束蚀刻的方法和装置。为了在衬底的表面上提供均匀的离子通量,这些选项中的一个或多个可以被使用。这些选项可以大致分为静电技术、机械技术和磁技术,并在下面进一步讨论。在某些实施例中,离子束是发散的。在其它实施例中,可以使用更准直的离子束。某些附加特征可以被集成到蚀刻装置中,以进一步提高蚀刻的结果。一个这样的特征可以是中空的阴极发射极电极。另一个这样的特征可以是用于在衬底中在局部高压下传送反应物的注射头。这些选项和特征中的每一个将在下面进一步讨论。
A.发散离子束
图3A-3C描绘了具有三个电极的离子提取器中,在电极中有孔。在图3A中,离子束欠聚集。在图3B中,离子束被聚集(即,准直)。在图3C中,离子束过聚集。不足和过聚集的离子束都可以被称为发散光束。在没有使用均衡离子覆盖的衬底旋转也没有使用均衡离子覆盖的其它方法时,发散光束会以是特别有用的(尽管发散光束不限于这个背景)。高度聚集的离子束提供离子到针对每个离子束的相对小的区。如果没有使用均衡离子覆盖的衬底旋转也没有使用均衡离子覆盖的其它方法,则蚀刻仅会发生在聚集的离子束撞击衬底的区上,并且蚀刻不会发生在这些面积之间的区上。这个结果是非常不希望有的。与此相反,欠聚集和过聚集的离子束在更宽范围的有效离子分布角度上提供离子,因而能用于确保离子束撞击到衬底的整个表面上。然而,即使是发散离子束也以一定程度的非均匀性传送离子。这种非均匀性的生成是因为,相对较多的离子被沿离子束的中心线传送,相对较少的离子被在离子束的边缘附近传送。
图3A-3C中在每个孔上方是有时被称为暗区的半球形区。暗区可存在于最上部电极上方(例如,在提取电极的上方,或在中空的阴极发射极电极的上方,如果使用它们的话)。暗区是没有等离子体存在的区。在这些区中的带电粒子密度非常低。该区排斥电子,因为从在最上面电极的下方的位置发出的负电势穿过孔延伸,并排斥来自等离子体的电子。类似地,等离子体中的离子被迅速吸引到孔中并加速穿过孔。这两种效应(电子的排斥和正离子的吸引/加速)相结合,形成其中没有等离子体的区,即暗区。
图4是表示在图3A-3C中所示的离子束类型的相对离子强度与有效离子分布角度的图,其中,有效离子分布角度是从离子提取孔之一发出的、撞击衬底的离子的角度分布。如图4所示,欠聚集和过度聚集的光束在较大的分布角度处提供了大得多的离子强度。
图5示出了与图4所示的信息类似的信息。具体地,图5是表示过度聚集的发散离子束的离子束轮廓和角度轮廓。离子束轮廓是从离子提取孔口之一发出的、撞击衬底的离子的强度分布。角度轮廓是从离子提取孔口之一发出的离子的、相对于衬底表面法线的离子的角度分布。假设网格到衬底的距离为约15厘米,透镜焦距长为约2厘米,电极孔径尺度为约0.5厘米,使用约3电子伏特的能量扩散,就生成这些数据。对于球面像差、色像差和空间电荷,假定为标称值。如图4和5所示,离子强度在离子束的中心线(x=0)处是最高的,其中有效离子分布角度为0。对于在图3A-3C中所示的所有类型的束,这都为真。
图6示出了用于离子束是发散的一个实施例的、相对束电流密度与跨越衬底直径的距离之间的关系的图。由于来自每一个单独的离子束的离子强度峰的缘故,束电流密度在整个衬底上是不均匀的。相反,在整个衬底的离子强度上有一个明确的周期性变化。因此,虽然与使用聚集光束相比,发散光束可以帮助促进在晶片的表面上有更均匀的离子覆盖,但仍有理由衬底和离子束彼此相对地移动。这一移动将有助于通过在空间上使离子分布在衬底表面上平均化而促进更均匀的离子传送,从而最大限度地减少在每一个离子束内的非均匀离子传送的效果。
一种用于衬底和离子束彼此相对地移动的方法是旋转衬底。然而,对于以上所讨论的原因,衬底旋转可在本文的各个实施例中避免。另一种方法是平移衬底。机械电机、机械手或其它机构可以被提供来以非旋转的方式移动晶片。该机制可在导致离子束撞击衬底的不同部分的方向(即,在不平行于离子束方向的方向)上平移衬底。如本文中所使用的,离子束的方向被认为是沿离子束的中心线的方向。这是离子束为准直或发散的情况。可替代地或另外地,离子束本身可以在衬底的表面上移动。如上所述,各种技术可用于移动离子束。
B.静电技术
本文公开的一些技术涉及使用具有与离子源的其它电极的孔相比是偏离中心的孔的电极的离子提取器/源。偏心孔电极可以用于控制离子束离开离子提取器/源的角度。偏心孔电极可以在此被称为偏心(OC)电极。
离子轨迹的角度是基于离子束的中心线和在离子提取器/源的最下部电极的表面进行测量的。最下部电极是离子提取器中的被置于最靠近衬底处(并在等离子体发生区和衬底之间)的电极。换句话说,通过离子提取器的电极中的孔直行而不偏转的离子束在相对于离子提取器和离子源基本成垂直的角度上被引导。与此相反,被偏转(无论是通过静电技术、机械技术、磁技术、其组合、等)的离子束被引向相对于离子提取器和离子源成非垂直的角度上(由于离子轨迹在离开离子源的最低电极之后立刻或在其后某时间点以非90°的角度从最低电极处离开)。
图7A和7B示出了包括四个电极701、702、703和704的离子提取器700的简化剖面图。电极703是OC电极,这是因为,与其它电极中的孔710相比,在电极703中的孔710是偏离中心的。在图7A,没有偏置电势施加到OC电极703,离子束通过孔710在垂直于电极的方向上垂直地行进。然而,在图7B中,偏置电势被施加到OC电极703,使离子束弯曲。这样,离子束沿与电极非垂直的方向离开电极。离子束可以是彼此平行的(即,离子束的中心线可以是平行的)。在图7B中,当将偏置电势施加到OC电极703时,离子束是右偏的。
相对于其它电极,OC电极不必如图7A和7B所示的那样设置。在某些实施例中,电极的相对位置与这些图所示的是不同的。不管它们的相对位置如何,OC电极和其它电极都应被配置(例如根据需要,成形、定位和偏置)成使得当离子束弯曲时离子束仍然可以行进通过其它(例如,较低位置的)的电极中的孔。在某些实施例中,例如如图8所示,使用多于一个的OC电极。在这里,离子提取器800包括两个OC电极803a和803b。还示出了电极801、802和804。第一OC电极803a具有偏离电极801、802和804的孔810的、在第一方向(例如,在图8中是左/右方向的x方向)上的孔810。第二OC电极803b具有偏离电极801、802和804的孔810的、在第二方向(例如,在图8中是进入/离开页面的y方向)上的孔810。这样,两个OC电极803a和803b可以一起使用,以在x和y方向上围绕衬底表面移动离子束。在第二OC电极803b中的孔810被示出为小于在所示的特定的横截面中的电极803a和804的孔810。然而,在第二OC电极803b中的孔810可以是与其它电极中的这些其它的孔810的大小相同或比其更大。因为在第二OC电极803b中的孔810是在y方向上偏移(例如,对电极801、802、803a和804,孔径中心是在页面平面内,而第二OC电极的孔径中心是在页面平面的后面),所以,未示出这些孔的整个直径。
在某些情况下,在OC电极中的孔可以是与其它电极中的孔有相同的尺寸,或者孔可以比其它电极中的孔更小或更大。在一种特定实现中,下部放置的电极可比在较高处放置的电极具有更大的直径的孔。从上方看时,在OC电极中的孔径的中心可以偏离其它电极中的孔的中心的距离为OC电极的孔的直径的约25%或更少,例如为约15%或更少。
在各种实现中,在OC电极中的所有或基本所有孔与其它电极中的孔比较都在相同的方向上并以相同的程度偏移。当提供多于一个的OC电极时,在每一个OC电极中的孔相互比较可以偏移相同或不同的程度。通常,在每个OC电极中的孔是在不同的方向上偏移(例如,在第一OC电极中的孔与第二OC电极中的孔在不同的方向上偏移,如图8所示)。
在某些实施例中,通过改变施加到偏心孔电极上的电压来改变离子束离开离子提取器/源的角度(并且因此离子束在衬底表面上移动)。当没有电压被施加到偏心孔电极时,离子束基本上直行通过孔,离子束以垂直的角度离开离子源。相反,当电压被施加到偏心孔电极时,离子束被引导以非垂直的角度远离离子源。这个非垂直角度取决于施加到偏心孔电极的电压。因此,通过改变施加到偏心孔电极上的电压,离子束可以围绕衬底表面移动。
在某些实施例中,施加到偏心孔电极的电压在加速电势到减速电势之间变动。所施加的电压在约10倍于束电压(使离子束加速)的最大值至约95%(相反的极性)的束电压(延缓离子束)的最小值之间、例如相对于直接围绕的电极在约2倍的加速束电压到约50%的减速束电压之间、或例如在约1.5倍的加速束电压到约25%的减速束电压之间变动。
用于在衬底的表面上移动离子束的另一种静电技术包括在电极中的一个之下提供偏转板(例如,MEMS器件),如图9所示。在图9中,为清楚起见,只有一个单一孔910和一组偏转板920被示出。然而,应该理解的是,电极通常具有孔隙阵列,对在相关的电极上的每个孔提供多组偏转板。另外,图9示出了三个电极901、902和903,但可以在不同的实施例中提供更多或更少的电极。在电极903下面、每个孔910的相对侧提供偏转板920。偏转板920不限于被置于最下部电极的下方。通过施加适当的静电势,在每一组偏转板之间生成电场。当电子束通过时,这个电场可以使离子束的轨迹弯曲。因此,在提供这样的偏转板的场所,偏心孔电极可以被省略。通过改变在各组偏转板之间的电场,可以控制离子束的轨迹,使得离子束在蚀刻期间在衬底的表面上移动。换句话说,在蚀刻的过程中,离子束离开离子提取器/源的方向可以被修改。多组偏转板可以是单独地/独立地寻址/控制,或它们可以在一起被访问/受控。
在某些实施例中,偏转板被设置在离子源中的最下部电极之下。在其它实施例中,偏转板可被提供在不同的电极的下方,例如在第二电极的下方,或在第三电极的下方(在从底部电极向上计数时)。电极、孔和偏转板应当被构造成使得离子束的显著部分行进通过电极的孔,即使当离子束的轨迹是倾斜时也是如此。这一因素使得将偏转板放置在最下部电极的下方特别有效(由于没有进一步的电极来阻止偏斜的离子束),但本领域普通技术人员将理解,其它布置是可能的,并且是在本实施例的范围内。
生成束偏转电场的结构可以以几个方式制作/配置。一种这样的方法使用层叠的金属或半导体条的阵列,如图21所示。这些条(2101,2102,2103,和2104)被连接到绝缘体(为清楚起见未示出)和通过绝缘体分开。条2101和2102被用来偏转比如说在x方向上的束,而条2103和2104将用于比如说在y方向上偏转。在一般情况下,每个条可以设置为不同的电压。在多个实施例中,所有的条2101可被设定为相同的电势,而条2102可以被设置为与条2101幅度相同但极性相反。类似地,条2104可以被设置为条2103幅度相同但极性相反。也可使用电势的其它相对(例如,不相等的)幅度。在一些实现中,条2102和2104可以接地,并且偏转通过只施加电势到条2101和2103来实现。作为一个例子,对于1厘米高、分离开1厘米的条,+50V电势施加到条2101和-50V电势施加到条2102,将偏转100eV的氩离子约26度。偏转条(2201,2201,2203,和2204)的这些阵列可以被安装在电极板2205中的一个上或靠近电极板2205中的一个,如图22所示。
可替代地,微机械的制造技术可用于在诸如石英或氧化铝或其它绝缘材料之类的绝缘板上制造偏转板。一个例子示于图23和24中。图23示出了在其中具有机械加工或微机械加工的方孔2302的阵列的绝缘材料2301。这些孔2302可以被隔开,以与在离子源提取/聚集电极中所用的孔列重合。在形成孔2302的阵列之后,导电材料(例如,金属或半导体)可沉积在表面上和绝缘板2401的孔2302内,进行图案化,以形成偏转电极。一个这样的代表性孔示于图24。淀积的导体材料(在2402、2403、2404、2405和2406示出)被图案化,以形成对着两对偏转电极2403/2405和偏转电极2406/与偏转电极2406的电极(未示出)。偏转电极2403和2405可以分别通过导体2402和2404被激励。偏转电极2406可以由绝缘体2401的相对侧的导体供电(如图24中表示),或通过作为导体2402和2404的、在绝缘体2401的同一侧的附加的图案化导体被供电。
C.机械技术
用于在衬底的表面上移动离子束的另一个选择涉及一定的机械技术。这些技术都涉及到一些上面说明的静电技术。在一个实施例中,如上所述的偏心电极被偏置,以使离子束的轨迹弯曲。离子束可以通过物理地移动OC电极围绕衬底表面移动。例如,在各个实施例中,OC电极可以在电极的平面内平移,以在衬底表面上移动离子束。这样的移动可以是在调制施加至OC电极的偏置电势之外附加进行或代替它进行。其中,在机械技术使用时,由OC电极移动的距离可以是孔直径的至少约1%,例如是至少约20%。在这些或其它情况下,由OC电极移动的距离可以是孔直径的约50%或更小,例如是孔直径的约25%或更小。
D.磁技术
磁技术也可用于导致离子束在衬底表面上移动。这些磁技术也可用于其他目的,例如以期望的方式引导离子束到衬底上。在一个例子中,在室周围提供的一个或多个电磁线圈在离子提取器/源附近提供磁场,其可用于(a)弯曲离子束的轨迹,使得离子束从离子源以非垂直角度离开;和可选地(b)引起离子束在蚀刻期间在衬底的表面上移动。在另一实例中,在室周围提供一个或多个线圈,在离子源和衬底之间的位置提供磁场以(a)弯曲离子束的轨迹,使得离子束在期望的区/以期望的入射角度撞击衬底,和/或(b)使离子束在蚀刻期间在衬底的表面上移动。施加到这个区(在离子源和衬底之间)的磁场对于离子束重新瞄准会是特别有用的,否则该离子束将完全错过衬底或以不太理想的角度撞击衬底的。例如,在该区的磁场可以与导致以非垂直角度离开离子源的离子束的技术组合使用。无论磁场在哪里施加,通过改变(至少一个)磁场的强度,离子束都能围绕衬底的表面移动。施加到室的任何磁场可能来源于两个或多个磁场组合/重叠。
磁场通过电磁体和/或永久磁体生成。这些磁体通常在反应室的主处理部分的外围提供,例如绕着反应室的外周提供。在使用电磁体时,电磁体可以是线圈的形式。在某些情况下,提供了多组同轴电磁线圈(包括螺线管)。在特定情况下,同轴电磁线圈可以是亥姆霍兹线圈对,其可以用于生成几乎均匀的磁场。第三个线圈有时被称为麦克斯韦线圈,可以在一组亥姆霍兹(或其它)线圈之间提供,以使磁场甚至更均匀。
图10示出了具有围绕装置1000的周边配置的一组磁性线圈1054的蚀刻装置1000的一部分。线圈生成在各个位置具有特定的大小和方向的磁场。这个场的大小和方向可以使用线圈的已知的参数(在线圈内的电流的幅度和方向、线圈匝数、位置等)通过诸如可从宾夕法尼亚州匹兹堡的Ansoft公司得到的MAXWELLTM之类的软件计算或建模包进行计算。约0.1T或以下的施加磁场能够充分偏转100eV氩离子。更典型地,在各个实施例中,约0.05T或更小的磁场、或具体地说约0.03T或更小的磁场可用于100eV氩离子。较重质量或更高能量的离子需要更高的磁场来实现类似的偏转角。
在某些实施例中,使用两个或多个分开的磁场,例如靠近离子源施加的一个磁场和在离子源和衬底之间施加的一个磁场。离子束可以是聚集、过聚集或欠聚集。此实施例示于图12。在这里,蚀刻装置1200包括容纳离子源(成组的电极,未示出)的上部1230和在蚀刻期间放置衬底的下部1231。第一组电磁线圈1255被置于接近于装置1200的上部1230中的离子源处,第二组电磁线圈1256被置于装置1200的下部1231内,在离子源和衬底之间的区中。第一组电磁线圈1255在第一方向上生成第一磁场,第二组电磁线圈1256在第二方向上生成第二磁场。在图12,第一磁场和第二磁场是在相反的方向上。在一个类似的实施例中,第一磁场和第二磁场可以是在垂直的方向上(例如,如图所示,第一场在页面平面内方向为向右,第二场方向为进入或离开页面)。各种方向和方向的组合可用于不同的磁场。由第一组电磁线圈1255生成的第一磁场的一个目的是使离子束以非垂直的角度离开离子源。由第二组电磁线圈1256生成的第二磁场的一个目的是使离子束重新瞄准返回衬底表面。任一磁场或两个磁场的额外目的是围绕衬底表面移动离子束以使离子束强度在衬底的表面上平均化。这样的运动可以通过改变通过线圈的电流、从而改变磁场并影响离子束的轨迹来实现。
在另一实施例中,电场(或一系列的电场,如上文相对于放置在每个孔的任一侧的、在电极下的配对结构/装置所述的那样)被用于改变离子束的轨迹,使得离子束以非垂直的角度离开离子源,磁场被施加在离子源和衬底之间,以使离子束重新瞄准回到衬底表面。离子束可以是聚集、过聚集或欠聚集。这些实施例示于图13和14。在这些图中,为清楚起见,只示出了一个单一的孔。然而,应该理解的是,这些电极一般包括孔阵列。此外,图13和14没有显示反应室的壁。但是应理解的是,反应室通常容纳离子源/电极,而电磁线圈典型地在反应室的壁外侧提供。
在图13中,离子源1330包括五个电极1301、1302、1303a、1303b和1304。如上所述,电极1303a和1303b是偏心孔电极。特别是,电极1303a具有在第一方向上偏移的孔,电极1303b具有在第二方向上偏移的孔,类似于图8所示的实施例。偏置电势可以施加到OC电极1303a和1303b中的任一个或两个,以使离子束以非垂直的角度离开离子源1330。在OC电极1303a和1303b中的任一个或两个上的偏置电势可以被改变,以使离子束在衬底的表面上移动。类似地,如上所述,在OC电极1303a和1303b中的任一个或两个可以机械地移动,以使离子束在衬底的表面上移动。在离子束以非垂直的角度离开离子源1330后,通过电磁线圈1356生成的磁场被用来改变离子束的轨迹。该磁场使离子束以期望角度的入射角重新瞄准回到衬底。
在图14中,离子源1430包括三个电极1401、1402、1403。在电极1403下面放置一组偏转板1420,如上文相对于图9所述的那样。偏转板1420被用来生成电场,该电场导致离子束以非垂直的角度离开离子源1430。多组电磁线圈1456可用于生成在离子束离开离子源1430后作用于该离子束的磁场。磁场可以使离子束的轨迹弯曲,以使得离子束以期望的入射角度重新瞄准回到衬底。
图15宽泛地示出在图12和14中所示的实施例的实现。在此省略各个细节。在图15的实施例中的离子束被聚集/准直。如上所述,这些实施例还可以用发散(即,过聚集或欠聚集)离子束实施。在这里,离子源1530包括三个电极1501、1502和1503。生成第一个场1561以使离子束向右侧偏转,生成第二个场1562以使离子束向左侧偏转。在场1561和1562中的箭头是为了表示从所施加的场加在离子束上的力的方向。第一个场1561可以是电场(如在图14)或磁场(如12所示)。第二个场1562可以是磁场。类似地,在实施图13的实施例中,离子束可以相应地分流和再分流,但在这种情况下,离子源将包括至少一个OC电极。
如本文所述的用于引导离子束的不同的选择可以以各种组合使用。此外,不同的选择可以同时用于发散和非发散离子束。这些选项不限于蚀刻装置的任何特定实现,并且可以做出各种修改。这样的修改可以包括不同数目的电极、电极的不同的相对方位、施加的场的不同的相对方位等等。此外,在本文中任何实施例可以使用特定装置特征实现,特定装置特征包括例如中空的阴极发射极电极和/或用于在局部高压下提供反应物的注射头,如下面进一步描述的那样。
E.中空的阴极发射极电极
本文的任何实施例都可以被修改以包括另外的电极,其可以是中空的阴极发射极电极。在某些实施例中,中空的阴极发射极被提供在提取电极上方,以在提取电极上方创建众多高强度的离子源。在效果上,在中空的阴极发射极中每一个孔作为中空的阴极发射极,由此提供许多局部的、高密度的离子源。在其它实施例中的中空的阴极发射极可以并入提取电极自身内。在中空的阴极发射极电极中的孔被设计或配置成与在其它电极中的孔对齐。因此,该中空的阴极发射极提高了活性离子的生成效率,这是因为,基本上大多数在中空阴极发射极中生成的离子被成功地通过电极传输到晶片。这使得可以在较低的能量水平下生成高密度的离子。
中空的阴极通常包括在其内表面上具有发射极材料的导电管/缸体。在中空的阴极发射极的背景下,导电管/气缸是孔。发射极材料优选具有低的功函数,它允许材料具有高的二次电子产率。示例性的发射极材料包括但不限于硅、钨、钼、铼、锇、钽、铝、钛和钍钨。发射极也可以涂覆有材料,以提高二次电子产率或防止溅射腐蚀。这种涂层可以进行气相沉积、喷涂、电镀、无电镀、化学气相沉积、等离子体增强化学气相沉积、刷涂、旋涂等。另外,电极材料可被阳极化。典型地,该电极仅包含单一材料;换句话说,发射极材料是电极材料。中空的阴极发射极电极的整体形状(例如,厚度,直径)可以与其它电极的形状基本上相同。
在蚀刻期间,气体和/或等离子体可以被馈送到/被生成在中空的阴极发射极电极的上游。其中在等离子体是从中空的阴极发射极电极生成的上游时,这样的等离子体可以是电感耦合等离子体、电容耦合等离子体、变压器耦合等离子体、微波等离子体等。等离子体可以远程生成或是在位于中空的阴极发射极电极之上的反应室中生成。中空的阴极发射极电极可以是射频偏置的,例如在约50-5,000W,假设单一的300毫米衬底存在的话。当气体通过中空的阴极发射极时,发射的电子在每个孔中将气体电离化。在下文参考图17对电离机构进行进一步讨论。
在某些实施例中,中空的阴极发射极电极的孔被配置为具有促进高密度离子形成的形状。实现这个目的的一个样例的形状是截头圆锥形的孔。如倒锥、圆顶、倒金字塔等其它形状也可以使用,以促进离子形成。通常,顶部比底部宽的孔径的形状是特别有用的。
在某些实施例中,希望有在中空的阴极发射极的上游比下游有较高的气体压强。为了使跨越发射极的压强下降,通过发射极孔的气体传导率应该是低的。在某些情况下,通过电极堆叠的气体传导率可低于约10,000L/min。例如,该气体传导率可为在约50-1000L/min之间。例如,约1Torr的压强差(中空的阴极发射极的上面相对于下面)可以通过缩小孔径的最小直径(在图8中的尺度d2)来实现。例如,当气体以约1SLM的流率流动时,具有0.5毫米的d2直径和1毫米的d3长度的1000个孔的阵列将具有约800L/min的气体传导率,将经历约1Torr的压强降。
在通过电极组件的气体传导率减少的实施例中,可以使用气体旁通通路。这个气体旁通通路将被打开,以防止例如在整个组件的初始抽空期间在电极组件上有过大的压强差。如果需要压强差,则该气体旁通通路然后可以在操作期间被关闭。
图16表示一个具有截头圆锥形状的孔1614的中空的阴极发射极电极1654的特写剖视图。每一个孔1614在中空的阴极发射极电极1654的上表面1618有第一直径d1,在中空的阴极发射极电极1654的下表面1620(或晶片侧)有第二直径d2。第一直径d1大于第二直径d2。在一些情况下,第一直径d1为介于约1mm-20cm之间。第二直径d2可以为介于约0.1mm-10cm之间。第一直径与第二直径的比(d1/d2)可为介于约1.2-10之间。如可以看到的那样,孔1614的上侧是大致为截头圆锥形的形状,按90°的倒角呈锥形向内,直到内部直径等于d2。倒角的角度测量示于图16中。在其它实施例中,锥形部分具有不同的倒角角度,例如在约45-120°之间。截锥部分通过中空的阴极发射极电极1654的在大致中间处的厚度与圆柱形部分汇合,因此孔1614可以被表征为具有截锥形部分1617和圆柱形部分1619。在图16中,孔1614的圆柱形部分1619的高度通过d3表示。在一些情况下,圆柱形部分1619的高度为介于约0.2mm-2cm之间。在这些或其它情况下,截锥形部分1617的高度为介于约0.5mm-2厘米之间。本文中的孔直径和高度尽管是在具有截锥形部分和圆柱形部分的孔的背景中示出的,但也可能适用于不同但相似的形状的孔。
图17示出了通过中空的阴极发射极电极1754的倒角孔1714的微射流、低能量离子发生区。作为在中空的阴极发射极电极1754以上的主等离子体放电的结果,在孔1714中创建了局部鞘1722,由此导致了电场。主等离子体放电可以是来自中空的阴极发射极电极1754的上游的任何合适的等离子体源。示例性的等离子体源包括感应耦合等离子体源、电容耦合等离子体源、微波等离子体源、远程等离子体源等
来自主放电的电子和离子进入孔1714,并创建电流路径(由虚线箭头1723表示),它通过每个孔,到达中空的阴极发射极电极1754的下表面1720。当电流线(箭头1723)聚集接近孔1714时,电流密度增大,导致在孔中形成致密等离子体,从而形成具有窄的等离子体鞘的等离子体射流。微射流的增加的等离子体密度也可提高了零线温度,它降低了在孔中的零线密度。这些效应的组合可提高电子温度和改变在微射流中的放电的化学性质。此外,离子也由鞘加速并撞击孔1714的内表面1724,从而喷射二次电子。与高等离子体密度等离子体有关的较窄的鞘允许电子几乎不碰撞地加速度穿过鞘1722,导致在微射流中生成非常高能的电子。二次电子获得足够的能量以撞击中性气体分子,从而将它们电离并创建穿过孔的微射流形放电1726。
在某些情况下,主等离子体放电可以省略。换句话说,中空的阴极发射极电极可以是等离子体/离子的唯一源。在这些实施例中,开始离子形成的级联的初始高能量电子作为施加到中空的阴极发射极电极上的RF偏置的结果被生成。高压梯度和/或长孔有助于促进形成微射流。在从中空的阴极发射极电极上游的主等离子体提供高能电子时,这些考虑因素并不太重要。在除中空的阴极发射极电极外没有单独的等离子体源被包含时,在中空的阴极发射极电极上的RF偏置可为介于约500-10000W之间。在单独的等离子体源被包括时,该偏置可以是较小范围的(lessextensive)。
微射流形放电1726是最终撞击在晶片上的离子的主要来源。此外,还已经凭经验确定,该孔1714的低宽高比(直径d2除以高度d3)增强了微射流形放电。然而,如果孔1714的高度(即,中空的阴极发射极电极1754的厚度)做得过小,则用于电极冷却的能力被消除。另一方面,如果孔的直径做得太大以降低高宽比,则中空的阴极发射极电极的均匀分散等离子体放电的有效性减弱。因此,本实施例的孔1714可以被配置为提供较低的宽高比以有效生成丰富的等离子体并传送离子丰富的等离子体通过孔到达晶片,同时仍允许有效电极的冷却。
需要在其中生成可靠的微射流的孔1714的尺度和宽高比是工艺条件的函数,工艺条件包括如等离子体功率、压强、气体组成等参数。在这个过程中,在孔1714的微射流中的“点燃”是需要的,以达到均匀的处理。所描述的方法实现了均匀和可靠的微射流点燃,以生成这样的均匀的处理。这不同于诸如网格之类的其它的应用,在其中,用于屏蔽或生成/调制电场或电磁场的多孔板具有不可靠地形成微射流放电的孔。类似地,本发明的实施例不同于其它的现有技术,其中具有孔的多孔板被用作电子或离子透镜,在其中等离子体通过在板中的孔而不形成微射流放电。
中空的阴极发射极电极的使用允许使用相对较低的电压/提取场进行高强度离子提取。这可能有助于减少来自高能离子的晶片上(on-wafer)损害。在使用中空的阴极发射极时,它可被射频偏置在约100-10,000W之间。在这些情况下,提取电极可以相对于下电极被偏置在约20-10,000V之间,聚集电极可以以在提取电极和下部电极之间的中间电势或比提取电极更高的电势偏置。下电极可以接地或相对于晶片的水平进行偏置,例如相对于衬底是在约0–负1,000V之间。在提取/聚集/下电极之间安装的电势梯度可以是在约0-5000伏/厘米之间。
在离子束蚀刻的背景下的中空的阴极发射极电极的使用在美国专利申请号14/473863中进行了进一步讨论,将其通过引用并入。
F.注射头
如本文所述,如本文所述的任何实施例可以结合注射头实施。注射头对于其中反应气体被传送到衬底的离子束蚀刻工艺是有用的。离子束蚀刻工艺通常在低压下运行。在一些实施例中,压强可为约100mTorr或更低,例如为约10mTorr或更低,或为约1mTorr或更低,并且在许多情况下为约0.1mTorr或更低。低压有助于最大限度地减少离子和存在于衬底处理区中的任何气态物质之间的不希望有的碰撞。
不幸的是,在许多反应性离子束蚀刻应用中使用的低压限制了反应物可以被传递到衬底处理区的速率。如果反应物传送的速率过高,则压强将升高,离子气体碰撞成为问题。反应物的低流速导致相对低的吞吐量过程,这是因为,化学反应物/蚀刻剂不会以足够高的分压存在,不能以快速方式有效地蚀刻表面。
本文所公开的某些实施例通过以相对高的局部压强用注射头提供反应物气体到衬底表面而同时在注射头以外保持相对低的压强解决了这一碰撞-通量权衡的问题。在操作中,注射头可以在注射头的反应物传送区和注射头的边缘之间提供大的压强梯度。注射头提供反应物,同时吸尘走多余的反应物质和副产品。这种设置防止了大多数的反应气体负荷进入这样的反应物很可能会碰撞离子的区,并因此使得在整个衬底处理区有较低的压强和有高压局部反应物传送。
注射头可以在晶片的不同部分以随时间的推移对衬底表面均匀地传递反应气体这样的方式来扫描。虽然在任何给定时间,扫描的注射头在高压下只为晶片的局部部分供给反应物,但在蚀刻工艺期间,注射头扫描整个衬底表面,使得就平均而言,反应气体传送以均匀的方式进行。在蚀刻期间衬底不转动或倾斜时,这种扫描可以更简单和/或更均匀,使得本发明的各个实施例使用扫描型注射头特别有吸引力。在衬底在蚀刻期间不倾斜的情况下,用于移动注射头的机制并不需要适应这种倾斜。类似地,在衬底在蚀刻期间不旋转的情况下,设计用于在衬底上移动注射头以提供均匀的反应物传送的扫描模式相对较简单。多种扫描模式可以用来提供均匀的反应物传送。可替代地,注射器头可以覆盖整个衬底,并且可交替地放置在衬底上然后从衬底上移开。
在使用注射头的一个示例中,注射头在相对较高的局部分压下提供反应物气体或蒸气(例如,分压为在注射头的反应物传送区的外侧的衬底处理区的压强的至少约10倍,或至少约100倍,或至少约500倍,或至少约1000倍,或至少约2000倍,而且在某些情况下至少约3000倍),而离子活跃地撞击衬底表面。
对于诸如原子层蚀刻(ALE)之类的应用,注射头可以是特别有用的,因为它允许在蚀刻过程中的每一个部分都进行压强最优化。ALE涉及顺序传送、吸附反应物、过量反应物的清除和暴露于能量源,以去除材料的非常薄的层(例如,在某些情况下为单层)。通常,这样的吸附、清除和能量暴露操作是以循环的方式进行,以–逐层蚀刻材料。注射头和使用的方法基本上打开了用于提供各种气体的压强的可用操作窗。此外,所公开的技术可以导致使用不同的定时序列的ALE的方法。例如,反应物传送、清除和暴露于能量可全部同时出现在晶片的不同部分。反应物传送和清除局部发生在注射头之下,暴露于能量(离子)在全局上发生在注射头不会阻塞的各处。原子层的蚀刻方法将在以下美国专利中进一步讨论,其每一个通过引用将其全部并入本文:名称为“ADSORPTIONBASEDMATERIALREMOVALPROCESS”的美国专利No.7416989;名称为“METHODSOFREMOVINGSILICONNITRIDEANDOTHERMATERIALSDURINGFABRICATIONOFCONTACTS”的美国专利No.7977249;名称为“MODULATINGETCHSELECTIVITYANDETCHRATEOFSILICONNITRIDETHINFILMS”的美国专利No.8187486;名称为“ATOMICLAYERREMOVALFORHIGHASPECTRATIOGAPFILL”的美国专利No.7981763;和名称为“ATOMICLAYERREMOVALPROCESSWITHHIGHERETCHAMOUNT”的美国专利No.8058179。
图18提出了根据某些实施例的、越过晶片1801的注射头1800的简化横截面图。图18示出了注射头1800和其中的组件。箭头示出了反应气体的流动。反应气体被在反应物入口1802引入到注射头1800。反应物气体在相对高的压强下引入到局部高压区R0(也称为反应物出口区)。第一分离器D1将局部高压区R0与第一压降区R1(也被称为抽吸区)分离,第二分离器D2将第一压降区R1与第二压降区R2(有时称为第二抽吸区),第三分离器D3将第二压降区R2与周围的衬底处理区R3分离。分离器可以是片材或其他薄的结构,并且可以由诸如聚合物、陶瓷、金属或玻璃等耐蚀刻剂的材料做成。示例性的材料包括铝、铝合金、阳极化铝、不锈钢、氧化铝陶瓷、可切削玻璃陶瓷、熔融二氧化硅、因科镍合金、蒙乃尔合金、硼硅酸玻璃、聚酰亚胺(vespel)、特氟纶或聚酰亚胺薄膜(Kapton),其可以被选择以用于正在使用的特定的蚀刻剂。
真空被施加到第一压降区R1和第二压降区R2,以真空除去传送到局部高压区R0中的过量反应物。真空通过真空连接1803施加。在一个类似的实施例中,真空连接1803延伸进入和离开页面,而不是如图18所示延伸向右。在一个实施例中,局部高压区R0可以是由第一分离器D1在周边分界的圆筒形区。第一压降区R1和第二压降区R2可以是做成环形形状,以包围局部高压区R0。可替代地,从上方观察时,局部高压区R0、第一压降区R1和第二压降区R2中的每一个可以是长而薄(例如,从上方观察时,每一个都具有大致为矩形的横截面),延伸进入页面或从页面延伸而出。
图19是示出了在区R0-R3经历的压强的模拟结果。具体而言,压强P0对应于在图18的区R0中的压强,压强P1对应于图18的区R1中的压强,以此类推。数据被建模,假设在高压下传送的反应物是N2(更大的分子将导致更大的压强降)。此外,数据被建模,假设局部高压区R0是约5厘米宽,R1和R2区是约1厘米宽,每个分离器D1-D3都是约1厘米宽,以及约1厘米,在注射头1600的最下表面和衬底1601之间有约1mm的间隙。通过使用根据在R.A.GeorgeR.A.George等人在《JournalofPhysicsE:ScientificInstruments》第4卷第5期(1971年)发表的“Alowconductanceopticalslitforwindowlessvacuumultravioletlightsources”中的描述计算分子/过渡流。对于在图19中建模的任何给定的流速,压强下降超过在注射头的相邻区之间的幅度一个数量级。其结果是,压强下降是从位于P0的约2Torr到位于P3的约0.00025Torr,这表示有约99.9875%的整体下降。表征结果的另一种方式是,在本实施例中,压强下降至约1/8000。
返回到图18的实施例,耐溅射涂层1804可覆盖注射头1800。这样的溅射性涂层1804可以由碳(例如,无定形碳)或者如硅、二氧化硅、铝或氧化铝等如果溅射将不被认为是衬底材料的污染的材料制成。耐溅射涂层1804可有助于使被从注射头1800溅射掉的材料的量最小化。注射头外壳(在溅射性涂层之下)可由聚合物、陶瓷、金属或玻璃制成,其实例包括铝、铝合金、阳极化铝、不锈钢、氧化铝陶瓷、可切削玻璃陶瓷、熔融二氧化硅、因科镍合金、蒙乃尔合金、硼硅酸玻璃、(vespel)、特氟纶或聚酰亚胺薄膜(Kapton)。
表征不同的相关区的另一种方式是通过观察在晶片本身上所发生的事情。晶片的位于局部高压区R0下的部分可以被称为局部高压区(也被称为反应物出口区)。晶片的位于压降区R1和R2下的部分可被称为压降区或两个压降分区。这些区也可以被称为抽吸区。不在注射头之下的晶片部分可被称为离子加工区。局部高压区、压降区和离子处理区的位置随着注射头在晶片的表面上移动而改变。
本领域的普通技术人员理解,任何多种形状都可以使用在用于生成局部高压区R0和第一和第二压降区R1和R2的结构或多个结构中,只要压降区被设计或配置为在反应物和反应物的副产物被传送到局部高压区R0且在它们进入衬底处理区R3之前真空除去过量的反应物和反应物的副产物即可。这样,第一压降区R1可以围绕或基本围绕局部高压区R0,第二压降区R2可以围绕或基本围绕第一压降区R1。这些区可以是圆形/圆筒形/环形,或者它们可以是其它形状(椭圆形、正方形、矩形、三角形、其它多边形形状、狭缝等)。在R0下的暴露的面积可以是比衬底小很多,约等于衬底,或者比衬底大。
在一个具体的例子中,局部高压区被做成形状为较长而薄的狭缝,而压降区邻接狭缝的两侧。在这种情况下,虽然压降区可以完全或可以不完全包围局部高压区(例如,邻近狭缝的薄侧),但这样的压降区可以说是基本上包围局部高压区,因为绝大多数的过量反应物被邻近狭缝形局部高压区的长边的压降区真空吸走。在某些实施例中,一个或两个压降区包围反应物传送区的周边的至少约70%(或至少约90%)。在特定的情况下,一个或两个压降区包围反应物传送区的周长的100%。在某些实施例中,第一压降区/抽吸区是直接相邻于局部高压区/反应物传送区。在某些实施例中,第二压降区/抽吸区直接相邻于第一压降区/抽吸区。
图20A(俯视图)和图20B(剖视图)示出了其中注射头是细小的狭缝的一个实施例。在各种不同的情况下,注射头的长的长度延伸到衬底的整个长度/直径,注射头的窄的宽度延伸到衬底宽度的一部分,如图所示。在本实施例中,注射头在垂直于注射头的长轴线的方向上被来回扫描,以便在每次通过中完全覆盖衬底,如图所示(例如在图20A中,注射头左右扫描)。在这些或其它情况下,注射头可具有大于或等于衬底的物理长度的长度(例如,在许多实例中,等于或大于约200毫米、300毫米或450毫米)。在各个实施例中,注射头具有比衬底长度长介于约1至10厘米之间的长度。注射头可具有的宽度为约1至15厘米之间,例如为约2到5厘米之间。注射头的扫描可以使用线性致动器来完成,这样的运动在示于图20A,或使用一个或多个枢转点来完成。衬底可能会或可能不会在注射头下转动。在某些实施例中,注射头的宽度可以变化,以补偿扫描速度或例如在单一的枢轴头配置中(例如,头部的远端部分可能比近端分厚,近端部分被置于更靠近枢转点处)可能发生的其它变化。附加枢轴点也可以使用。在两个枢轴头的情况下,注射头可以在整个衬底上被线性扫描。如图20B所示,衬底保持架可以被构造成使得衬底的表面和衬底保持架的表面在衬底存在时是彼此基本同高的。这种构造最小化了或消除了在注射头被置于至少部分地离开衬底时从注射头流出的气体会逃逸进入常规的衬底处理区的风险。
可以使用任何数量的独立的压降区/抽吸区。虽然图18中示出了两个压降区,但在一些实施例中,只有单一的压降区被使用。在其它实施例中,两个或更多的压降区被使用,例如三个或更多的压降区。在一些实施例中,多达约5个压降区被使用。衬底处理区和局部高压区不被认为是压降区。在通常情况下,任何压降区将位于靠近局部高压区或另一压降区处,并且将具有用于除去过量反应物的真空连接。压降区起作用以顺序地减少在相邻区之间的压强。
用于在离子束蚀刻的背景下在局部高压下传送反应物的注射头的设计和使用在美国专利申请No.14/458161中作了进一步讨论和说明,其通过如上引用将其并入。
G.系统控制器
本文中所描述的方法可以通过任何合适的装置来进行。合适的设备包括用于完成处理操作的硬件和具有用于控制根据本发明的工艺操作的指令的系统控制器。例如,在一些实施例中,硬件可以包括包含在处理工具的一个或多个处理站。至少一个处理站可以是用于蚀刻衬底的反应室,如本文所述。该反应室可以包括图2中所示的基本部件,以及本文中所描述的另外的部件。在不同的组合中,这种另外的部件可以包括:具有相比于其它电极的孔偏离中心的孔的一个或多个电极,具有是中空的阴极发射极的孔的电极,用于产生一个或多个磁场的线圈,用于产生靠近离子源的电场的机制,用于以局部高压输送反应物的注射头,具有特定指令的控制器等。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、电极偏置设置、电流和磁场设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器、定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数的指令。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括局域网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该用户界面然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
不希望限制,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置或装载口搬运晶片的容器的材料搬运中使用的工具。
III.实验
图11A和11B示出了与某些蚀刻工艺有关的模拟结果。在图11A中所示的特征是使用利用离子束4°发散(即低发散)、10°倾斜(例如,通过倾斜衬底保持架实现)和旋转的离子束蚀刻工艺建模的。在图11B中所示的特征是使用利用离子束10°发散(即中度发散)、在蚀刻期间没有衬底倾斜或旋转的离子束蚀刻工艺建模的。值得注意的是,这些特征都蚀刻为非常相似的外形。这些结果表明,发散束可以用来蚀刻特征,而无需在蚀刻期间转动或倾斜衬底。
上述各种装置和方法实施例可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。
膜的光刻图案化通常包括一些或所有的以下步骤,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂,衬底上形成有氮化硅膜;(2)使用热板或加热炉或其他合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干的或等离子体辅助蚀刻工具将抗蚀剂图案转移到下伏的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施例中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
应当理解的是,本文所述的配置和/或方法本质上是示例性的,并且这些具体实施例或示例不应被认为是限制性的,因为许多变化是可能的。本文中所描述的具体例程或方法可表示任何数量的处理策略中的一种或多种。因此,如图所示的各种操作可以按图示的顺序、以其它顺序、并行、或在某些情况下省略执行。类似地,上述过程的顺序可以改变。
本公开的内容主题包括各种处理、系统和配置以及其它特征、功能、操作和/或本文公开的性质以及任何和所有的等同物的所有新颖的和非显而易见的组合和子组合。

Claims (24)

1.一种用于蚀刻半导体衬底上的材料的离子束蚀刻装置,所述装置包括:
反应室;
在所述反应室中的衬底支撑件;
离子源,其包括:
用于生成和/或维持等离子体的等离子体区,和
被置于所述等离子体区附近的离子提取器,所述离子提取器包括:
第一电极、第二电极和任选的第三电极,所述第一电极、第二电极和第三电极中的每一个包含多个孔,和
构造成提供偏置电势到所述第一电极、第二电极和第三电极中的一个或多个的电源,
其中所述离子提取器被配置为生成从所述第一电极、第二电极和第三电极中的多个孔发出的多个离子束;和
具有用于以下操作的指令的控制器:
(a)生成所述离子束,使得所述离子束轨迹偏转到相对于所述离子提取器的最下部电极成非垂直角度,所述最下部电极是所述第一电极、第二电极和第三电极中的一个,和
(b)改变所述离子束轨迹,使得所述离子束在蚀刻期间相对于衬底的方位移动。
2.如权利要求1所述的装置,其中所述第三电极是偏心孔电极,在所述第三电极中的孔相对于在第一电极和第二电极中的孔偏移。
3.如权利要求2所述的装置,其中(a)中的指令包括施加偏置到所述偏心孔电极从而使所述离子束从所述最下部电极中的多个孔以非垂直的角度发出。
4.如权利要求3所述的装置,其中,(b)中的指令包含在蚀刻期间改变施加到所述偏心孔电极的偏置,从而相对于所述离子源和衬底表面的方位移动所述离子束。
5.如权利要求3所述的装置,其中,(b)中的指令包含在蚀刻期间机械地移动所述第三电极,从而相对于所述离子源和衬底表面的方位移动所述离子束。
6.如权利要求3所述的装置,还包括被置于所述反应室外面以在所述离子源和所述衬底支撑件之间的区中生成磁场的一个或多个电磁线圈,其中,(b)中的指令包含用以改变所述磁场的强度从而相对于所述离子源和衬底表面的方位移动所述离子束的指令。
7.如权利要求3所述的装置,其中在所述第三电极中的孔相对于在所述第一电极和第二电极中的孔在第一方向上偏移,还包括第四电极,该第四电极是具有相对于在所述第一电极和第二电极中的孔在第二方向上偏移的孔的偏心孔电极,其中所述第一方向与所述第二方向不同。
8.如权利要求1所述的装置,其中所述离子提取器还包括在最下部电极的下方提供的多组偏转板,其中(a)中的指令包括在每一组偏转板的偏转板之间生成电场,并且其中所述离子束通过所述电场行进。
9.如权利要求8所述的装置,其中,(b)中的指令包含用以改变在所述每一组偏转板的偏转板之间的所述电场的强度从而相对于所述离子源和衬底表面的方位移动离子束的指令。
10.如权利要求8所述的装置,其中,所述偏转板被设置成一个或多个阵列的平行导电条。
11.如权利要求10所述的装置,其中,所述偏转板被设置成第一阵列的平行导电条和第二阵列的平行导电条,所述第一阵列和第二阵列被定位成彼此垂直。
12.如权利要求8所述的装置,其中,所述偏转板被设置成为至少部分地置于绝缘板中的孔内的导电板。
13.如权利要求8所述的装置,还包括被置于所述反应室外面以在所述离子源和所述衬底支撑件之间生成磁场的一个或多个电磁线圈,其中,(b)中的指令包含用以改变在所述离子源和所述衬底支撑件之间的所述磁场的强度从而改变在所述离子源和所述衬底支撑件之间的区中的所述离子束轨迹的指令。
14.如权利要求1所述的装置,还包括被置于所述反应室外面以生成邻近所述离子源的第一磁场的一个或多个电磁线圈,其中,(a)中的指令包括生成第一磁场以使所述离子束偏转。
15.如权利要求14所述的装置,其中,(b)中的指令包括改变所述第一磁场的强度从而相对于所述离子源和衬底表面的方位移动所述离子束。
16.如权利要求14所述的装置,还包括被置于所述反应室外面以生成在所述离子源和所述衬底支撑件之间的第二磁场的一个或多个另外的电磁线圈,其中,(b)中的指令包括用以改变所述第二磁场的强度从而相对于所述离子源和衬底表面的方位移动所述离子束的指令。
17.如权利要求1-16中任一项所述的装置,其中所述衬底支撑件没有被配置为在蚀刻期间使所述衬底倾斜。
18.如权利要求1-16中任一项所述的装置,其中所述衬底支撑件没有被配置为在蚀刻期间旋转所述衬底。
19.如权利要求1-16中任一项所述的装置,还包括一个中空的阴极发射极电极。
20.如权利要求1-16中任一项所述的装置,还包括用于在局部高压下向所述反应室中的所述衬底提供反应物的注射头,其中,所述控制器还包括维持所述反应室低于加工压强的指令,其中所述局部高压比所述加工压强高至少约10倍。
21.如权利要求1-16中任一项所述的装置,其中从所述最下部电极的孔发出的离子束彼此平行地发出。
22.一种用于在半导体衬底上蚀刻材料的方法,该方法包括:
(a)生成等离子体;
(b)使用包括至少两个电极的离子源从所述等离子体生成离子束,其中每一个电极具有多个孔,所述离子束具有被偏转到相对于所述离子源的最下部电极成非垂直角度的离子束轨迹,以及
(c)改变所述离子束轨迹,使得所述离子束在蚀刻期间相对于所述离子源的方位移动。
23.如权利要求22所述的方法,其中所述衬底在蚀刻期间不旋转。
24.如权利要求22或23所述的方法,其中所述衬底在蚀刻期间不倾斜。
CN201510546899.1A 2014-08-29 2015-08-31 不需要晶片倾斜或旋转的离子束蚀刻 Active CN105390419B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/473,863 2014-08-29
US14/473,863 US9406535B2 (en) 2014-08-29 2014-08-29 Ion injector and lens system for ion beam milling
US14/592,820 2015-01-08
US14/592,820 US10825652B2 (en) 2014-08-29 2015-01-08 Ion beam etch without need for wafer tilt or rotation

Publications (2)

Publication Number Publication Date
CN105390419A true CN105390419A (zh) 2016-03-09
CN105390419B CN105390419B (zh) 2019-09-10

Family

ID=55403317

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510546899.1A Active CN105390419B (zh) 2014-08-29 2015-08-31 不需要晶片倾斜或旋转的离子束蚀刻

Country Status (4)

Country Link
US (2) US10825652B2 (zh)
KR (1) KR20160026776A (zh)
CN (1) CN105390419B (zh)
TW (2) TWI713111B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108232002A (zh) * 2016-12-14 2018-06-29 上海磁宇信息科技有限公司 一种制备磁性隧道结阵列的方法
TWI658489B (zh) * 2017-09-14 2019-05-01 南韓商吉佳藍科技股份有限公司 包括能夠旋轉之靜電吸盤之電漿基板處理裝置及利用其之基板處理方法
CN110416116A (zh) * 2018-04-27 2019-11-05 东京毅力科创株式会社 蚀刻装置和蚀刻方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP6122169B1 (ja) * 2016-03-15 2017-04-26 株式会社東芝 処理装置およびコリメータ
US10381231B2 (en) 2016-06-21 2019-08-13 Veeco Instruments Inc. Ion beam etching
US10193066B2 (en) * 2017-06-30 2019-01-29 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for anisotropic substrate etching
KR102491093B1 (ko) 2017-08-21 2023-01-20 삼성전자주식회사 패턴 형성 방법
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
WO2019143474A1 (en) * 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
KR102595297B1 (ko) * 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10325752B1 (en) * 2018-03-27 2019-06-18 Varian Semiconductor Equipment Associates, Inc. Performance extraction set
US11227741B2 (en) 2018-05-03 2022-01-18 Plasma-Therm Nes Llc Scanning ion beam etch
US10535522B1 (en) * 2018-08-21 2020-01-14 Varian Semiconductor Equipment Associates, Inc. Angular control of ion beam for vertical surface treatment
US10468226B1 (en) * 2018-09-21 2019-11-05 Varian Semiconductor Equipment Associates, Inc. Extraction apparatus and system for high throughput ion beam processing
US11195703B2 (en) * 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
JP7494179B2 (ja) * 2018-12-17 2024-06-03 アプライド マテリアルズ インコーポレイテッド 傾斜回折格子のローリングkベクトルの調整
US11715621B2 (en) * 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
CN113242989A (zh) * 2018-12-17 2021-08-10 应用材料公司 使用离子束源的光学设备制造方法
JP2022522419A (ja) 2019-02-28 2022-04-19 ラム リサーチ コーポレーション 側壁洗浄によるイオンビームエッチング
US11081643B1 (en) 2020-01-21 2021-08-03 International Business Machines Corporation Bevel metal removal using ion beam etch
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
KR20220076976A (ko) * 2020-12-01 2022-06-08 삼성전자주식회사 웨이퍼 처리 장치
CN115910733B (zh) * 2021-08-17 2024-08-23 北京北方华创微电子装备有限公司 半导体工艺设备
US20230240061A1 (en) * 2022-01-24 2023-07-27 Nanya Technology Corporation Method of manufacturing memory device having memory cell with reduced protrusion

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4873445A (en) * 1985-04-29 1989-10-10 Centre National De La Recherche Scientifique Source of ions of the triode type with a single high frequency exitation ionization chamber and magnetic confinement of the multipole type
US20030168588A1 (en) * 2002-03-05 2003-09-11 Sumitomo Eaton Nova Corporation Ion beam mass separation filter, mass separation method thereof and ion source using the same
US20070068624A1 (en) * 2005-09-28 2007-03-29 Jeon Yun-Kwang Apparatus to treat a substrate and method thereof
US20070181820A1 (en) * 2006-02-07 2007-08-09 Samsung Electronics Co. Ltd. Apparatus and method for controlling ion beam
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080179186A1 (en) * 2007-01-17 2008-07-31 Kazuhiro Shimura Thin film forming apparatus
US20110100954A1 (en) * 2009-11-05 2011-05-05 Hitachi High-Technologies Corporation Plasma etching method and plasma etching apparatus
KR20110097193A (ko) * 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
CN103154309A (zh) * 2010-10-05 2013-06-12 威科仪器有限公司 离子束分布

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US3969646A (en) 1975-02-10 1976-07-13 Ion Tech, Inc. Electron-bombardment ion source including segmented anode of electrically conductive, magnetic material
JPS5223467A (en) 1975-08-14 1977-02-22 Matsushita Electric Ind Co Ltd Heat sensitive controlling device for use in cooking equipment
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5675606A (en) 1995-03-20 1997-10-07 The United States Of America As Represented By The United States Department Of Energy Solenoid and monocusp ion source
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
EP1098360A4 (en) 1998-06-15 2004-09-15 Nikon Corp POSITION DETECTING METHOD, POSITION SENSOR, EXPOSURE METHOD, EXPOSURE DEVICE AND METHOD FOR THEIR PRODUCTION AND DEVICE MANUFACTURING METHOD
US6515426B1 (en) 1998-12-15 2003-02-04 Hitachi, Ltd. Ion beam processing apparatus and method of operating ion source therefor
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR100382720B1 (ko) 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
KR100412953B1 (ko) 2001-11-26 2003-12-31 학교법인 성균관대학 중성빔을 이용한 식각장치
JP3906686B2 (ja) 2001-12-28 2007-04-18 三菱電機株式会社 多重グリッド光学システム及びその製造方法及びイオンスラスタ
US6846726B2 (en) 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6911660B2 (en) 2002-10-02 2005-06-28 Varian Semiconductor Equipment Associates, Inc. Method of measuring ion beam angles
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4233348B2 (ja) 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
WO2004107825A1 (ja) 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
US7123454B2 (en) 2003-06-12 2006-10-17 Headway Technologies, Inc. Longitudinal bias structure having stability with minimal effect on output
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
US6992284B2 (en) 2003-10-20 2006-01-31 Ionwerks, Inc. Ion mobility TOF/MALDI/MS using drift cell alternating high and low electrical field regions
US7495241B2 (en) 2004-02-26 2009-02-24 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
JP2009531535A (ja) 2006-03-03 2009-09-03 ガードギール,プラサード 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7935942B2 (en) 2006-08-15 2011-05-03 Varian Semiconductor Equipment Associates, Inc. Technique for low-temperature ion implantation
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
GB2451480B (en) * 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
KR100895630B1 (ko) 2007-10-01 2009-05-06 박흥균 전자빔 방출장치
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
TWI520660B (zh) 2009-04-03 2016-02-01 瓦里安半導體設備公司 保形沈積的方法以及在工件中蝕刻三維特徵的方法
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
CN102379005B (zh) 2009-04-13 2016-08-24 应用材料公司 用离子和中性束注入改变膜的磁性
JP5174750B2 (ja) 2009-07-03 2013-04-03 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び荷電粒子線画像を安定に取得する方法
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5444044B2 (ja) 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012057251A (ja) 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
CA2811750C (en) 2010-08-23 2018-08-07 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
JP2014209406A (ja) 2011-07-20 2014-11-06 キヤノンアネルバ株式会社 イオンビーム発生装置、およびイオンビームプラズマ処理装置
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
US8613863B2 (en) 2011-11-29 2013-12-24 Intermolecular, Inc. Methods for selective etching of a multi-layer substrate
US8895323B2 (en) 2011-12-19 2014-11-25 Lam Research Corporation Method of forming a magnetoresistive random-access memory device
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9373775B2 (en) 2012-09-13 2016-06-21 Micron Technology, Inc. Methods of forming magnetic memory cells
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
TWI506680B (zh) 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
JP5432396B1 (ja) 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
US9564297B2 (en) * 2013-05-16 2017-02-07 Applied Materials, Inc. Electron beam plasma source with remote radical source
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9269542B2 (en) * 2013-11-01 2016-02-23 Varian Semiconductor Equipment Associates, Inc. Plasma cathode charged particle lithography system
US9543110B2 (en) * 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
WO2015136723A1 (en) 2014-03-11 2015-09-17 Yasuyuki Sonoda Magnetic memory and method of manufacturing magnetic memory
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
KR20160135044A (ko) 2015-05-15 2016-11-24 삼성전자주식회사 패턴 형성 방법, 이를 이용한 자기기억소자의 제조방법, 및 이를 이용하여 제조된 자기기억소자
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
KR102411080B1 (ko) 2015-09-02 2022-06-21 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 자기 메모리 장치의 제조 방법
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180233662A1 (en) 2017-02-14 2018-08-16 Lam Research Corporation Systems and methods for patterning of high density standalone mram devices
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4873445A (en) * 1985-04-29 1989-10-10 Centre National De La Recherche Scientifique Source of ions of the triode type with a single high frequency exitation ionization chamber and magnetic confinement of the multipole type
US20030168588A1 (en) * 2002-03-05 2003-09-11 Sumitomo Eaton Nova Corporation Ion beam mass separation filter, mass separation method thereof and ion source using the same
US20070068624A1 (en) * 2005-09-28 2007-03-29 Jeon Yun-Kwang Apparatus to treat a substrate and method thereof
US20070181820A1 (en) * 2006-02-07 2007-08-09 Samsung Electronics Co. Ltd. Apparatus and method for controlling ion beam
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080179186A1 (en) * 2007-01-17 2008-07-31 Kazuhiro Shimura Thin film forming apparatus
US20110100954A1 (en) * 2009-11-05 2011-05-05 Hitachi High-Technologies Corporation Plasma etching method and plasma etching apparatus
KR20110097193A (ko) * 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
CN103154309A (zh) * 2010-10-05 2013-06-12 威科仪器有限公司 离子束分布

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108232002A (zh) * 2016-12-14 2018-06-29 上海磁宇信息科技有限公司 一种制备磁性隧道结阵列的方法
TWI658489B (zh) * 2017-09-14 2019-05-01 南韓商吉佳藍科技股份有限公司 包括能夠旋轉之靜電吸盤之電漿基板處理裝置及利用其之基板處理方法
CN110416116A (zh) * 2018-04-27 2019-11-05 东京毅力科创株式会社 蚀刻装置和蚀刻方法
CN110416116B (zh) * 2018-04-27 2024-03-29 东京毅力科创株式会社 蚀刻装置和蚀刻方法

Also Published As

Publication number Publication date
TWI713111B (zh) 2020-12-11
CN105390419B (zh) 2019-09-10
US10825652B2 (en) 2020-11-03
US20190237298A1 (en) 2019-08-01
TW202013504A (zh) 2020-04-01
US10998167B2 (en) 2021-05-04
TW201624560A (zh) 2016-07-01
TWI685032B (zh) 2020-02-11
KR20160026776A (ko) 2016-03-09
US20160064232A1 (en) 2016-03-03

Similar Documents

Publication Publication Date Title
CN105390419A (zh) 不需要晶片倾斜或旋转的离子束蚀刻
US11062920B2 (en) Ion injector and lens system for ion beam milling
US11289306B2 (en) Ion beam etching utilizing cryogenic wafer temperatures
TWI687962B (zh) 使用離子束蝕刻以產生環繞式閘極結構
US20010045525A1 (en) Shaped and low density focused ion beams
US11361935B2 (en) Apparatus and system including high angle extraction optics
TW201705187A (zh) 電漿處理設備
US9697988B2 (en) Ion implantation system and process
JP6406734B2 (ja) Gcibノズルアセンブリ
JPH07169746A (ja) 低エネルギー中性粒子線を用いた微細加工装置
JP2018522135A (ja) 多層堆積装置及び方法
Vakil Asadollahei et al. Investigation of the electrostatic focusing of beams of electrosprayed nanodroplets for microfabrication applications
Ji Plasma ion sources and ion beam technology in microfabrications

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant