TW201526087A - 具有金屬閘極之半導體結構及其製造方法 - Google Patents

具有金屬閘極之半導體結構及其製造方法 Download PDF

Info

Publication number
TW201526087A
TW201526087A TW103116788A TW103116788A TW201526087A TW 201526087 A TW201526087 A TW 201526087A TW 103116788 A TW103116788 A TW 103116788A TW 103116788 A TW103116788 A TW 103116788A TW 201526087 A TW201526087 A TW 201526087A
Authority
TW
Taiwan
Prior art keywords
layer
grain boundary
metal gate
forming
metal
Prior art date
Application number
TW103116788A
Other languages
English (en)
Other versions
TWI534873B (zh
Inventor
Chung-Liang Cheng
Yen-Yu Chen
Wei-Jen Chen
Chang-Sheng Lee
Wei Zhang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201526087A publication Critical patent/TW201526087A/zh
Application granted granted Critical
Publication of TWI534873B publication Critical patent/TWI534873B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種用於製造一金屬閘極結構之方法,其包括:在一閘極渠溝中形成一高介電常數(k)介電層;在該高k介電層上形成一蝕刻停止層(etch stop);藉由以一原子層沈積(ALD)操作於該蝕刻停止層上形成具有一三合層結構的一功函數調整層,該三合層依序具有一晶界操控層、一摻雜層、及一封蓋層,該晶界操控層用以讓一摻雜原子穿透其本身,該摻雜層用以將該摻雜原子提供給該晶界操控層,且該封蓋層用以防止該摻雜層氧化;以及填入金屬以填平該閘極渠溝。該晶界操控層係藉由ALD操作在各種溫度(例如自約攝氏200度至約350度)下製備。

Description

具有金屬閘極之半導體結構及其製造方法
半導體積體電路(IC)產業已經歷了快速的成長。在IC演進的過程中,功能密度(亦即每個晶片區域上互連元件之數量)已普遍增加,而幾何尺寸(亦即使用一製程可產生之最小組件(或線))卻逐漸降低。此等縮小尺寸(scaling down)製程一般而言可提供增加生產效率及降低相關成本之優點。此縮小尺寸亦增加了處理及製造IC之複雜度,為實現此等進展,IC處理及製造需要相似的發展。隨著電晶體尺寸之減小,閘極氧化物之厚度必須減低,以在減少閘極長度下維持性能。然而,為了降低閘極漏電流,使用高介電常數(高k)閘極絕緣層,其可有較高之實體厚度,同時維持與用於較大技術節點(technology node)中之一典型閘極氧化物相同之等效電容。
此外,隨著技術節點縮小,在一些IC設計中,期望以一金屬閘極(MG)電極取代典型的多晶矽閘極電極,以改進具有所期望之特徵尺寸之元件的性能。形成MG電極之一種製程稱為「後閘極」(gate last)製程,其相對於稱為「先閘極」(gate first)之另一MG電極形成製程。「後閘極」製程可讓後續製程數量減少,該後續製程包含在形成閘極之後施行的高溫製程。
因此,期望有一種方法及半導體裝置,其提供經不同地組態之金屬閘極結構,以用於形成於一基板上之N型金屬氧化物半導體 (NMOS)及P型金屬氧化物半導體(PMOS)電晶體。
100‧‧‧閘極
101‧‧‧半導體基板
103‧‧‧高k介電層
104A‧‧‧蝕刻停止/阻障層
104B‧‧‧P型功函數層
105‧‧‧功函數調整層
105a‧‧‧晶界操控層
105b‧‧‧摻雜層
105c‧‧‧封蓋層
106‧‧‧閘極渠溝
107‧‧‧金屬層
108‧‧‧源極/汲極
109‧‧‧層間介電質
110‧‧‧間隔物
113‧‧‧氮化物層
120‧‧‧旋塗式玻璃
200‧‧‧金屬閘極FinFET結構
201‧‧‧基板
203‧‧‧半導體鰭
203A‧‧‧上表面
203B‧‧‧側壁
205‧‧‧淺渠溝隔離物
207‧‧‧層間介電質
209‧‧‧金屬閘極
1100‧‧‧半導體晶圓製造系統
1100A‧‧‧主體
1100B‧‧‧主體
1101‧‧‧腔室
1102‧‧‧承載埠
1103‧‧‧腔室
1104‧‧‧機器手臂
1105‧‧‧腔室
1107‧‧‧腔室
1108‧‧‧機器手臂
1109‧‧‧腔室
1110A‧‧‧冷卻腔室
1110B‧‧‧冷卻腔室
1115‧‧‧腔室
1117‧‧‧腔室
1113A‧‧‧承載鎖定腔室
1113B‧‧‧承載鎖定腔室
1201‧‧‧半導體鰭
1203‧‧‧犧牲介電層
1205‧‧‧假閘極
1205c‧‧‧封蓋層
1206‧‧‧硬光罩層
1209‧‧‧層間介電質
1210‧‧‧側壁間隔物
1213‧‧‧氮化物層
本揭露之各方面可由下列詳細描述參照相應圖示以獲得最佳之理解。應強調,根據業界之標準實務,各種特徵並無按照比例繪製。事實上,各種特徵之尺寸可任意放大或縮小,以達到清楚的說明效果。
圖1為根據本揭露之某些實施例中之一半導體結構之一金屬閘極之一截面圖;圖2為根據本揭露之某些實施例中之具有一金屬閘極結構之一鰭式場效電晶體(FinFET)之一透視圖;圖3A為根據本揭露之某些實施例之圖2所示之該FinFET結構之一金屬閘極之一截面圖;圖3B為根據本揭露之某些實施例之圖2所示之該FinFET結構之一金屬閘極之一截面圖;圖4A為根據本揭露之某些實施例之一功函數調整層中之一三合層之一放大截面圖;圖4B為顯示根據本揭露之某些實施例之一晶界操控層之性質之一示意圖;圖5A為根據本揭露之某些實施例之一功函數調整層中之一三合層之一放大截面圖;圖5B為顯示根據本揭露之某些實施例之一晶界操控層之性質之一示意圖;圖6A、7A、8A、9A、10A為根據本揭露之某些實施例之一NFET結構中之一金屬閘極之製造方法之操作;圖6B、7B、8B、9B、10B為根據本揭露之某些實施例之一PFET結構中之一金屬閘極之製造方法之操作; 圖11為根據本揭露之某些實施例之一具有多個成長腔室之半導體晶圓製造工具;及圖12至圖17為根據本揭露之某些實施例之用於一金屬閘極之一後閘極製造方法之操作。
在以下之詳細描述中,各種特定細節之陳述係為了提供本發明之完整的理解。然而,熟習該項技藝者可瞭解本發明可在不具有此等細節之情況下實行。在其他情況下,並未詳細描述廣為所知之方法、程序、組件和電路,以免模糊本發明。需理解,以下揭示提供用於實施各實施例之不同特徵之不同實施例及例子。以下描述組件及配置之特定例子,以簡化本揭示。此等特定例子當然僅為示例,而非欲作為限制。
以下詳細論述該等實施例之達成及使用。然而,應瞭解,本發明提供許多可應用之發明概念,其可以各式各樣特定文字呈現。所論述之該等特定實施例僅用以說明達成及使用本發明之特定方式,而並不限定本發明之範疇。
鋁植入係用以降低接近於一電晶體之一通道區域的一金屬閘極(MG)堆疊之平帶電壓(VFB)。金屬元素,例如鋁,已被用作一重要的工具,用以調整N型電晶體之臨限電壓,賦予其吸引在N型電晶體之通道區域中之負載子之能力,並藉以降低臨限電壓。然而,伴隨著裝置技術節點之縮小,原子層沈積(ALD)被採用為直接沈積含有該等金屬元素之導電薄膜之手段。
在ALD操作之期間,鋁泡(aluminum blister)缺陷可能造成至少兩個問題:(1)過量的鋁前驅物摻雜,例如太多的二甲基氫化鋁(DMAH)及/或丙胺醯雙羥甲基聚氰胺(DMEAA),會誘導前驅物之自反應且形成鋁聚結;及(2)一自由電子缺乏底層(free electron-deficient underlayer),其減低前驅物之吸附度。自由電子缺乏底層可為一氧化物層,其在一非含鋁層之成長期間藉由阻礙真空狀態(亦即破真空)而形成。此氧化物層不僅只缺乏自由電子,亦阻止來自上覆層之鋁離子之擴散通道。當金屬離子無法到達接近電晶體之通道區域之位置時,臨限電壓調控能力將因此受限。
在本揭示之某些實施例中,在形成MG堆疊之過程中導入一三合層結構及一原位ALD(in-situ ALD)操作。更特定而言,此處描述之三合層結構作為一功函數調整層或一N型功函數金屬層,而原位ALD操作提供在沈積過程中不需破真空之一ALD操作流程。
此處描述之三合層結構係指(由下到上)一晶界操控層(Grain Boundary Engineering Layer,縮寫為GBEL)、一含鋁摻雜層、及一可選用之封蓋層。
在某些實施例中,GBEL可讓一摻雜原子經由擴散通道(例如晶界)而穿過其中。摻雜層位於GBEL之上且提供一摻雜源予GBEL。可選用之封蓋層位於摻雜層之上,藉由一原位(in-situ)封蓋方式避免摻雜層被氧化。藉由控制GBEL中之摻雜物穿透程度,可調整電晶體之臨限電壓。並且,藉由以一原位方式進行三合層之成長而不破真空,該三合層中不會形成氧化物層。
本揭示之某些實施例提供一三合層結構及一原位ALD操作,其可使電晶體臨限電壓之調控不僅取決於前驅物之摻雜,而亦可透過GBEL之摻雜物穿透程度而調整。由於使用原位薄膜成長而不具有氧化物層之形成,可以降低MG堆疊中的鋁泡缺陷。
本揭示之某些實施例提供一種金屬閘極MOSFET(MG-MOSFET)。該MG-MOSFET包括一三合層結構且係以本文所述之原位方式而不破真空的方式製造。本揭示之其他實施例提供一種金屬閘極FinFET(MG-FinFET)。該MG-FinFET包括一三合層結構且係以本文所 述之原位方式而不破真空的方式製造。
本揭示之某些實施例提供一種用於製造包括一三合層結構之一MG-FinFET的一NFET及一PFET之方法。本揭示之其他實施例提供一種使用一「後閘極」操作之用於製造包括一三合層結構之一MG-FinFET的方法。
圖1顯示具有一三合層結構的一閘極100之一截面圖。在某些實施例中,具有該閘極100之一半導體裝置為一NMOS。一三合層結構或一功函數調整層105以及填入由該功函數調整層105所形成之一渠溝之一金屬層107統稱為一金屬閘極堆疊(105,107)。圖1中,金屬閘極堆疊(105,107)係位於一半導體基板101之上,而一高k介電層103位於該半導體基板101及該金屬閘極堆疊(105,107)之底部之間。可選用之間隔物110位於該金屬閘極堆疊(105,107)之一側壁處,以便於後續之源極/汲極對準操作。高k介電層103位於該金屬閘極堆疊(105,107)及該可選用之間隔物110之間。
如圖1所示,金屬閘極堆疊(105,107)、高k介電層103、及可選用之間隔物110在一橫向方向中位在一層間介電質(ILD)109之間。圖1中,一源極或一汲極(S/D)108被定義於半導體基板101中,且該源極及該汲極之間的距離為一閘極長度Lg。在某些實施例中,一NMOS的閘極長度Lg為約16nm。在其他實施例中,一NMOS的閘極長度Lg小於16nm。
一三合層結構顯示於金屬閘極堆疊(105,107)之功函數調整層105中。在某些實施例中,三合層結構作為一N型功函數金屬層。在其他實施例中,三合層結構包括一晶界操控層(GBEL)105a、一摻雜層105b、及一封蓋層105c。GBEL係金屬閘極堆疊(105,107)之最外層,與高k介電層103交界。摻雜層105b保形呈現GBEL 105a之輪廓,且被包夾於GBEL 105a及封蓋層105c之間。
在本揭示之某些實施例中,此處所指之半導體基板101係一塊體(bulk)半導體基板,其上形成有各種層及裝置結構。在某些實施例中,塊體半導體基板包括矽或一化合物半導體,例如GaAs、InP、Si/Ge、或SiC。在半導體基板101上可形成各種層,例如介電層、摻雜層、多晶矽層或導電層。在半導體基板101上可形成各種裝置,例如電晶體、電阻器、及/或電容器,其可透過一內連接層被內連接至額外的積體電路。
在本揭示之某些實施例中,高k介電層103係由ALD、CVD、金屬有機CVD(MOCVD)、PVD、電漿強化CVD(PECVD)、電漿強化ALD(PEALD)、熱氧化、以上之組合、或其他適合的技術形成。某些實施例中,高k介電層103包括由約5Å至約30Å之厚度。高k介電層103包括一二元或三元(binary or ternary)高k薄膜,例如HfOx。某些實施例中,高k介電層103包括其他高k介電質,例如LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物或其他適合的材料。
在本揭示之某些實施例中,GBEL 105a包括具有由約10Å至約30Å之厚度之TiN或TaN層。GBEL 105a可讓自一摻雜層105b注入之摻雜物原子藉由擴散之方式穿過其本身。GBEL 105a可藉由各種沈積技術(例如ALD、PVD、PECVD或其他適合的技術)在約攝氏200度至約攝氏350度之基板溫度下形成。
在本揭示之某些實施例中,GBEL 105a之薄膜厚度係由薄膜之關閉狀態所決定。此處所使用之「關閉薄膜」用語係指藉由薄膜成長使其底層達到完全覆蓋狀態。舉例而言,當一ALD成長狀況引起一島狀成長機制時,可在約30個循環後獲得一關閉薄膜。某些實施例中,所獲得之關閉薄膜具有約15Å至約20Å之厚度。某些實施例中,GBEL 105a(同時亦為一關閉薄膜)具有一晶體結構,可在電子顯微鏡的觀察下識別晶界。某些實施例中,GBEL 105a(同時亦為一關閉薄膜)具有一非晶體結構,無法識別晶界。
在本揭示之某些實施例中,其中在GBEL 105a中識別一晶體結構,各種結晶度可藉由對GBEL 105a實施X光繞射加以識別。舉例而言,相較於成長於約攝氏225度之GBEL層,藉由一ALD操作在約攝氏275度之基板溫度成長之GBEL在一XRD 2-theta掃描中具有兩倍的(111)峰值強度。舉另一例而言,相較於成長於約攝氏225度之GBEL層,藉由一ALD操作在約攝氏325度之基板溫度成長之GBEL在一XRD 2-theta掃描中具有三倍的(111)峰值強度。其顯示相較於在約攝氏225度之較低溫度成長之GBEL,在約攝氏325度之較高溫度成長之GBEL具有較佳之結晶性。
在本揭示之某些實施例中,摻雜層105b包括TiAlx,其具有約20Å至約50Å之厚度。某些實施例中,使用具有鋁前驅物二甲基氫化鋁(DMAH)或丙胺醯雙羥甲基聚氰胺(DMEAA)之ALD操作以形成摻雜層105b。
參照圖1,摻雜層105b位於GBEL 105a之上部。摻雜層105b經組態以提供摻雜物(在某些實施例中為鋁離子)至GBEL 105a。相較於GBEL 105a之結晶性及晶界密度相對低之情況(例如GBEL在約攝氏225度以ALD方式成長),在GBEL 105a之結晶性及晶界密度相對高之情況下(例如GBEL在約攝氏325度以ALD方式成長),從摻雜層105b穿透至GBEL 105a之摻雜物的量較大。聚積在GBEL 105a處之摻雜物越多,電晶體之臨限電壓越低。換言之,可以調整GBEL 105a之微結構,以滿足不同的臨限電壓需求。
在本揭示之某些實施例中,封蓋層105c包括具有約10Å至約25Å之厚度的TiN或TaN。封蓋層105c係以一原位方式(亦即,不破真空)形 成於摻雜層105b之上部,防止摻雜層105b被氧化。封蓋層105c可由各種沈積技術形成,例如ALD、PVD、CVD、或其他適合的技術。某些實施例中,封蓋層105c係一可選用層,其可從本文所論述之三合層中去除。
在本揭示之某些實施例中,形成金屬層107以填入金屬閘極堆疊(105,107)之閘極渠溝之其餘部分中。金屬層107包括適合用於形成一金屬閘極或其部分的任何金屬材料,例如WN、TaN或Ru。在某些實施例中,P金屬層包括多金屬層結構,例如TiN/W、WN及WCN。
在某些實施例中,除了功函數調整層105及高k介電層103之外,金屬閘極堆疊(105,107)進一步包括襯裡層(liner layer)、介面層、種子層、附著層、阻障層或其相等物。
在某些實施例中,ILD 109包括一介電材料。在某些實施例中,該介電材料包括矽氧化物、矽氮化物、矽氮氧化物、旋塗式玻璃(SOG)、氟化矽玻璃(FSG)、摻碳之矽氧化物(例如SiCOH)、黑鑽石(BLACK DIMOND®)(加州,聖克拉拉市,應用材料公司)、XEROGEL®、AEROGEL®、非晶氟化碳、聚對二甲苯(Parylene)、BCB(苯並環丁烯樹脂)、FLARE®、SILK®(密西根州,米德蘭市,陶氏化學公司)、聚亞醯氨、其他多孔性高分子材料、其他適合的介電材料、及/或其組合。在某些實施例中,ILD 109包括高密度電漿(HDP)介電材料(例如HDP氧化物)及/或高深寬比(HARP)介電材料(例如HARP氧化物)。應理解,ILD 109可包括一或多個介電材料及/或一或多個介電層。ILD 109係藉由化學機械研磨(CMP)加以平坦化,直到曝露金屬閘極堆疊(105,107)之一上部部分,如圖1所示。該CMP過程包括高選擇性,以提供金屬閘極堆疊(105,107)、間隔物110、及ILD 109之一實質平坦表面。在某些實施例中,該CMP過程具有低的凹陷(dishing)及/或侵蝕(erosion)效應。
參照圖2,其顯示一金屬閘極FinFET結構200之一透視圖。兩個半導體鰭203位於一基板201上且被淺渠溝隔離物(STI)205分開。半導體鰭203可由矽、矽鍺、鍺或其他適合的半導體材料形成。一金屬閘極209係在半導體鰭203之一上表面203A及一側壁203B之上。電晶體之一通道(未圖示)係沿著該半導體鰭之該上表面203A及該側壁203B定義,且延伸於半導體鰭203之一源極(S)及汲極(D)之間。如圖2所示,ILD 207係位在半導體鰭203之源極(S)及汲極(D)處之上表面203A及該側壁203B之上。
參照圖3A及圖3B,其顯示一MG FinFET結構的兩個截面圖。圖3A中所示之截面圖係由圖2之第一方向切割而來。該第一方向與半導體鰭203之縱軸平行且標註為「1」。圖3A及圖1、圖2中具有相同數字標號之元件表示相同的材料結構,為求簡潔,於此不再重述。圖3A中,金屬閘極堆疊(105,107)係位在半導體鰭203上。沿著半導體鰭203之上表面203A定義一通道長度Lg,且該通道長度Lg係延伸於半導體鰭203之一源極(S)及汲極(D)之間。在某些實施例中,該MG FinFET結構中的通道長度Lg約為16nm或小於16nm。金屬閘極堆疊(105,107)之高度H1係定義為由該金屬閘極之平整之上表面量測至半導體鰭203之上表面203A之長度。在某些實施例中,金屬閘極堆疊(105,107)之高度H1為約20nm至約110nm。在某些實施例中,金屬閘極堆疊(105,107)之深寬比約在約為1至約為10之範圍內。金屬閘極堆疊(105,107)之深寬比係指金屬閘極堆疊(105,107)之高度與由金屬閘極堆疊(105,107)所定義之通道長度Lg的一比值。深寬比越大,施加於金屬閘極堆疊(105,107)之成長的沈積控制越好。
圖3B中所示之截面圖係由圖2之第二方向切割而來。該第二方向與半導體鰭203之縱軸垂直且標註為「2」。圖3B及圖1、圖2中具有相同數字標號之元件表示相同的材料結構,為求簡潔,於此不再重述。 圖3B中,功函數調整層105及高k介電層103係保形地(conformally)沈積於半導體鰭203之上表面203A及側壁203B上。在圖3B之下部中,半導體鰭203被STI 205分隔開,而在圖3B之上部中,半導體鰭203被ILD 207分隔開。從沿圖3B所示之第二方向所切割之截面圖無法看見FinFET結構的通道長度。鰭高度H2定義為由半導體鰭203之上表面203A量測至STI 205之上表面的長度。在某些實施例中,鰭高度H2係在約5nm至約50nm之範圍內。FinFET結構之一間距P係指由圖3B所示之截面圖觀看之兩個相鄰之半導體鰭203之間的距離。在某些實施例中,兩個相鄰金屬閘極FinFET結構之間的間距P為約5nm至約20nm。在某些實施例中,FinFET結構之鰭高度H2與間距P之比值約在約為0.2至約為10之範圍內。H2與間距P的比值越大,施加於FinFET結構之金屬閘極之成長的沈積控制越好。
參照圖4A,其顯示所論述之功函數調整層105一放大圖。在某些實施例中,功函數調整層係一三合層結構,該三合層結構包括一非晶或低結晶性的GBEL 105a、一摻雜層105b、及一可選用之封蓋層105c。前述三合層結構之功能及其材料已記載於本揭示關於圖1之描述中,為求簡潔,於此不再重述。圖4B為一示意圖,其圖示摻雜物D從摻雜層105b穿透該非晶或低結晶性的GBEL 105a。如圖4B所示,分隔兩個相鄰晶粒105'之晶界為摻雜物D之主要擴散通道。
參照圖5A,其顯示所論述之功函數調整層105一放大圖。在某些實施例中,功函數調整層係一三合層結構,該三合層結構包括一高結晶性的GBEL 105a、一摻雜層105b、及一可選用之封蓋層105c。前述三合層結構之功能及其材料已記載於本揭示關於圖1之描述中,為求簡潔,於此不再重述。圖5B為一示意圖,其圖示摻雜物D從摻雜層105b穿透該高結晶性的GBEL 105a。如圖5B所示,分隔兩個相鄰晶粒105'之晶界為摻雜物D之主要擴散通道。
可由圖4A至圖5B看出,GBEL 105a之結晶性越高,晶界之密度亦越高,因而可使來自上覆層之摻雜物穿透GBEL 105a而位於接近電晶體之通道區域處。
在某些實施例中,圖6A至圖10B為顯示一種用於一金屬閘極MOSFET結構之製造方法的之操作。顯示於圖6A至圖10B中之該等操作提供一種控制金屬閘極電晶體結構之臨限電壓之方法,該方法藉由在不同溫度下形成GBEL 105a而調整GBEL 105a對GBEL 105a之上的摻雜層105b中摻雜原子的穿透程度,藉以控制金屬閘極電晶體結構之臨限電壓。圖6A、7A、8A、9A、10A為一種用於一NMOS結構中之一金屬閘極之製造方法之操作。圖3A及圖6A、7A、8A、9A、10A中具有相同數字標號之元件表示相同的材料結構,為求簡潔,於此不再重述。圖6A中,ILD 109、氮化物層113、間隔物110、高k介電層103、蝕刻停止/阻障層104A、及閘極渠溝106係根據當前技藝形成於一半導體基板101上。在形成間隔物110、氮化物層113及ILD 109之後,實施化學機械研磨(CMP)操作。閘極渠溝106係藉由移除不必要的(dummy)閘極材料(未圖示)而形成,此操作係指移除如本揭示之圖12至圖17所論述之不必要的閘極材料。在某些實施例中,在移除不必要的閘極材料之後形成高k介電層103及蝕刻停止/阻障層104A。圖6B中,相同的操作亦施行於圖6A所示之結構。
在某些實施例中,蝕刻停止/阻障層104A係形成於高k介電層103之上。在某些實施例中,蝕刻停止/阻障層104A包括具有約5Å至約30Å之厚度之TiN或TaN。蝕刻停止/阻障層104A可由各種沈積技術形成,例如ALD、PVD、CVD、PECVD、或其他適合的技術。
參照圖7A及圖7B,一P型功函數層104B係形成於NMOS(圖7A)及PMOS(圖7B)兩者中之蝕刻停止/阻障層104A之上。在某些實施例中,P型功函數層104B可藉由ALD、PVD、CVD、或其他適合的製程形 成。或者,P型功函數層104B包括在PMOS裝置中有適當表現的其他適合的材料,例如TiN、TaN或Ru。在某些實施例中,P型功函數層104B包括一多層結構,例如TiN/WN。
參照圖8A及圖8B,施行一蝕刻操作以移除圖7A中所形成之NMOS結構處之P型功函數層104B。在PMOS結構(圖8B)之閘極渠溝106之上形成一旋塗式玻璃(SOG)120,其作為一蝕刻遮罩,以防止P型功函數層104B在PMOS結構上被移除。在某些實施例中,在NMOS及PMOS結構之兩者上旋塗SOG 120,進一步在披蓋之SOG 102之上圖案化一光阻層,在NMOS結構上曝露出一區域。實施乾式蝕刻操作以移除未被光阻層覆蓋的SOG 102之部分。接著,藉由乾式蝕刻、濕式蝕刻、乾式蝕刻及濕式蝕刻之組合、或其他適合的製程移除曝露之P型功函數層104B。在移除P型功函數層104B之後,剝除光阻及SOG 120。
參照圖9A及圖9B,在NMOS結構(圖9A)上之蝕刻停止/阻障層104A之上及PMOS結構(圖9B)上之P型功函數層104B之上形成功函數調整層105。在某些實施例中,功函數調整層105包括由數個ALD操作所沈積之一三合層結構。在某些實施例中,該三合層結構105之GBEL 105a係在約攝氏225度之基板溫度下藉由一ALD操作所形成之一TaN薄膜。在其他實施例中,該三合層結構105之GBEL 105a係在約攝氏275度之基板溫度下藉由一ALD操作所形成之一TaN薄膜。在其他實施例中,該三合層結構105之GBEL 105a係在約攝氏325度之基板溫度下藉由一ALD操作所形成之一TaN薄膜。如前述圖4A至圖5B之論述,用於GBEL 105a成長之ALD操作中的成長溫度之選擇係取決於所期望的摻雜物穿透程度。在某些實施例中,GBEL 105a之厚度與GBEL 105a之「關閉」狀態相關。舉例而言,在某些實施例中,在約攝氏325度之基板溫度下實施30個ALD循環,以獲得一關閉薄膜。在某些實施例中, GBEL 105a滿足一「關閉」狀態之厚度為10Å至約25Å。
在形成GBEL 105a之後,在不破真空之情況下,將金屬閘極MOSFET結構轉移至同一系統內之另一成長腔室。由於在晶圓由一成長腔室轉移至另一成長腔室期間並未發生表面氧化,故在GBEL 105a之上不會發現氧化層。換言之,摻雜層105b及GBEL 105a之間並未形成氧化物層。在某些實施例中,用以形成三合層結構之系統為應用材料公司之Endura® cluster,如圖11所示。在某些實施例中,應用材料公司之Endura® cluster裝配有氯相容之主結構(chlorine comparable main frame),以防止由於ALD成長過程中氯之產生所造成之腔室腐蝕。用於三合層結構成長之轉移機制詳述於圖11中。
摻雜層105b形成於成長GBEL 105a之相同系統中,但形成於不同腔室。在某些實施例中,使用ALD操作以成長含有選自前驅物二甲基氫化鋁(DMAH)、丙胺醯雙羥甲基聚氰胺(DMEAA)或其兩者之含鋁的摻雜層105b。舉例而言,摻雜層105b之沈積溫度為約攝氏100度至約攝氏200度。
在形成摻雜層105b之後,在不破真空之情況下,將金屬閘極MOSFET結構轉移至同一系統內之另一成長腔室。封蓋層105c係三合層中之一可選用之層。在某些實施例中,封蓋層105c包括適合的金屬,例如TiN或TaN。封蓋層105c係在相同之系統中藉由原位ALD操作而形成,以防止含鋁之摻雜層105b之表面氧化。在某些實施例中,封蓋層105c之厚度取決於薄膜之「關閉」狀態。舉例而言,25至45個ALD循環足以獲得具有厚度為約5Å至約20Å之封蓋層105c。
參照圖10A及圖10B,將一金屬層107過量填入閘極渠溝106中。在某些實施例中,將包括W、WN、TaN、或Ru之單一金屬濺鍍於閘極渠溝106中,並接著進行CMP操作,以曝露三合層結構之封蓋層105c。在某些實施例中,金屬層107包括一多金屬層結構,例如 TaN、TiN、W、WN及WCN或其任何組合。
在其他實施例中,圖6A至圖10B為顯示一種用於由圖2所定義之第一方向觀看之一金屬閘極FinFET結構之製造方法的操作。該金屬閘極FinFET結構之製造操作相似於金屬閘極MOSFET結構的操作,為求簡潔,於此不再重述。在進行金屬閘極FinFET之成長中,以圖3A所示之半導體鰭203取代圖6A至圖10B所示之半導體基板101。
參照圖11,其顯示用以形成本揭示之某些實施例中之功函數調整層(亦即三合層結構)之一半導體晶圓製造系統1100。半導體晶圓製造系統1100的兩個主體(clusters)1100A及1100B中皆配置數個成長腔室。此處所述之三合層結構中之每一層可在與大氣環境無接觸的情況下於半導體晶圓製造系統1100內部形成。
兩個承載鎖定腔室1113A及1113B用以接收由一承載埠1102轉移而來的一晶圓。當晶圓於承載埠1102與承載鎖定腔室1113A及1113B之間轉移時,承載鎖定腔室1113A及1113B連通於等同承載埠1102之一壓力。當將晶圓由承載鎖定腔室1113A及1113B移動至系統1100中之腔室之一者中時,將承載鎖定腔室1113A及1113B抽氣至接近於主體1100A及1100B內部之真空位準之一特定的真空度。
主體1100A及1100B之每一者均具有至少一機械構件,例如一機器手臂1104或1108,其將駐留於經抽氣之承載鎖定腔室1113A及1113B中之晶圓轉移至成長腔室之一者。在某些實施例中,一腔室1101附接於主體1100B且承載用於TaN薄膜ALD成長之前驅物。舉例而言,該前驅物包括五(二甲胺基)鉭(PDMAT)及氨(NH3)氣。在某些實施例中,腔室1101中之成長溫度保持在約攝氏200度至約攝氏350度之範圍內。
在某些實施例中,兩個腔室1103及1105對稱地附接於主體1100B且承載用於TiAlx薄膜ALD成長之前驅物。舉例而言,該前驅物包括 二甲基氫化鋁(DMAH)或丙胺醯雙羥甲基聚氰胺(DMEAA)。在某些實施例中,腔室1103及1105中之成長溫度保持在約攝氏90度至約攝氏250度之範圍內。
在某些實施例中,兩個腔室1107及1109對稱地附接於主體1100A且承載用於TiN薄膜ALD成長之前驅物。舉例而言,該前驅物包括四氯化鈦(TiCl4)及氨(NH3)氣。在某些實施例中,腔室1107及1109中之成長溫度保持在約攝氏100度至約攝氏500度之範圍內。
在某些實施例中,兩個腔室1115及1117對稱地附接於主體1100A且承載用於其他適合的薄膜的成長之金屬靶材或前驅物。在某些實施例中,兩個腔室1115及1117承載鎢(W)或鈷(Co)靶材且導入氬氣,以成長填滿前述閘極渠溝106之金屬層107。冷卻腔室1110A及1110B可讓晶圓以適當的冷卻速率冷卻至薄膜成長間之一所期望溫度,而無須與周遭環境接觸。
每一晶圓皆根據一配方(recipe)被指派一連串之操作。在某些實施例中,首先透過機器手臂1108及1104將所述之三合層結構從承載腔室1113A及/或1113B轉移至主體1100B。將晶圓送至腔室1101中,以供GBEL 105a成長。如前於本揭示中所述,TaN GBEL 105a之ALD成長溫度可由約攝氏200度調整至約攝氏350度。在某些實施例中,在獲得一關閉的GBEL 105a之後,接著將晶圓由腔室1101轉移至腔室1103或腔室1105,以供摻雜層105b之成長。由於在GBEL 105a之成長與摻雜層105b之成長之間的轉移操作係在主體1100B內部進行,在GBEL 105a上並無大氣環境之接觸或氧之污染。新的GBEL 105a為前驅物DMAH或DMEAA提供充裕的自由電子供其表面吸附,以促進含鋁摻雜層105b之ALD成長反應。並且,GBEL 105a之上不存在氧化物層,可提供更開放的通道以供鋁由摻雜層105b擴散至GBEL 105a。
在形成摻雜層105b之後,將晶圓由腔室1103或1105轉移至主體 1100A中之腔室1107或1109。在某些實施例中,藉由ALD操作而在腔室1107或1109內部形成封蓋層105c(例如一TaN層)。並且,可將晶圓由腔室1107或1109轉移至腔室1115或1107,以在閘極渠溝106之內部充填金屬層107。在形成金屬層107之後,接著可將晶圓停駐至承載鎖定腔室1113A及1113B。將承載鎖定腔室1113A及1113B內部之真空位準提高至與承載埠1102相當(comparable)之一位準,而後將晶圓轉移至承載埠1102並取出以供後續之操作。
在形成閘極結構(例如閘極蝕刻或圖案化)之後,含有MOSFET或FinFET結構之晶圓經歷額外的CMOS處理,以形成習知的各種裝置特徵。在某些實施例中,該等各種特徵包括(但不限於)少量摻雜之源極/汲極區域(n型或p型LDD)、源極/汲極(S/D)區域、矽化物特徵、接觸蝕刻停止層(CESL)。應注意受應變之結構(例如矽鍺(SiGe)及碳化矽(SiC))特徵可能分別於P型及/或N型裝置中形成。
圖12至圖17顯示一種用於所述金屬閘極結構之「後閘極」製造方法之操作。圖12至圖17中所示之截面圖係沿著圖2所示之方向1之一剖面。圖12中,根據本揭示之某些實施例,半導體鰭1201形成於一半導體基板(未圖示)上。一犧牲介電層1203形成於半導體鰭1201上。在某些實施例中,犧牲介電層1203係可選用的。在某些實施例中,犧牲介電層1203包括藉由熱或化學氣相沈積形成之氧化物。在某些實施例中,犧牲介電層1203係形成於一單一晶圓腔室設備中。在某些實施例中,犧牲介電層1203係使用一批次模式形成於爐管中。
圖13中,在犧牲介電層1203之上形成一假閘極(dummy gate)1205。在某些實施例中,該假閘極係藉由物理氣相沈積、化學氣相沈積(CVD)或其他適合的沈積操作而形成。在某些實施例中,矽烷(SiH4)、二矽烷(Si2H6)或二氯矽烷(SiCl2H4)可用於CVD操作中,以形成假閘極1205。假閘極1205可包括約150Å至約2500Å之厚度。
參照圖13及圖14,在假閘極1205之上圖案化一硬光罩層1206,並將該圖案轉移至下方之假閘極1205及可選用之犧牲介電層1203。在某些實施例中,硬光罩層1206包括氮化矽、氮氧化矽、碳化矽及/或其他適合的介電材料,且可使用例如化學氣相沈積(CVD)或物理氣相沈積(PVD或濺鍍)之方法形成。硬光罩層1206包括約100Å至約400Å之厚度。在某些實施例中,在硬光罩層上形成一抗反射塗層(ARC),以強化用於圖案化一光阻層之光微影(photolighography)製程。舉例而言,可在硬光罩層1206上形成一圖案化之光阻層(未圖示)。而後藉由乾式蝕刻、濕式蝕刻或其組合將硬光罩層1206之圖案轉移至假閘極1205。
圖15中,形成側壁間隔物1210、氮化物層1213及一層間介電質(ILD)1209。可理解ILD 1209可包括一或多個介電材料及/或一或多個介電層。用於ILD 1209之材料已論述於前述之關於圖6A之內容,為求簡潔,於此不再贅述。在某些實施例中,藉由化學機械研磨(CMP)製程將ILD 1209平坦化,直到曝露假閘極1205之頂部部分,如圖15所示。
圖16中,實施一閘極取代製程。藉由乾式蝕刻、濕式蝕刻、乾式蝕刻及濕式蝕刻之組合、或其他適合之製程移除假閘極1205及犧牲介電層1203。在某些實施例中,以單一步驟之蝕刻製程或多步驟之蝕刻製程移除假閘極1205及犧牲介電層1203。舉例而言,使用一第一濕式蝕刻製程以移除假閘極1205。該第一濕式蝕刻製程可包括曝露於含有氫氧化物之溶液(例如氫氧化氨)、去離子水、及/或其他適合的蝕刻劑溶液。使用一第二濕式蝕刻製程以移除犧牲介電層1203。該第二濕式蝕刻製程可包括曝露於緩衝HF溶液或緩衝氧化物蝕刻劑(BOE)。該第二濕式蝕刻製程可選擇性地移除犧牲介電層1203並停止於半導體鰭1201,藉以在金屬閘極結構中形成一渠溝1206。可理解可使用其他蝕 刻化學物以選擇性地移除犧牲介電層1203及假閘極1205。
圖17中,如前述,在閘極渠溝1206之內部形成高k介電層1206及功函數調整層1205。將金屬層1207填入閘極渠溝1206之剩餘的空間內並實施CMP操作以使金屬閘極結構之上表面平整,直到曝露金屬層1207。如圖17所示,功函數調整層1205中之封蓋層1205c是可選用的。
在某些實施例中,金屬閘極結構係以下列操作製造:在一閘極渠溝中形成一高介電常數(k)介電層;在該高k介電層之上形成一蝕刻停止層(etch stop);在該蝕刻停止層之上形成具有一三合層結構的一功函數調整層,該三合層依序具有一晶界操控層、一摻雜層、及一封蓋層,該晶界操控層用以讓一摻雜物原子穿透其本身,該摻雜層用以將該摻雜物原子提供至該晶界操控層,且該封蓋層用以防止該摻雜層氧化;及填入一金屬以填平該閘極渠溝
在某些實施例中,在一金屬閘極製造操作中,形成依序具有一晶界操控層、一摻雜層、及一封蓋層的該三合層包含使用一原子層沈積(ALD)操作。
在某些實施例中,在一金屬閘極製造操作中,使用二甲基氫化鋁(DMAH)或丙胺醯雙羥甲基聚氰胺(DMEAA)作為以該ALD操作形成該摻雜層中之一前驅物。
在某些實施例中,在一金屬閘極製造操作中,形成該晶界操控層包含在自攝氏200度至約攝氏350度之一溫度範圍內實施一ALD操作。
在某些實施例中,在一金屬閘極製造操作中,形成該晶界操控層包含實施足夠之ALD循環以形成一關閉薄膜。
在某些實施例中,在真空下將該金屬閘極結構自形成該晶界操控層之一腔室轉移至形成該摻雜層之另一腔室。
在某些實施例中,該金屬閘極製造操作進一步包含在形成該功函數調整層之前形成一P型功函數層;及在形成該功函數調整層之前移除該P型功函數層。
在某些實施例中,該金屬閘極製造操作進一步包含定義一假閘極區域;及移除該假閘極區域之一部份以曝露該閘極渠溝。
在某些實施例中,一FinFET中之一金屬閘極結構係以下列操作製造:形成一鰭;在該鰭之上形成一假閘極及一層間介電質(ILD);移除該假閘極區域之一部份以曝露一閘極渠溝;及藉由形成一晶界操控層及在該晶界操控層之上之一摻雜層而在該閘極渠溝中形成一功函數調整層。
在某些實施例中,在一FinFET中之一金屬閘極結構製造操作中,形成該晶界操控層包含在從約攝氏200度至約攝氏350度之一溫度範圍內實施一ALD操作
在某些實施例中,形成該晶界操控層及該摻雜層包含藉由在真空下將該FinFET中之該金屬閘極結構自實施該晶界操控層之形成之一腔室轉移至實施該摻雜層之形成之另一腔室以防止該晶界操控層之表面氧化。
在某些實施例中,該FinFET中之該金屬閘極結構之一製造操作進一步包含在該摻雜層之上形成一封蓋層。
在某些實施例中,具有一金屬閘極之一半導體結構包含一高k介電層;一功函數調整層;及一金屬層。該功函數調整層包含一晶界操控層,其用以允許一摻雜物原子穿過其本身;該晶界操控層之上之一摻雜層,其用以提供該摻雜物原子至該晶界操控層;及該摻雜層之上之一封蓋層,其用以防止該摻雜層氧化。該功函數調整層係被包夾於該高k介電層及該金屬層之間。
在某些實施例中,具有一金屬閘極之該半導體結構係一鰭式場 效電晶體(FinFET)。
在某些實施例中,該金屬閘極之一閘極長度小於16nm。
在某些實施例中,該FinFET之該金屬閘極之一高度與一閘極長度之一深寬比(aspect ratio)係在約1至約10之一範圍內。
在某些實施例中,一鰭高度與相鄰的鰭之間的一間距之一深寬比係在約0.2至約10之一範圍內。
在某些實施例中,該FinFET之一金屬閘極結構中之該晶界操控層係一非晶關閉薄膜。
在某些實施例中,該FinFET之一金屬閘極結構中之該晶界操控層係一結晶關閉薄膜。
在某些實施例中,該FinFET之一金屬閘極結構中之該晶界操控層之一厚度係在約10Å至約30Å之一範圍內。
再者,本案之範疇並非欲以描述於說明書中之製程、機器、製造、物質組成、手段、方法及步驟加以限制。如熟習該項技藝者可容易地由本案之揭示得知,可根據本揭示而使用與本案所述之對應實施例執行實質相同功能或達成實質相同結果之現存或即將發展之製程、機器、製造、物質組成、手段、方法或步驟。
據此,所附之申請專利範圍意欲包括其範疇,例如製程、機器、製造、物質組成、手段、方法或步驟。並且,每一請求項建構一不同之實施例,且各請求項之組合及實施例均在本發明之範疇之內。
100‧‧‧閘極
101‧‧‧半導體基板
103‧‧‧高k介電層
105‧‧‧功函數調整層
105a‧‧‧晶界操控層
105b‧‧‧摻雜層
105c‧‧‧封蓋層
107‧‧‧金屬層
108‧‧‧源極/汲極
109‧‧‧層間介電質
110‧‧‧間隔物

Claims (10)

  1. 一種製造一金屬閘極結構之方法,其包含:在一閘極渠溝中形成一高介電常數(k)介電層;在該高k介電層之上形成一蝕刻停止層(etch stop);在該蝕刻停止層之上形成具有一三合層結構的一功函數調整層,該三合層依序具有一晶界操控層、一摻雜層、及一封蓋層,該晶界操控層用以讓一摻雜物原子穿透其本身,該摻雜層用以將該摻雜物原子提供至該晶界操控層,且該封蓋層用以防止該摻雜層氧化;及填入一金屬以填平該閘極渠溝。
  2. 如請求項1之製造一金屬閘極結構之方法,其中形成依序具有一晶界操控層、一摻雜層、及一封蓋層的該三合層包含使用一原子層沈積(ALD)操作。
  3. 如請求項1之製造一金屬閘極結構之方法,其中形成該晶界操控層包含在自攝氏200度至約攝氏350度之一溫度範圍內實施一ALD操作。
  4. 如請求項3之製造一金屬閘極結構之方法,其中形成該晶界操控層包含實施足夠之ALD循環以形成一關閉薄膜。
  5. 如請求項1之製造一金屬閘極結構之方法,其中在真空下將該金屬閘極結構自形成該晶界操控層之一腔室轉移至形成該摻雜層之另一腔室。
  6. 一種具有一金屬閘極之半導體結構,其包含:一高k介電層;一功函數調整層,其包含:一晶界操控層,其用以允許一摻雜物原子穿過其本身;該晶界操控層之上之一摻雜層,其用以提供該摻雜物原子至該 晶界操控層;及該摻雜層之上之一封蓋層,其用以防止該摻雜層氧化;及一金屬層,其中該功函數調整層係被包夾於該高k介電層及該金屬層之間。
  7. 如請求項6之半導體結構,其中具有一金屬閘極之該半導體結構係一鰭式場效電晶體(FinFET)。
  8. 如請求項7之半導體結構,其中該FinFET之該金屬閘極之一高度與一閘極長度之一深寬比(aspect ratio)係在約1至約10之一範圍內。
  9. 如請求項7之半導體結構,其中一鰭高度與相鄰的鰭之間的一間距之一深寬比係在約0.2至約10之一範圍內。
  10. 如請求項6之半導體結構,其中該晶界操控層之一厚度係在約10Å至約30Å之一範圍內。
TW103116788A 2013-12-18 2014-05-13 具有金屬閘極之半導體結構及其製造方法 TWI534873B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/132,901 US9362385B2 (en) 2013-12-18 2013-12-18 Method for tuning threshold voltage of semiconductor device with metal gate structure

Publications (2)

Publication Number Publication Date
TW201526087A true TW201526087A (zh) 2015-07-01
TWI534873B TWI534873B (zh) 2016-05-21

Family

ID=53369510

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103116788A TWI534873B (zh) 2013-12-18 2014-05-13 具有金屬閘極之半導體結構及其製造方法

Country Status (4)

Country Link
US (1) US9362385B2 (zh)
KR (1) KR101700484B1 (zh)
CN (1) CN104733298B (zh)
TW (1) TWI534873B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI595540B (zh) * 2015-10-28 2017-08-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US10157998B2 (en) 2016-09-30 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI792904B (zh) * 2021-06-17 2023-02-11 台灣積體電路製造股份有限公司 電晶體元件中之閘極結構之形成方法

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9425053B2 (en) * 2014-06-27 2016-08-23 International Business Machines Corporation Block mask litho on high aspect ratio topography with minimal semiconductor material damage
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9337197B1 (en) * 2014-10-28 2016-05-10 Globalfoundries Inc. Semiconductor structure having FinFET ultra thin body and methods of fabrication thereof
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102302073B1 (ko) * 2015-07-28 2021-09-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102443695B1 (ko) * 2015-08-25 2022-09-15 삼성전자주식회사 반도체 소자의 제조 방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102406947B1 (ko) 2015-10-08 2022-06-10 삼성전자주식회사 반도체 소자
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9673331B2 (en) 2015-11-02 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
CN107591365A (zh) * 2016-07-06 2018-01-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US9917210B1 (en) * 2016-10-20 2018-03-13 International Business Machines Corporation FinFET transistor gate and epitaxy formation
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10164066B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN107221513A (zh) * 2017-07-12 2017-09-29 中国科学院微电子研究所 一种cmos器件及其制造方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10790196B2 (en) 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019204120A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Tuning work function of p-metal work function films through vapor deposition
CN110391285B (zh) * 2018-04-23 2023-04-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10535523B1 (en) * 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10867864B2 (en) * 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11282938B2 (en) 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
CN110970303A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 半导体器件及其形成方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
TWI727505B (zh) * 2018-11-30 2021-05-11 台灣積體電路製造股份有限公司 積體電路裝置及其製造方法
US11329042B2 (en) 2018-11-30 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures having neutral zones to minimize metal gate boundary effects and methods of fabricating thereof
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11289578B2 (en) * 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN111211151B (zh) * 2020-01-13 2022-12-02 云谷(固安)科技有限公司 一种功能槽制作方法、显示面板及其制作方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11387344B2 (en) 2020-02-27 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device having a doped work-function layer
DE102020115829A1 (de) * 2020-02-27 2021-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungen und herstellungsverfahren
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11955382B2 (en) 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
US20220028732A1 (en) * 2020-12-16 2022-01-27 Zing Semiconductor Corporation Process for preparing epitaxy wafer and epitaxy wafer therefrom
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009033032A (ja) * 2007-07-30 2009-02-12 Sony Corp 半導体装置及び半導体装置の製造方法
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US9166020B2 (en) 2011-03-01 2015-10-20 United Microelectronics Corp. Metal gate structure and manufacturing method thereof
US8927059B2 (en) * 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
KR101909091B1 (ko) 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI595540B (zh) * 2015-10-28 2017-08-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US9947658B2 (en) 2015-10-28 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10276568B2 (en) 2015-10-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10157998B2 (en) 2016-09-30 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI662619B (zh) * 2016-09-30 2019-06-11 台灣積體電路製造股份有限公司 半導體元件及其製造方法與閘極結構的形成方法
TWI792904B (zh) * 2021-06-17 2023-02-11 台灣積體電路製造股份有限公司 電晶體元件中之閘極結構之形成方法
US11967504B2 (en) 2021-06-17 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistor devices and methods of forming same

Also Published As

Publication number Publication date
KR20150071637A (ko) 2015-06-26
TWI534873B (zh) 2016-05-21
KR101700484B1 (ko) 2017-01-26
US9362385B2 (en) 2016-06-07
CN104733298B (zh) 2017-10-24
CN104733298A (zh) 2015-06-24
US20150171177A1 (en) 2015-06-18

Similar Documents

Publication Publication Date Title
TWI534873B (zh) 具有金屬閘極之半導體結構及其製造方法
US11948800B2 (en) Semiconductor device having work function metal stack
CN110875179B (zh) 金属层的形成和原位蚀刻工艺
US11145749B2 (en) Method of fabricating a semiconductor device
TW201413968A (zh) 半導體元件與其製造方法
US20220130730A1 (en) Semiconductor Device and Method
TW201916252A (zh) 積體電路構造及其製造方法
US10141454B2 (en) Field-effect transistors having black phosphorus channel and methods of making the same
KR20180127156A (ko) 반도체 디바이스용 게이트 구조체
US20220336628A1 (en) Field-effect transistor device with gate spacer structure
US10276677B2 (en) Semiconductor device structure and method for forming the same
US11798846B2 (en) Contact plug
US20200212037A1 (en) Minimum width device for power saving
US20220336584A1 (en) Nanosheet field-effect transistor device and method of forming
US11450569B2 (en) Semiconductor device and forming method thereof
US20230343699A1 (en) Field effect transistor with source/drain via and method
US20230034854A1 (en) Semiconductor structure and method for forming the same
US20230402536A1 (en) Field effect transistor with gate isolation structure and method
US11791396B2 (en) Field effect transistor with multiple gate dielectrics and dual work-functions with precisely controlled gate lengths
US20230290824A1 (en) Semiconductor device structure and method for forming the same
US20230122250A1 (en) Field effect transistor with multiple hybrid fin structure and method
CN115083904A (zh) 半导体结构的形成方法
TW202414835A (zh) 半導體裝置、其製造方法及在金屬閘極結構的上方形成連續的金屬蓋的方法
TW202310163A (zh) 半導體裝置的製造方法