CN110970303A - 半导体器件及其形成方法 - Google Patents

半导体器件及其形成方法 Download PDF

Info

Publication number
CN110970303A
CN110970303A CN201910899779.8A CN201910899779A CN110970303A CN 110970303 A CN110970303 A CN 110970303A CN 201910899779 A CN201910899779 A CN 201910899779A CN 110970303 A CN110970303 A CN 110970303A
Authority
CN
China
Prior art keywords
layer
silicon
metal cap
work function
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910899779.8A
Other languages
English (en)
Other versions
CN110970303B (zh
Inventor
汤宗达
王宜婷
陈仲达
李显铭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/458,679 external-priority patent/US11282938B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110970303A publication Critical patent/CN110970303A/zh
Application granted granted Critical
Publication of CN110970303B publication Critical patent/CN110970303B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01017Chlorine [Cl]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

本公开涉及半导体器件及其形成方法。一种形成半导体器件的方法包括在晶圆中形成栅极电极。形成栅极电极包括沉积功函数层,在沉积功函数层之后,对晶圆执行处理,其中,该处理是通过使用含硅气体浸泡晶圆来执行的;在处理之后,在功函数层上方形成金属帽盖层;以及在金属帽盖层上方沉积填充金属。

Description

半导体器件及其形成方法
技术领域
本公开涉及半导体器件及其形成方法。
背景技术
金属氧化物半导体(MOS)器件是集成电路中的基本构建元件。现有的MOS器件通常具有由使用掺杂操作(例如,离子注入或热扩散)掺杂有p型或n型杂质的多晶硅形成的栅电极。可以将栅电极的功函数调整到硅的带边。对于n型金属氧化物半导体(NMOS)器件,可以将功函数调节到接近硅的导带。对于P型金属氧化物半导体(PMOS)器件,可以将功函数调节到接近硅的价带。通过选择适当的杂质可以实现调整多晶硅栅电极的功函数。
具有多晶硅栅电极的MOS器件表现出载流子耗尽效应,其也称为多晶耗尽效应。当施加的电场从靠近栅极电介质的栅极区域扫除载流子,形成耗尽层时,发生多晶耗尽效应。在n掺杂多晶硅层中,耗尽层包括电离的非移动供体位点,其中在p掺杂多晶硅层中,耗尽层包括电离的非移动受体位点。耗尽效应导致有效栅极电介质厚度的增加,使得更难以在半导体表面处产生反型层。
可以通过形成金属栅电极来解决多晶耗尽问题,其中,NMOS器件和PMOS器件中使用的金属栅极也可以具有带边功函数。因此,所得到的金属栅极包括多个层,以满足NMOS器件和PMOS器件的要求。
金属栅极的形成通常包括沉积金属层,并且然后执行化学机械抛光(CMP)以去除金属层的多余部分。金属层的剩余部分形成金属栅极。
发明内容
根据本公开的一个实施例,提供了一种形成半导体器件的方法,所述方法包括:在晶圆中形成栅极电极,包括:沉积功函数层;在沉积所述功函数层之后,对所述晶圆执行第一处理,其中,所述第一处理是通过使用含硅气体浸泡所述晶圆来执行的;在所述第一处理之后,在所述功函数层上方形成第一金属帽盖层;以及在所述第一金属帽盖层上方沉积填充金属。
根据本公开的另一实施例,提供了一种形成半导体器件的方法,所述方法包括:形成半导体鳍,所述半导体鳍突出高于所述半导体鳍的相对侧上的隔离区域;在所述半导体鳍的一部分上形成虚设栅极堆叠;基于所述半导体鳍形成源极/漏极区域,其中,所述源极/漏极区域位于所述虚设栅极堆叠的一侧上;沉积层间电介质以覆盖所述源极/漏极区域;去除所述虚设栅极堆叠以在所述层间电介质中留下沟槽;形成延伸到所述沟槽中的栅极电介质层;在所述栅极电介质层上方沉积功函数层;在所述功函数层上方形成第一金属帽盖层;对所述第一金属帽盖层执行处理,其中,所述处理是通过使用含硅气体浸泡所述第一金属帽盖层来执行的,其中,所述含硅气体中的含硅分子附着到所述第一金属帽盖层;在所述处理之后,在所述功函数层上方形成第二金属帽盖层;以及执行真空破坏以将所述第二金属帽盖层暴露于空气。
根据本公开的又一实施例,提供了一种半导体器件,包括:半导体区域;以及栅极堆叠,所述栅极堆叠位于所述半导体区域上,所述栅极堆叠包括:栅极电介质;功函数层,所述功函数层位于所述栅极电介质上方;含硅层,所述含硅层位于所述功函数层上方;第一金属帽盖层,所述第一金属帽盖层位于所述含硅层上方;以及填充金属,所述填充金属位于所述第一金属帽盖层上方。
附图说明
当结合附图阅读时,从以下详细描述中可以最好地理解本公开的各个方面。应该注意,根据工业中的标准实践,各种部件未按比例绘制。实际上,为了清楚的讨论,可以任意增加或减少各种部件的尺寸。
图1-6、图7A、图7B、图8、图9A、图9B、图19和图20示出了根据一些实施例的鳍式场效应晶体管(FinFET)的形成中的中间阶段的透视图和截面图。
图10-18示出了根据一些实施例的晶体管的栅极堆叠的形成中的中间阶段的透视图和截面图。
图21和图22示出了根据一些实施例的实验结果。
图23示出了根据一些实施例的用于形成FinFET的工艺流程。
图24示出了根据一些实施例的用于形成栅极堆叠的工艺流程。
具体实施方式
下面的公开内容提供了用于实现本发明的不同部件的许多不同的实施例或实例。下文描述了组件和布置的具体实例以简化本公开。当然,这些仅仅是实例而不旨在限制本公开。例如,在下面的描述中,在第二部件上方或之上形成第一部件可以包括以直接接触的方式形成第一部件和第二部件的实施例,并且还可以包括可以在第一部件和第二部件之间形成附加部件以使得第一部件和第二部件可以不直接接触的实施例。另外,本公开可以在各种实例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,并且本身并不表示所讨论的各种实施例和/或配置之间的关系。
此外,在本文中可能使用空间相关术语(例如“下方”、“之下”、“低于”、“以上”、“上部”等),以易于描述图中所示的一个元件或部件相对于另一个(一些)元件或部件的关系。这些空间相关术语旨在涵盖器件在使用或操作中除了图中所示朝向之外的不同朝向。装置可以以其他方式定向(旋转90度或处于其他朝向),并且本文使用的空间相对描述符同样可以被相应地解释。
根据各种实施例提供了具有替换栅极的晶体管及其形成方法。根据一些实施例示出了形成晶体管的中间阶段。讨论了一些实施例的一些变型。在各种视图和示例性实施例中,相同的参考标号用于表示相同的元件。在所示实施例中,鳍式场效应晶体管(FinFET)的形成用作解释本公开的概念的实例。平面晶体管也可以采用本公开的概念。根据本公开的一些实施例,在形成功函数层之后并且在沉积金属栅极的填充金属之前执行含硅浸泡(处理)工艺。由含硅浸泡工艺产生的含硅层具有如下功能:防止功函数层中的金属向上扩散而对功函数产生不利影响,并且防止氧向下扩散到功函数层中。
图1-8、图9A、图9B、图19和图20示出了根据本公开的一些实施例的鳍式场效应晶体管(FinFET)的形成中的中间阶段的截面图和透视图。这些图中所示的工艺也示例性地反映在图23中所示的工艺流程200中。
在图1中,提供了衬底20。衬底20可以是半导体衬底,例如体半导体衬底、绝缘体上半导体(SOI)衬底等,其可以是掺杂的(例如,掺杂有p型或n型掺杂剂)或未掺杂的。半导体衬底20可以是晶圆10(例如,硅晶圆)的一部分。通常,SOI衬底是在绝缘层上形成的半导体材料层。例如,绝缘层可以是埋氧化物(BOX)层、氧化硅层等。绝缘层设置在衬底(通常是硅或玻璃衬底)上。也可以使用其他衬底,例如多层或梯度衬底。在一些实施例中,半导体衬底20的半导体材料可以包括硅;锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或前述项的组合。
还参考图1,在衬底20中形成阱区域22。相应的工艺被示为图23中所示的工艺流程200中的工艺202。根据本公开的一些实施例,阱区域22是通过将n型杂质(可以是磷、砷、锑等)注入到衬底20中而形成的n型阱区域。根据本公开的其他实施例,阱区域22是通过将p型杂质(可以是硼、铟等)注入到衬底20中而形成的p型阱区域。所得到的阱区域22可以延伸到衬底20的顶表面。n型或p型杂质浓度可以等于或小于1018cm-3,例如在约1017cm-3和约1018cm-3之间的范围内。
参考图2,隔离区域24被形成为从衬底20的顶表面延伸到衬底20中。在下文中,隔离区域24替代地称为浅沟槽隔离(STI)区域。相应的工艺被示为图23中所示的工艺流程200中的工艺204。相邻STI区域24之间的衬底20的部分被称为半导体条带26。为了形成STI区域24,在半导体衬底20上形成衬垫氧化物层28和硬掩模层30,并且然后将其图案化。衬垫氧化物层28可以是由氧化硅形成的薄膜。根据本公开的一些实施例,衬垫氧化物层28在热氧化工艺中形成,其中,半导体衬底20的顶表面层被氧化。衬垫氧化物层28用作半导体衬底20和硬掩模层30之间的粘附层。衬垫氧化物层28还可以用作用于蚀刻硬掩模层30的蚀刻停止层。根据本公开的一些实施例,例如,使用低压化学汽相沉积(LPCVD),由氮化硅形成硬掩模层30。根据本公开的其他实施例,通过硅的热氮化或等离子体增强化学汽相沉积(PECVD)形成硬掩模层30。在硬掩模层30上形成光致抗蚀剂(未示出),并且然后将光致抗蚀剂图案化。然后使用经图案化的光致抗蚀剂作为蚀刻掩模来图案化硬掩模层30,以形成如图2所示的硬掩模30。
接下来,经图案化的硬掩模层30用作蚀刻掩模以蚀刻衬垫氧化物层28和衬底20,接着用(一种或多种)电介质材料填充衬底20中的所得沟槽。执行平坦化工艺(例如,化学机械抛光(CMP)工艺或机械研磨工艺)以去除电介质材料的过量部分,并且(一种或多种)电介质材料的剩余部分是STI区域24。STI区域24可以包括衬里电介质(未示出),其可以是通过衬底20的表面层的热氧化形成的热氧化物。衬里电介质也可以是使用以下各项形成的沉积的氧化硅层、氮化硅层等:例如,原子层沉积(ALD)、高密度等离子体化学汽相沉积(HDPCVD)或化学汽相沉积(CVD)。STI区域24还可以包括衬里氧化物上方的电介质材料,其中,电介质材料可以使用可流动化学汽相沉积(FCVD)、旋涂涂覆等形成。根据一些实施例,衬里电介质上方的电介质材料可以包括氧化硅。
硬掩模30的顶表面和STI区域24的顶表面可以基本上彼此齐平。半导体条带26位于相邻的STI区域24之间。根据本公开的一些实施例,半导体条带26是原始衬底20的部分,并且因此半导体条带26的材料与衬底20的材料相同。根据本公开的可选实施例,半导体条带26是通过蚀刻衬底20的位于STI区域24之间的部分以形成凹槽,并且执行外延以在凹槽中再生长另一种半导体材料而形成的替换条带。因此,半导体条带26由不同于衬底20的材料的半导体材料形成。根据一些实施例,半导体条带26由硅锗、硅碳或III-V族化合物半导体材料形成。
参考图3,STI区域24被凹陷,使得半导体条带26的顶部突出高于STI区域24的剩余部分的顶表面24A,以形成突出的鳍36。相应的工艺被示为图23中所示的工艺流程200中的工艺206。可以使用干蚀刻工艺来执行蚀刻,其中,例如将HF3和NH3用作蚀刻气体。在蚀刻工艺期间,可以产生等离子体。还可以包括氩。根据本公开的替代实施例,使用湿法蚀刻工艺来执行STI区域24的凹陷。例如,蚀刻化学品可以包括HF。
在上面示出的实施例中,可以通过任何合适的方法来图案化鳍。例如,可以使用一个或多个光刻工艺(包括双图案化或多图案化工艺)来图案化鳍。通常,双图案化或多图案化工艺组合光刻和自对准工艺,允许创建具有诸如比使用单个直接光刻工艺可获得的间距更小的间距的图案。例如,在一个实施例中,在衬底上方形成牺牲层并使用光刻工艺对其进行图案化。使用自对准工艺在图案化的牺牲层旁边形成间隔件。然后去除牺牲层,并且然后可以使用剩余的间隔件或心轴来图案化鳍。
参考图4,虚设栅极堆叠38被形成为在(突出的)鳍36的顶表面和侧壁上延伸。相应的工艺被示为图23中所示的工艺流程200中的工艺208。虚设栅极堆叠38可以包括虚设栅极电介质40和虚设栅极电介质40上方的虚设栅电极42。例如,虚设栅电极42可以使用多晶硅形成,并且也可以使用其他材料。每个虚设栅极堆叠38还可以包括在虚设栅电极42上方的一个(或多个)硬掩模层44。硬掩模层44可以由氮化硅、氧化硅、碳氮化硅或前述项的多个层形成。虚设栅极堆叠38可以跨在单个或多个突出的鳍36和/或STI区域24上方。虚设栅极堆叠38还具有垂直于突出的鳍36的长度方向的长度方向。
接下来,在虚设栅极堆叠38的侧壁上形成栅极间隔件46。相应的工艺也被示为图23中所示的工艺流程200中的工艺208。根据本公开的一些实施例,栅极间隔件46由诸如氮化硅、碳氮化硅等的(一种或多种)电介质材料形成,并且可以具有单层结构或包括多个电介质层的多层结构。
然后执行蚀刻工艺以蚀刻突出的鳍36的未被虚设栅极堆叠38和栅极间隔件46覆盖的部分,产生图5中所示的结构。相应的工艺被示为图23中所示的工艺流程200中的工艺210。凹陷可以是各向异性的,并且因此鳍36的直接位于虚设栅极堆叠38和栅极间隔件46下面的部分受到保护,并且不被蚀刻。根据一些实施例,经凹陷的半导体条带26的顶表面可以低于STI区域24的顶表面24A。因此形成凹槽50。凹槽50包括位于虚设栅极堆叠38的相对侧上的部分,以及位于突出鳍36的剩余部分之间的部分。
接下来,通过在凹槽50中选择性地生长(通过外延)半导体材料来形成外延区域(源极/漏极区域)54,得到图6中的结构。相应的工艺被示为图23中所示的工艺流程200中的工艺212。根据所得到的FinFET是p型FinFET还是n型FinFET,随着外延的进行,可以原位掺杂p型或n型杂质。例如,当得到的FinFET是p型FinFET时,可以生长硅锗硼(SiGeB)或硅硼(SiB)。相反,当得到的FinFET是n型FinFET时,可以生长硅磷(SiP)或硅碳磷(SiCP)。根据本发明的替代实施例,外延区域54包括III-V族化合物半导体,例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、前述项的组合或多个层。在凹槽50填充有外延区域54之后,外延区域54的进一步外延生长导致外延区域54水平扩展,并且可以形成小平面。外延区域54的进一步生长还可以使相邻的外延区域54彼此合并。可以生成空隙(气隙)56。根据本公开的一些实施例,当外延区域54的顶表面仍然是波浪形时,或者当合并的外延区域54的顶表面变为平面时,可以完成外延区域54的形成,这通过如图6所示在外延区域54上进一步生长来实现。
在外延步骤之后,外延区域54可以进一步注入有p型或n型杂质以形成源极和漏极区域,其也使用参考标号54表示。根据本公开的替代实施例,当外延区域54在外延期间原位掺杂有p型或n型杂质时,跳过注入步骤。
图7A示出了在形成接触蚀刻停止层(CESL)58和层间电介质(ILD)60之后的结构的透视图。相应的工艺被示为图23中所示的工艺流程200中的工艺214。CESL 58可以由氧化硅、氮化硅、碳氮化硅等形成,并且可以使用CVD、ALD等形成。ILD 60可以包括使用例如FCVD、旋涂、CVD或其他沉积方法形成的电介质材料。ILD 60可以由含氧电介质材料形成,其可以是基于氧化硅的材料,例如四乙基正硅酸盐(TEOS)氧化物、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)等。可以执行平坦化工艺(例如,CMP工艺或机械研磨工艺)以使ILD 60、虚设栅极堆叠38和栅极间隔件46的顶表面彼此齐平。
图7B示出了图7A中的参考横截面7B-7B,其中,示出了虚设栅极堆叠38。接下来,对包括硬掩模层44、虚设栅电极42和虚设栅极电介质40的虚设栅极堆叠38进行蚀刻,在栅极间隔件46之间形成沟槽62,如图8所示。相应的工艺被示为图23中所示的工艺流程200中的工艺216。突出的鳍36的顶表面和侧壁暴露于沟槽62。接下来,如图9A和图9B所示,在沟槽62(图8)中形成替换栅极堆叠72。图9B示出了图9A中的参考横截面9B-9B。相应的工艺被示为图23中所示的工艺流程200中的工艺218。替换栅极堆叠72包括栅极电介质68和相应的栅极电极70。
根据本公开的一些实施例,栅极电介质68包括界面层(IL)64作为其下部。IL 64形成在突出的鳍36的暴露表面上。IL 64可以包括氧化物层,例如氧化硅层,其通过以下方式形成:突出的鳍36的热氧化、化学氧化工艺或沉积工艺。栅极电介质68还可以包括在IL 64上方形成的高k电介质层66。高k电介质层66包括高k电介质材料,例如氧化铪、氧化镧、氧化铝、氧化锆等。高k电介质材料的介电常数(k值)高于3.9,并且可以高于约7.0,并且有时高达21.0或更高。高k电介质层66覆盖并且可以接触IL 64。高k电介质层66被形成为共形层,并且在突出的鳍36的侧壁以及栅极间隔件46的顶表面和侧壁上延伸。根据本公开的一些实施例,使用ALD、CVD、PECVD、分子束沉积(MBD)等形成高k电介质层66。
还参考图9B,在栅极电介质68上形成栅极电极70。栅电极70可以包括多个含金属层74,其可以被形成为共形层,并且填充金属区域76填充未被多个含金属层74填充的剩余沟槽。含金属层74可以包括阻挡层、阻挡层上方的功函数层、以及功函数层上方的一个或多个金属帽盖层。参考图10至图18讨论含金属层74的详细结构。
图9B示意性地示出了区域78,其中包括鳍36的一部分、栅极电介质68的一部分、含金属层74的一部分、和填充金属区域76的一部分。图10至17示出了根据一些实施例的延伸到区域78中的部件的形成。相应的工艺流程被示为如图24中所示的工艺流程300。
应该理解,如图10至图17中所示的工艺包括可以在栅极堆叠的形成中实施的可能工艺。根据本公开的一些实施例,执行一些但不是所有的这些工艺,并且所得到的结构包括如图17中所示的示例性部件中的一些但不是全部。将讨论可能的组合。当未形成工艺时,直接在跳过的工艺/层上方的相应帽盖层将与直接在跳过的工艺/层下面的相应下层接触。
参考图10,在突出的鳍36上形成IL 64。在IL 64上方形成高k电介质层66。根据一些实施例,在高k电介质层66上方形成粘附层(其也是扩散阻挡层)119。粘附层119可以由TiN或钛氮化硅(TSN)形成。可以使用ALD或CVD形成TiN层,并且TSN层可以包括例如使用ALD形成的交替沉积的TiN层和SiN层。由于TiN层和SiN层非常薄,因此这些层可能无法彼此区分,并且因此称为TSN层。
在粘附层119上方形成功函数层120。功函数层120确定栅极的功函数,并且包括至少一个层或由不同材料形成的多个层。根据相应的FinFET是n型FinFET还是p型FinFET来选择功函数层的材料。例如,当FinFET是n型FinFET时,功函数层120可以包括TaN层和TaN层上方的钛铝(TiAl)层。当FinFET是p型FinFET时,功函数层120可以包括TaN层、TaN层上方的TiN层、和TiN层上方的TiAl层。应该理解,功函数层可以包括不同的材料,这些材料也是可预期的。
根据本公开的一些实施例,在功函数层120上方形成金属帽盖层122,如图11所示。相应的工艺被示为图24中所示的工艺流程300中的工艺302。根据一些实施例,金属帽盖层122可以由金属氮化物(例如,TiN)形成,并且可以使用其他材料(例如,TaN)。根据其他实施例,金属帽盖层122包括金属氮化物,并且不含TaN。根据一些实施例,使用ALD形成金属帽盖层122。金属帽盖层122的厚度可以在约
Figure BDA0002211450840000091
和约
Figure BDA0002211450840000092
之间的范围内。根据替代实施例,跳过金属帽盖层122的形成,并且可以直接对功函数层120执行如图11和图12所示的浸泡步骤。因此,使用虚线示出金属帽盖层122,以表明可能会或可能不会形成金属帽盖层122。
图11示出了使用气态前体的含金属或氯的气体浸泡工艺。根据一些实施方案,前体包括含钛气体和/或氯基气体。例如,前体可以包括TiCl4作为工艺气体。当使用TiCl4时,相应的浸泡工艺也可称为TiCl4浸泡工艺。相应的工艺被示为图24中所示的工艺流程300中的工艺304。当未形成金属帽盖层122时,该工艺是有益的,并且对暴露于TiCl4的功函数层120执行含金属或氯的气体浸泡。根据一些实施例,提供作为气体的TiCl4用于浸泡晶圆10,其中,暴露功函数层120或金属帽盖层122。在含金属或氯的气体浸泡期间,将晶圆10加热至诸如约200℃至约500℃之间的范围内的温度。没有产生等离子体。浸泡持续时间可以大于约5秒。TiCl4浸泡导致所得分子(例如,TiCl3分子)连接到下面的功函数层120的悬空键。根据其中形成金属帽盖层122的一些实施例,可以执行或跳过含金属或氯的气体浸泡工艺。含金属或氯的气体浸泡工艺用于改善硅与下面的功函数层120的接合,因为随后的含硅气体浸泡中提供的含硅气体对功函数层120没有良好的粘附性。作为比较,对于TiCl4浸泡,含Ti和Cl的分子附着至功函数层120,并且随后施加的含硅分子与TiCl4中的Ti原子具有良好的接合。因此,当对功函数层120执行随后讨论的含硅气体处理时,TiCl4用于改善含硅分子与功函数层120的接合。
根据其中跳过金属帽盖层122的形成的一些实施例,用于浸泡工艺的前体可以在形成功函数层120时同时进行,不是在形成功函数层120之后使用。
图12示出了使用含硅气体的热浸泡工艺,该含硅气体可以是SiH4、Si2H6等、或前述项的组合。相应的工艺被示为图24中所示的工艺流程300中的工艺306。在含硅气体浸泡期间,如果使用SiH4,则将晶圆10加热至诸如约200℃至约550℃之间的范围内的温度,并且如果使用Si2H6,则将晶圆10加热至约200℃至约500℃之间的范围内的更高温度。没有生成等离子体。浸泡持续时间可以在约30秒至约600秒之间的范围内。在其中使用TiCl4作为工艺气体来执行含金属或氯的气体浸泡工艺的实施例中,在图12中所示的表面处可以形成薄的富含硅和钛的层。如果不执行含金属或氯的气体浸泡,则Si原子附着到功函数层120或金属帽盖层122。
图12示例性地示出了含硅层124以表示附着的含硅分子,其包括硅和氢原子、以及可能的钛和氯原子(如果执行TiCl4浸泡)。
功函数层120的形成、金属帽盖层122的形成、含金属或氯的气体浸工艺和含硅气体浸泡工艺是原位执行的,因此在这些工艺之间不会发生真空破坏。这些工艺可以在同一平台中的不同工艺室中执行,这些工艺室具有同一真空环境。
参考图13,在含硅气体浸泡之后,可以执行真空破坏。相应的工艺被示为图24中所示的工艺流程300中的工艺308。由于含硅层124暴露于空气,所以含硅层124被氧化以形成氧化硅层124’,如图13所示。应该理解,在随后的热工艺中,相邻层中的元素可能扩散到氧化硅层124’中。因此,尽管层124’被称为氧化硅层,但它实际上是包含其他元素的富含硅和氧的层,并且其硅和氧原子百分比可以高于最初不含硅和/或氧的相邻层中相应的硅和氧原子百分比。
图14示出了金属帽盖层126的形成。相应的工艺被示为图24中所示的工艺流程300中的工艺310。金属帽盖层126的形成方法、材料、厚度等可以从金属帽盖层122的候选方法、候选材料、候选厚度中选择。因此不再重复细节。
根据替代实施例,代替在含硅气体浸泡之后并且在形成金属帽盖层126之前执行真空破坏,可以在形成金属帽盖层126之后执行真空破坏,该金属帽盖层126在含硅层124上方并且与含硅层124接触。相应的工艺被示为工艺310’和308’。由于金属帽盖层126非常薄,例如,在约
Figure BDA0002211450840000111
至约
Figure BDA0002211450840000112
之间的范围内,因此氧渗透通过金属帽盖层126,并且含硅层124被氧化以形成氧化硅层124’。
图15示出了可选的第二含硅气体浸泡工艺。相应的工艺被示为图24中所示的工艺流程300中的工艺312。可以使用与参照图12讨论的第一含硅气体浸泡工艺的工艺条件类似的工艺条件来执行第二含硅气体浸泡工艺。因此,形成含硅层128以终止金属帽盖层126的悬空键。第二SiH4浸泡工艺是在真空室中执行的。根据本公开的一些实施例,在第二含硅气体浸泡工艺之后(并且在形成金属帽盖层130之前)执行真空破坏,以将含硅层128转换为氧化硅层(如图16所示的128’)。相应的工艺被示为图24中所示的工艺流程300中的工艺314。
图16示出了金属帽盖层130的可选形成。相应的工艺被示为图24中所示的工艺流程300中的工艺316。金属帽盖层130的形成方法、材料、厚度等可以选自用于形成金属帽盖层122的候选方法、候选材料、候选厚度等。因此不再重复细节。根据一些实施例,代替在含硅气体浸泡工艺(图16)之后并且在形成金属帽盖层130之前执行真空破坏,可以在形成金属帽盖层130之后执行真空破坏。相应的工艺被示为图24中所示的工艺流程300中的工艺316’和314’。由于真空破坏,氧穿透金属帽盖层130以将含硅层128(图15)转化为氧化硅层128'(图16)。使用虚线示出氧化硅层128’和金属帽盖层130,以指示可以形成或可以不形成这些层。层119、120、122、124’、126、128’和130的组合对应于图9B中的堆叠层74。
图17示出了填充金属区域132的形成,填充金属区域132对应于图9B中的填充金属区域76。相应的工艺被示为图24中所示的工艺流程300中的工艺318。根据一些实施例,填充金属区域132由钨或钴形成,其可以使用ALD、CVD等形成。根据一些实施例,WF6和SiH4用作沉积钨的工艺气体。在形成填充金属区域132之后,可以执行平坦化工艺以去除沉积层的多余部分,如图17所示,从而产生如图9A和图9B所示的栅极堆叠72。相应的平坦化工艺被示为图24中所示的工艺流程300中的工艺320。在整个讨论中,功函数层120和填充金属区域132之间的层可以包括层122、124’、126、128’和130,这些层统称为复合阻挡层。
图1至图17示出了多个可能的工艺,其中,一些工艺在一些实施例中是可选的。因此,可以选择多个工艺以形成这些候选工艺,以便实现多个工艺流程。结果,可以形成具有不同层组合的多个栅极堆叠。下面讨论一些可能的工艺。
在第一候选工艺中,工艺序列包括在功函数层120上形成金属帽盖层122,执行含硅气体浸泡工艺(其中形成含硅层124),形成金属帽盖层126,执行真空破坏,以及形成填充金属区域132。相应的栅极堆叠可以包括功函数层120、金属帽盖层122、氧化硅层124’、金属帽盖层126和填充金属区域132。
在第二候选工艺中,工艺序列包括在功函数层120上形成金属帽盖层122,执行含硅气体浸泡工艺(其中形成含硅层124),执行真空破坏,形成金属帽盖层126,以及形成填充金属区域132。相应的栅极堆叠与由第一候选工艺形成的栅极堆叠相同,并且还包括功函数层120、金属帽盖层122、氧化硅层124’、金属帽盖层126和填充金属区域132。
在第三候选工艺中,工艺序列包括对功函数层120执行含金属或氯的气体浸泡工艺,执行含硅气体浸泡工艺(其中形成含硅层124),执行真空破坏,形成金属帽盖层126,以及形成填充金属区域132。相应的栅极堆叠可以包括功函数层120、氧化硅层124’(其中具有Ti和Cl原子)、金属帽盖层126和填充金属区域132。
在第四候选工艺中,工艺序列包括对功函数层120执行含金属或氯的气体浸泡工艺,执行含硅气体浸泡工艺(其中形成含硅层124),形成金属帽盖层126,执行真空破坏,以及形成填充金属区域132。相应的栅极堆叠与由第三候选工艺形成的栅极堆叠相同,并且还包括功函数层120、氧化硅层124’(其中具有Ti和Cl原子)、金属帽盖层126和填充金属区域132。
在第五候选工艺中,工艺序列包括对功函数层120执行含金属或氯的气体浸泡工艺,执行含硅气体浸泡工艺,形成金属帽盖层126,执行真空破坏,执行另外的含硅气体浸泡工艺(其中形成含硅层128),形成金属帽盖层130,执行真空破坏,以及形成填充金属区域132。相应的栅极堆叠可以包括功函数层120、氧化硅层124’(其中具有Ti和Cl原子)、金属帽盖层126、氧化硅层128’、金属帽盖层130和填充金属区域132。
图18示出了根据替代实施例的栅极堆叠的形成。根据一些实施例,在功函数层120上方并且可以接触功函数层120形成TSN层134。根据一些实施例,通过执行一个或多个循环来形成TSN层134,其中,每个循环包括通过(一个或多个)ALD循环形成TiN层,然后通过(一个或多个)ALD循环来形成SiN层。TiN层和SiN层分别被示例性地示为134A和134B,以示例性地示出如何形成所得的TSN层。然而,应当理解,TiN层和SiN实际上混合在一起,并且由于它们的厚度小而不能彼此区分,并且可能彼此不区分。可能存在多个交替的TiN层和SiN层,其有时由于互相扩散而难以彼此区分,并且因此被组合称为TSN层134。填充金属区域132在TSN层134上方并且接触TSN层134。根据这些实施例,TSN层134中的硅具有以下功能:阻止氧向下扩散、阻止功函数层120中的金属向上扩散、以及阻止氟(在填充金属区域132的形成期间引入)向下扩散到功函数层120中。
图19示出了根据一些实施例的硬掩模80的形成。相应的工艺被示为图23中所示的工艺流程200中的工艺220。硬掩模80的形成可以包括执行蚀刻工艺以使栅极堆叠72凹陷,使得在栅极间隔件46之间形成凹槽,用电介质材料填充凹槽,并且然后执行平面化工艺(例如,CMP工艺或机械研磨工艺),以去除电介质材料的多余部分。硬掩模80可以由氮化硅、氮氧化硅、硅氧碳氮化物等形成。
图20示出了源极/漏极接触插塞82的形成。相应的工艺被示为图23中所示的工艺流程200中的工艺222。源极/漏极接触插塞82的形成包括蚀刻ILD 60以暴露CESL 58的下面部分,并且然后蚀刻CESL 58的暴露部分以露出源极/漏极区域54。在随后的工艺中,金属层(例如,Ti层)被沉积并延伸到接触开口中。可以执行金属氮化物帽盖层。然后执行退火工艺以使金属层与源极/漏极区域54的顶部反应从而形成硅化物区域84,如图20所示。接下来,保留先前形成的金属氮化物层而不去除,或者去除先前形成的金属氮化物层,然后沉积新的金属氮化物层(例如,氮化钛层)。然后将填充金属材料(例如,钨、钴等)填充到接触开口中,接着执行平坦化以去除多余的材料,从而产生源极/漏极接触插塞82。还形成栅极接触插塞(未示出)以穿透每个硬掩模80的一部分以接触栅电极70。由此形成FinFET 86,其可以并联连接为一个FinFET。
图21至图24示出了显示根据本公开的实施例的复合阻挡层的效果的实验结果。X轴表示结合能量。Y轴表示在不同结合能量处的信号强度值。图21示出了铝的结合能量,其中,示出了Al-O和Al-C的特征结合能量。当分别由薄TSN层、
Figure BDA0002211450840000141
TiN层、
Figure BDA0002211450840000142
TiN层和
Figure BDA0002211450840000143
TiN层形成帽盖层时,获得线140、142、144和146。结果表明,线140具有强Al-O信号,表明氧穿透薄TSN层以与功函数层(具有TiAl)中的铝形成接合。存在明显的Al-C信号,表明薄TSN层具有阻挡氧的作用,而阻挡能力不足。对于线142,Al-O信号较弱,并且Al-C信号较强。线144和146具有更弱的Al-O信号和更强的Al-C信号。这表明随着TiN帽盖层厚度的增加,由于较少的氧渗透而形成较少的Al-O,并且剩余更多的Al-C。结果表明,随着TiN帽盖层厚度的增加,帽盖层具有改进的阻止氧穿透它们以到达功函数层的能力。
图22示出了铝的结合能量,其中,线148和150分别从
Figure BDA0002211450840000151
TiN帽盖层和复合帽盖层获得。复合帽盖层包括薄的TiN,其经历含硅气体浸泡。线148和150基本上彼此重叠,其中基本上没有检测到Al-O信号。这表明具有SiH4浸泡的复合层与
Figure BDA0002211450840000152
TiN帽盖层一样有效地阻挡氧。
本公开的实施例具有一些有利特征。通过含硅气体浸泡,在功函数层上方形成含硅层。含硅层可以是氧化硅层。含硅层有效地防止氧向下渗透到达功函数层,并且因此可以防止功函数层的氧化。此外,含硅层可以防止功函数层中的金属向上扩散,因此可以帮助保持功函数层的成分稳定,并且防止所得FinFET的阈值电压的漂移。
根据本公开的一些实施例,一种形成半导体器件的方法包括在晶圆中形成栅极电极。栅电极的形成包括沉积功函数层;在沉积功函数层之后,对晶圆执行第一处理,其中,第一处理是通过使用含硅气体浸泡晶圆来执行的;在第一处理之后,在功函数层上方形成第一金属帽盖层;以及在第一金属帽盖层上方沉积填充金属。在一个实施例中,第一处理是使用含硅气体来执行的。在一个实施例中,当执行第一处理时,功函数层暴露于含硅气体。在一个实施例中,该方法还包括,在第一处理之前,对晶圆执行第二处理,其中,第二处理是使用TiCl4来执行的,其中,功函数层暴露于TiCl4。在一个实施例中,该方法还包括:在第一处理之后并且在沉积填充金属之前,通过真空破坏将通过第一处理而处理的相应层暴露于空气。在一个实施例中,该方法还包括,在第一处理之后,沉积第二金属帽盖层,其中,第一金属帽盖层在第二金属帽盖层上方。在一个实施例中,该方法还包括真空破坏以将第二金属帽盖层暴露于空气。在一个实施例中,在第一处理中,含硅气体中的含硅分子附着到第二金属帽盖层,并且在真空破坏期间,含硅分子被氧化以形成氧化硅层。在一个实施例中,形成第一金属帽盖层包括沉积TiN层。在一个实施例中,该方法还包括,在形成栅极电极之前,去除虚设栅极堆叠,其中,栅极电极被形成为延伸到由去除的虚设栅极堆叠留下的沟槽中,并且形成栅电极包括执行平坦化工艺以去除功函数层、第一金属帽盖层和填充金属在沟槽外部的部分。
根据本公开的一些实施例,一种形成半导体器件的方法包括:形成半导体鳍,该半导体鳍提出高于半导体鳍的相对侧上的隔离区域;在半导体鳍的一部分上形成虚设栅极堆叠;基于半导体鳍形成源极/漏极区域,其中,源极/漏极区域位于虚设栅极堆叠的一侧上;沉积层间电介质以覆盖源极/漏极区域;去除虚设栅极堆叠以在层间电介质中留下沟槽;形成延伸到沟槽中的栅极电介质层;在栅极电介质层上方沉积功函数层;在功函数层上方形成第一金属帽盖层;对第一金属帽盖层执行处理,其中,该处理是通过使用含硅气体浸泡第一金属帽盖层来执行的,其中,含硅气体中的含硅分子附着到第一金属帽盖层;在处理之后,在功函数层上方形成第二金属帽盖层;以及执行真空破坏以将第二金属帽盖层暴露于空气。在一个实施例中,处理是在约400℃至约500℃之间的温度范围内执行的。在一个实施例中,形成第一金属帽盖层包括沉积TiN层。在一个实施例中,该处理是在不从含硅气体生成等离子体的情况下执行的。在一个实施例中,该方法还包括在第二金属帽盖层上方并与第二金属帽盖层接触地沉积填充金属;以及执行平坦化工艺以去除功函数层、第一金属帽盖层、第二金属帽盖层和填充金属在沟槽外部的部分。
根据本公开的一些实施例,半导体器件包括半导体区域;和半导体区域上的栅极堆叠。栅极堆叠包括栅极电介质;栅极电介质上方的功函数层;功函数层上方的含硅层;含硅层上方的第一金属帽盖层;和第一金属帽盖层上方的填充金属。在一个实施例中,功函数层和第一金属帽盖层二者都不含硅。在一个实施例中,含硅层包含氧化硅。在一个实施例中,半导体器件还包括第二金属帽盖层,该第二金属帽盖层位于功函数层上方并与功函数层接触,其中,含硅层在第二金属帽盖层上方并且与第二金属帽盖层接触。在一个实施例中,含硅层还包括氯。
以上概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各个方面。本领域技术人员应该理解,他们可以容易地使用本公开作为基础来设计或修改用于实现相同的目的和/或实现这里介绍的实施例的相同优点的其他工艺和结构。本领域技术人员还应该认识到,这种等同构造并不脱离本公开的精神和范围,并且在不脱离本公开的精神和范围的情况下,它们可以在这里进行各种改变、替换和变更。
示例1.一种形成半导体器件的方法,所述方法包括:在晶圆中形成栅极电极,包括:沉积功函数层;在沉积所述功函数层之后,对所述晶圆执行第一处理,其中,所述第一处理是通过使用含硅气体浸泡所述晶圆来执行的;在所述第一处理之后,在所述功函数层上方形成第一金属帽盖层;以及在所述第一金属帽盖层上方沉积填充金属。
示例2.根据示例1所述的方法,其中,所述第一处理是使用甲硅烷或乙硅烷来执行的。
示例3.根据示例1所述的方法,其中,当执行所述第一处理时,所述功函数层暴露于所述含硅气体。
示例4.根据示例1所述的方法,还包括:在所述第一处理之前,对所述晶圆执行第二处理,其中,所述第二处理是使用TiCl4来执行的,其中,所述功函数层暴露于所述TiCl4
示例5.根据示例1所述的方法,还包括:在所述第一处理之后并且在沉积所述填充金属之前,通过真空破坏将通过所述第一处理而处理的相应层暴露于空气。
示例6.根据示例1所述的方法,还包括:在所述第一处理之后,沉积第二金属帽盖层,其中,所述第一金属帽盖层在所述第二金属帽盖层上方。
示例7.根据示例6所述的方法,还包括真空破坏以将所述第二金属帽盖层暴露于空气。
示例8.根据示例7所述的方法,其中,在所述第一处理中,所述含硅气体中的含硅分子附着到所述第二金属帽盖层,并且在所述真空破坏期间,所述含硅分子被氧化以形成氧化硅层。
示例9.根据示例1所述的方法,其中,形成所述第一金属帽盖层包括沉积TiN层。
示例10.根据示例1所述的方法,还包括:在形成所述栅极电极之前,去除虚设栅极堆叠,其中,所述栅极电极被形成为延伸到由去除的虚设栅极堆叠留下的沟槽中,并且形成所述栅极电极包括:执行平坦化工艺以去除所述功函数层、所述第一金属帽盖层和所述填充金属在所述沟槽外部的部分。
示例11.一种形成半导体器件的方法,所述方法包括:形成半导体鳍,所述半导体鳍突出高于所述半导体鳍的相对侧上的隔离区域;在所述半导体鳍的一部分上形成虚设栅极堆叠;基于所述半导体鳍形成源极/漏极区域,其中,所述源极/漏极区域位于所述虚设栅极堆叠的一侧上;沉积层间电介质以覆盖所述源极/漏极区域;去除所述虚设栅极堆叠以在所述层间电介质中留下沟槽;形成延伸到所述沟槽中的栅极电介质层;在所述栅极电介质层上方沉积功函数层;在所述功函数层上方形成第一金属帽盖层;对所述第一金属帽盖层执行处理,其中,所述处理是通过使用含硅气体浸泡所述第一金属帽盖层来执行的,其中,所述含硅气体中的含硅分子附着到所述第一金属帽盖层;在所述处理之后,在所述功函数层上方形成第二金属帽盖层;以及执行真空破坏以将所述第二金属帽盖层暴露于空气。
示例12.根据示例11所述的方法,其中,所述处理是在范围处于约200℃和约550℃之间的温度下执行的。
示例13.根据示例11所述的方法,其中,形成所述第一金属帽盖层包括沉积TiN层。
示例14.根据示例11所述的方法,其中,所述处理是在不从所述含硅气体产生等离子体的情况下执行的。
示例15.根据示例11所述的方法,还包括:在所述第二金属帽盖层上方并与所述第二金属帽盖层接触地沉积填充金属;以及执行平坦化工艺以去除所述功函数层、所述第一金属帽盖层、所述第二金属帽盖层和所述填充金属在所述沟槽外部的部分。
示例16.一种半导体器件,包括:半导体区域;以及栅极堆叠,所述栅极堆叠位于所述半导体区域上,所述栅极堆叠包括:栅极电介质;功函数层,所述功函数层位于所述栅极电介质上方;含硅层,所述含硅层位于所述功函数层上方;第一金属帽盖层,所述第一金属帽盖层位于所述含硅层上方;以及填充金属,所述填充金属位于所述第一金属帽盖层上方。
示例17.根据示例16所述的半导体器件,其中,所述功函数层和所述第一金属帽盖层二者均不含硅。
示例18.根据示例16所述的半导体器件,其中,所述含硅层包括氧化硅。
示例19.根据示例16所述的半导体器件,其中,所述栅极堆叠还包括第二金属帽盖层,所述第二金属帽盖层位于所述功函数层上方并且与所述功函数层接触,其中,所述含硅层位于所述第二金属帽盖层上方并且与所述第二金属帽盖层接触。
示例20.根据示例16所述的半导体器件,其中,所述含硅层还包括氯。

Claims (10)

1.一种形成半导体器件的方法,所述方法包括:
在晶圆中形成栅极电极,包括:
沉积功函数层;
在沉积所述功函数层之后,对所述晶圆执行第一处理,其中,所述第一处理是通过使用含硅气体浸泡所述晶圆来执行的;
在所述第一处理之后,在所述功函数层上方形成第一金属帽盖层;以及
在所述第一金属帽盖层上方沉积填充金属。
2.根据权利要求1所述的方法,其中,所述第一处理是使用甲硅烷或乙硅烷来执行的。
3.根据权利要求1所述的方法,其中,当执行所述第一处理时,所述功函数层暴露于所述含硅气体。
4.根据权利要求1所述的方法,还包括:
在所述第一处理之前,对所述晶圆执行第二处理,其中,所述第二处理是使用TiCl4来执行的,其中,所述功函数层暴露于所述TiCl4
5.根据权利要求1所述的方法,还包括:在所述第一处理之后并且在沉积所述填充金属之前,通过真空破坏将通过所述第一处理而处理的相应层暴露于空气。
6.根据权利要求1所述的方法,还包括:在所述第一处理之后,沉积第二金属帽盖层,其中,所述第一金属帽盖层在所述第二金属帽盖层上方。
7.根据权利要求6所述的方法,还包括真空破坏以将所述第二金属帽盖层暴露于空气。
8.根据权利要求7所述的方法,其中,在所述第一处理中,所述含硅气体中的含硅分子附着到所述第二金属帽盖层,并且在所述真空破坏期间,所述含硅分子被氧化以形成氧化硅层。
9.一种形成半导体器件的方法,所述方法包括:
形成半导体鳍,所述半导体鳍突出高于所述半导体鳍的相对侧上的隔离区域;
在所述半导体鳍的一部分上形成虚设栅极堆叠;
基于所述半导体鳍形成源极/漏极区域,其中,所述源极/漏极区域位于所述虚设栅极堆叠的一侧上;
沉积层间电介质以覆盖所述源极/漏极区域;
去除所述虚设栅极堆叠以在所述层间电介质中留下沟槽;
形成延伸到所述沟槽中的栅极电介质层;
在所述栅极电介质层上方沉积功函数层;
在所述功函数层上方形成第一金属帽盖层;
对所述第一金属帽盖层执行处理,其中,所述处理是通过使用含硅气体浸泡所述第一金属帽盖层来执行的,其中,所述含硅气体中的含硅分子附着到所述第一金属帽盖层;
在所述处理之后,在所述功函数层上方形成第二金属帽盖层;以及
执行真空破坏以将所述第二金属帽盖层暴露于空气。
10.一种半导体器件,包括:
半导体区域;以及
栅极堆叠,所述栅极堆叠位于所述半导体区域上,所述栅极堆叠包括:
栅极电介质;
功函数层,所述功函数层位于所述栅极电介质上方;
含硅层,所述含硅层位于所述功函数层上方;
第一金属帽盖层,所述第一金属帽盖层位于所述含硅层上方;以及
填充金属,所述填充金属位于所述第一金属帽盖层上方。
CN201910899779.8A 2018-09-28 2019-09-23 半导体器件及其形成方法 Active CN110970303B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738452P 2018-09-28 2018-09-28
US62/738,452 2018-09-28
US16/458,679 US11282938B2 (en) 2018-09-28 2019-07-01 Capping layers in metal gates of transistors
US16/458,679 2019-07-01

Publications (2)

Publication Number Publication Date
CN110970303A true CN110970303A (zh) 2020-04-07
CN110970303B CN110970303B (zh) 2024-06-21

Family

ID=69946159

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910899779.8A Active CN110970303B (zh) 2018-09-28 2019-09-23 半导体器件及其形成方法

Country Status (3)

Country Link
KR (1) KR102267889B1 (zh)
CN (1) CN110970303B (zh)
TW (1) TWI782234B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113380796A (zh) * 2020-05-29 2021-09-10 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11430698B2 (en) 2020-05-19 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ formation of metal gate modulators
US11437373B2 (en) 2020-08-13 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device structure
US20220223422A1 (en) * 2021-01-14 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface Oxidation Control of Metal Gates Using Capping Layer

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1622296A (zh) * 2004-12-13 2005-06-01 友达光电股份有限公司 薄膜晶体管的制造方法
US20140273428A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
CN104517842A (zh) * 2013-09-27 2015-04-15 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
KR20150071637A (ko) * 2013-12-18 2015-06-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트를 갖는 반도체 구조 및 그 제조 방법
US20170243958A1 (en) * 2016-02-22 2017-08-24 Semiconductor Manufacturing International (Shanghai) Corporation Method to improve ge channel interfacial layer quality for cmos finfet
US20180040620A1 (en) * 2016-08-03 2018-02-08 Samsung Electronics Co., Ltd. Integrated circuit devices and methods of fabricating such devices
KR20180050817A (ko) * 2016-11-07 2018-05-16 삼성전자주식회사 반도체 장치 및 그 제조 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10263108B2 (en) * 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US9768302B2 (en) * 2015-09-30 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10622356B2 (en) * 2016-01-19 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
TWI746673B (zh) * 2016-12-15 2021-11-21 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置及其共形傳遞摻雜方法
US10431670B2 (en) * 2016-12-15 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Source and drain formation technique for fin-like field effect transistor
US10510762B2 (en) * 2016-12-15 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain formation technique for fin-like field effect transistor
US10014185B1 (en) * 2017-03-01 2018-07-03 Applied Materials, Inc. Selective etch of metal nitride films

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1622296A (zh) * 2004-12-13 2005-06-01 友达光电股份有限公司 薄膜晶体管的制造方法
US20140273428A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
CN104517842A (zh) * 2013-09-27 2015-04-15 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
KR20150071637A (ko) * 2013-12-18 2015-06-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트를 갖는 반도체 구조 및 그 제조 방법
US20170243958A1 (en) * 2016-02-22 2017-08-24 Semiconductor Manufacturing International (Shanghai) Corporation Method to improve ge channel interfacial layer quality for cmos finfet
US20180040620A1 (en) * 2016-08-03 2018-02-08 Samsung Electronics Co., Ltd. Integrated circuit devices and methods of fabricating such devices
KR20180015484A (ko) * 2016-08-03 2018-02-13 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR20180050817A (ko) * 2016-11-07 2018-05-16 삼성전자주식회사 반도체 장치 및 그 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113380796A (zh) * 2020-05-29 2021-09-10 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN113380796B (zh) * 2020-05-29 2024-01-09 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
CN110970303B (zh) 2024-06-21
TWI782234B (zh) 2022-11-01
KR102267889B1 (ko) 2021-06-24
TW202032637A (zh) 2020-09-01
KR20200037106A (ko) 2020-04-08

Similar Documents

Publication Publication Date Title
US11282938B2 (en) Capping layers in metal gates of transistors
CN110970303B (zh) 半导体器件及其形成方法
US11742395B2 (en) Selective etching to increase threshold voltage spread
US11830936B2 (en) Gate formation with varying work function layers
KR102272121B1 (ko) 확산을 차단하기 위한 실리콘 혼합층
KR102270503B1 (ko) 반도체 디바이스 및 방법
US11101366B2 (en) Remote plasma oxide layer
US20220181463A1 (en) Transistors with Reduced Defect and Methods of Forming Same
CN112530870A (zh) 形成半导体器件的方法
US20240063061A1 (en) In-situ formation of metal gate modulators
US11532509B2 (en) Selective hybrid capping layer for metal gates of transistors
US20220310814A1 (en) Conductive Capping For Work Function Layer and Method Forming Same
EP3940748A1 (en) Composite work function layer formation using same work function material
US20220367261A1 (en) Selective Hybrid Capping Layer for Metal Gates of Transistors
US11837649B2 (en) Method for selective removal of gate dielectric from dummy fin
US20220336640A1 (en) Undoped Region Under Source/Drain And Method Forming Same
KR20230009808A (ko) 반도체 디바이스의 게이트 구조체 및 그 형성 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant