KR20150071637A - 금속 게이트를 갖는 반도체 구조 및 그 제조 방법 - Google Patents

금속 게이트를 갖는 반도체 구조 및 그 제조 방법 Download PDF

Info

Publication number
KR20150071637A
KR20150071637A KR1020140165311A KR20140165311A KR20150071637A KR 20150071637 A KR20150071637 A KR 20150071637A KR 1020140165311 A KR1020140165311 A KR 1020140165311A KR 20140165311 A KR20140165311 A KR 20140165311A KR 20150071637 A KR20150071637 A KR 20150071637A
Authority
KR
South Korea
Prior art keywords
layer
forming
gate
engineering
metal
Prior art date
Application number
KR1020140165311A
Other languages
English (en)
Other versions
KR101700484B1 (ko
Inventor
충리앙 청
옌유 첸
웨이젠 첸
창셍 리
웨이 장
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150071637A publication Critical patent/KR20150071637A/ko
Application granted granted Critical
Publication of KR101700484B1 publication Critical patent/KR101700484B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate

Abstract

금속 게이트 구조를 제조하기 위한 방법은 게이트 트렌치에 하이-k 유전체 층을 형성하는 단계; 상기 하이-k 유전체 층 위에 에칭 정지부(etch stop)를 형성하는 단계; 원자층 증착(ALD) 동작에 의해, 입계 공학 층으로서, 도펀트 원자가 그 층을 통해 침투하는 것을 허용하도록 구성된 입계 공학 층, 상기 도펀트 원자를 상기 입계 공학 층에 제공하도록 구성된 도핑 층, 및 상기 도핑 층이 산화되는 것을 방지하도록 구성된 캐핑 층의 시퀀스를 갖는 3-층을 형성함으로써 상기 에칭 정지부 위에 일함수 조정층을 형성하는 단계; 및 상기 게이트 트렌치를 레벨 업(level up)하도록 금속을 충전하는 단계를 포함한다. 입계 공학 층은 섭씨 약 200도 내지 350도와 같은 다양한 온도들 하의 ALD 동작에 의해 준비된다.

Description

금속 게이트를 갖는 반도체 구조 및 그 제조 방법{SEMICONDUCTOR STRUCTURE WITH METAL GATE AND MANUFACURING METHOD THEREOF}
본 개시는 반도체 구조 내의 금속 게이트에 관한 것이다.
반도체 집적 회로(IC) 산업은 빠른 성장을 경험하여 왔다. IC 진화의 과정에서, 기능적 밀도(즉, 칩 영역 당 상호연결된 디바이스들의 수)는 일반적으로 증가한 반면에, 지오메트리 크기(즉, 제작 프로세스를 이용하여 생성될 수 있는 최소 컴포넌트(또는 라인))는 감소하였다. 이 스케일링 다운(scaling down) 프로세스는 일반적으로 생산 효율을 증가시키고 연관된 비용들을 낮춤으로써 이익들을 제공한다. 이러한 스케일링 다운은 또한 IC의 프로세싱 및 제조의 복잡도를 증가시키고, 이들 진보들이 실현되기 위해서, IC 프로세싱 및 제조에 있어서 유사한 개발들이 필요로 된다. 트랜지스터의 치수들이 감소함에 따라, 게이트 길이가 감소된 채로 성능을 유지하기 위해 게이트 산화물의 두께는 감소되어야 한다. 그러나, 게이트 누설을 감소시키기 위해, 더 큰 테크놀로지 노드들에서 이용되는 통상적인 게이트 산화물에 의해 제공되었을 것과 동일한 유효 커패시턴스를 유지하면서 더 큰 물리적 두께를 허용하는 높은 유전율(하이-k) 게이트 절연체 층들이 이용된다.
부가적으로, 테크놀로지 노드들이 축소됨에 따라, 몇몇 IC 설계들에서, 피처 크기들이 감소된 채로 디바이스 성능을 개선하기 위해 통상적인 폴리실리콘 게이트 전극을 금속 게이트(MG) 전극으로 대체하고자 하는 바램이 있어왔다. MG 전극을 형성하는 하나의 프로세스는, "게이트 퍼스트(gate first)"로 불리는 다른 MG 전극 형성 프로세스에 대조적으로, "게이트 라스트(gate last)" 프로세스로 불린다. "게이트 라스트" 프로세스는 게이트의 형성 이후에 수행되어야 하는 고온 프로세싱을 포함하는 감소된 수의 후속 프로세스들을 허용한다.
따라서, 원하는 것은 기판 상에 형성되는 NMOS 및 PMOS 트랜지스터들 각각에 대해 상이하게 구성되는 금속 게이트 구조들을 제공하는 방법 및 반도체 디바이스이다.
몇몇 실시예들에서, 금속 게이트 구조는 다음의 동작들, 즉 게이트 트렌치에 하이-k 유전체 층을 형성하는 단계; 하이-k 유전체 층 위에 에칭 정지부(etch stop)를 형성하는 단계; 입계 공학 층으로서, 도펀트 원자가 그 층을 통해 침투하는 것을 허용하도록 구성된 입계 공학 층, 도펀트 원자를 입계 공학 층에 제공하도록 구성된 도핑 층, 및 도핑 층이 산화하는 것을 방지하도록 구성된 캐핑 층의 시퀀스를 갖는 3-층을 형성함으로써 에칭 정지부 위에 일함수 조정층을 형성하는 단계; 및 게이트 트렌치를 레벨 업(level up)하도록 금속을 충전하는 단계에 의해 제조된다.
몇몇 실시예들에서, 금속 게이트 제조 동작에서 입계 공학 층, 도핑 층 및 캐핑 층의 시퀀스를 갖는 3-층을 형성하는 것은 원자층 증착(atomic layer deposition; ALD) 동작을 이용하는 것을 포함한다.
몇몇 실시예들에서, DMAH(dimethylaluminumhydride) 또는 DMEAA(dimethylethylaminealane)는 금속 게이트 제조 동작에서 이용되는 ALD 동작에서 도핑 층을 형성하는데 있어서의 전구체로서 이용된다.
몇몇 실시예들에서, 입계 공학 층의 형성은 금속 게이트 제조 동작에서 섭씨 약 200도 내지 약 350도의 온도 범위 내에서 ALD 동작을 수행하는 것을 포함한다.
몇몇 실시예들에서, 입계 공학 층의 형성은 금속 게이트 제조 동작에서 클로저 막을 형성하도록 충분한 ALD 사이클을 수행하는 것을 포함한다.
몇몇 실시예들에서, 금속 게이트 구조는 진공 하에서 입계 공학 층을 형성하는 챔버로부터 도핑 층을 형성하는 다른 챔버로 전달된다.
몇몇 실시예들에서, 금속 게이트 제조 동작은 일함수 조정층을 형성하기 이전에 P 일함수 층을 형성하는 단계; 및 일함수 조정층을 형성하기 이전에 P 일함수 층을 제거하는 단계를 더 포함한다.
몇몇 실시예들에서, 금속 게이트 제조 동작은 더미 게이트 영역을 정의하는 단계; 및 게이트 트렌치를 노출하도록 더미 게이트 영역의 부분을 제거하는 단계를 더 포함한다.
몇몇 실시예들에서, FinFET에서 금속 게이트 구조는 다음의 동작들, 즉 핀(fin)을 형성하는 단계; 핀 위에 더미 게이트 및 층간 유전체(interlayer dielectric; ILD)를 형성하는 단계; 게이트 트렌치를 노출하도록 더미 게이트의 부분을 제거하는 단계; 입계 공학 층 및 입계 공학 층 위의 도핑 층을 형성함으로써 게이트 트렌치에 일함수 조정층을 형성하는 단계에 의해 제조된다.
몇몇 실시예들에서, 입계 공학 층의 형성은 FinFET에서의 금속 게이트 구조 제조 동작에서 섭씨 약 200도 내지 약 350도의 온도 범위 내에서 ALD 동작을 수행하는 것을 포함한다.
몇몇 실시예들에서, 입계 공학 층 및 도핑 층의 형성은 진공 하에서 입계 공학 층의 형성을 수행하는 챔버로부터 도핑 층의 형성을 수행하는 다른 챔버로 FinFET의 금속 게이트 구조를 전달함으로써 입계 공학 층의 표면 산화를 방지하는 것을 포함한다.
몇몇 실시예들에서, FinFET에서 금속 게이트 구조의 제조 동작은 도핑 층 위에 캐핑 층을 형성하는 단계를 더 포함한다.
몇몇 실시예들에서, 금속 게이트를 갖는 반도체 구조는 하이-k 유전체 층; 일함수 조정층; 및 금속 층을 포함한다. 일함수 조정층은 도펀트 원자가 그곳을 통해 침투하게 허용하도록 구성되는 입계 공학 층; 도펀트 원자를 입계 공학 층에 제공하도록 구성된, 입계 공학 층 위의 도핑 층; 및 도핑 층이 산화하는 것을 방지하도록 구성된, 도핑 층 위의 캐핑 층을 포함한다. 일함수 조정층은 하이-k 유전체 층과 금속 층 간에 개재된다.
몇몇 실시예들에서, 금속 게이트를 갖는 반도체 구조는 핀 전계 효과 트랜지스터(FinFET)이다.
몇몇 실시예들에서, FinFET에서 금속 게이트의 게이트 길이는 16nm 미만이다.
몇몇 실시예들에서, 금속 게이트의 높이와 FinFET의 게이트 길이의 종횡비는 약 1 내지 약 10의 범위이다.
몇몇 실시예들에서, 핀 높이와 인접한 핀들 간의 피치의 종횡비는 약 0.2 내지 약 10의 범위이다.
몇몇 실시예들에서, FinFET의 게이트 구조에서 입계 공학 층은 비정질 클로저 막(amorphous closure film)이다.
몇몇 실시예들에서, FinFET의 게이트 구조에서 입계 공학 층은 결정질 클로저 막이다.
몇몇 실시예들에서, FinFET의 게이트 구조에서 입계 공학 층의 두께는 약 10Å 내지 약 30Å의 범위이다.
본 개시의 양상들은 첨부 도면들과 함께 읽혀질 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처들이 제 축적대로 그려지진 않는다는 것이 강조된다. 사실상, 다양한 피처들의 치수들은 논의의 명확성을 위해 임의로 증가되거나 감소될 수 있다.
도 1은 본 개시의 몇몇 실시예들에 따라 반도체 구조의 금속 게이트의 단면도이다.
도 2는 본 개시의 몇몇 실시예들에 따라 금속 게이트 구조를 갖는 핀 전계 효과 트랜지스터(Fin Field Effect Transistor; FinFET)의 투시도이다.
도 3a는 본 개시의 몇몇 실시예들에 따라 도 2에서 도시된 FinFET 구조의 금속 게이트의 단면도이다.
도 3b는 본 개시의 몇몇 실시예들에 따라 도 2에서 도시된 FinFET 구조의 금속 게이트의 단면도이다.
도 4a는 본 개시의 몇몇 실시예들에 따른 일함수 조정층 내의 3-층의 확대된 단면도이다.
도 4b는 본 개시의 몇몇 실시예들에 따른 입계 공학층의 특성을 도시하는 개략도이다.
도 5a는 본 개시의 몇몇 실시예들에 따라 일함수 조정층 내의 3-층의 확대된 단면도이다.
도 5b는 본 개시의 몇몇 실시예들에 따라 입계 공학층의 특성을 도시하는 개략도이다.
도 6a, 7a, 8a, 9a, 10a는 본 개시의 몇몇 실시예들에 따라 NFET 구조에서 금속 게이트에 대한 제조 방법의 동작들이다.
도 6b, 7b, 8b, 9b, 10b는 본 개시의 몇몇 실시예들에 따라 PFET 구조에서 금속 게이트에 대한 제조 방법의 동작들이다.
도 11은 본 개시의 몇몇 실시예들에 따라 다수의 성장 챔버들을 갖는 반도체 웨이퍼 제조 툴이다.
도 12 내지 도 17은 본 개시의 몇몇 실시예들에 따라 금속 게이트에 대한 게이트 라스트 제조 방법의 동작들이다.
다음의 상세한 설명에서, 다수의 특정한 세부사항들의 본 발명의 완전한 이해를 제공하기 위해 제시된다. 그러나 본 발명은 이들 특정한 세부사항들 없이 실시될 수 있다는 것이 당업자에 의해 이해될 것이다. 다른 예들에서, 잘 알려진 방법들, 프로시저들, 컴포넌트들 및 회로들은 본 발명을 모호하게 하지 않도록 상세히 설명되지 않는다. 다음의 개시는 다양한 실시예들의 상이한 특징들을 구현하기 위해 다수의 상이한 실시예들 또는 예들을 제공한다는 것이 이해될 것이다. 컴포넌트들 및 배열들의 특정한 예들은 본 개시를 단순하게 하기 위해 아래에서 설명된다. 물론, 이들은 단지 예들이며 제한하는 것으로 의도되지 않는다.
실시예들의 제조 및 이용이 아래에서 상세히 논의된다. 그러나 본 발명은 매우 다양한 특정한 맥락들에서 실현될 수 있는 다수의 응용 가능한 진보성있는 개념들을 제공한다는 것이 인지되어야 한다. 논의된 특정한 실시예들은 본 발명을 제조 및 이용하기 위한 특정한 방식들을 단지 예시하며 본 발명의 범위를 제한하지 않는다.
알루미늄 주입은 트랜지스터의 채널 영역에 근접한 금속 게이트(MG) 스택의 유효 일함수 및 플랫 밴드 전압(flat band voltage; VFB)을 감소시키는데 이용된다. 알루미늄과 같은 금속 엘리먼트들은, N-타입 트랜지스터의 채널 영역에서 음의 캐리어들을 끌어당기고 그에 따라 문턱 전압을 낮추는 그의 능력을 고려하면, N-타입 트랜지스터의 문턱 전압을 조정하기 위한 유명한 비에클(prominent vehicle)로서 이용되었다. 그러나 디바이스 테크놀로지 노드의 축소과 함께, 원자층 증착(atomic layer deposition; ALD)은 상기 금속 엘리먼트들을 포함하는 전도성 박막을 직접 증착하기 위한 수단으로서 채택된다.
ALD 동작 동안, 알루미늄 기포 결함들은 적어도 2개의 이슈들: 1) 예를 들어, 과도한 알루미늄 전구체 투여량(예를 들어, 너무 많은 DMAH(dimethylaluminumhydride) 및/또는 DMEAA(dimethylethylaminealane)은 전구체들의 자가-반응을 유도하여 알루미늄 응집(agglomeration)을 형성할 것임) 및 2) 전구체들의 흡수의 정도를 감소시키는 자유 전자-결핍 언더층(free electron-deficient underlayer)에 기인할 수 있다. 자유 전자-결핍 언더층은 비-알루미늄 함유 막의 성장 동안 진공 상태(즉, 진공 브레이크(vacuum break))의 차단에 의해 형성되는 산화물 층일 수 있다. 산화물 층은 자유 전자들의 부족 뿐만 아니라 위에 놓인 층으로부터 알루미늄 이온들로의 확산 채널들을 차단한다. 금속 이온이 트랜지스터의 채널 영역에 근접한 위치에 도달할 수 없을 때, 문턱 전압 튜닝 능력은 이에 따라 제한된다.
본 개시의 몇몇 실시예들에서, 3-층 구조 및 인-시추-ALD 동작(in situ-ALD operation)은 MG 스택의 형성 시에 도입된다. 보다 구체적으로, 본 명세서에서 설명된 3-층 구조는 일함수 조정층, 또는 N 일함수 금속 층으로서 기능하고, 인 시추-ALD 동작은 증착 과정 동안 어떠한 진공 브레이크도 요구되지 않는 ALD 동작 흐름을 제공한다.
본 명세서에서 논의된 3-층 구조는 (아래부터 위로) 입계 공학층(grain boundary engineering layer; GBEL), 알루미늄 함유 도핑층 및 선택적인 캐핑 층을 지칭한다. 몇몇 실시예들에서, GBEL은 도펀트 원자가 입계들(grain boundaries)과 같은 확산 채널들을 통해 그곳을 침투하도록 허용한다. 도핑층은 GBEL 상에 안착되고 도펀트 소스를 GBEL에 제공한다. 선택적인 캐핑 층은 도핑 층 위에 위치되어 도핑 층이 인-시추 캐핑 조치에 의해 산화되는 것을 방지한다. GBEL에서 도펀트 침투의 정도를 제어함으로써, 트랜지스터의 문턱 전압이 조정 가능하다. 또한, 진공 브레이크 없이 인-시추 방식으로 3-층 구조의 성장을 수행함으로써, 어떠한 산화물 층도 3-층 구조에서 형성되지 않는다.
본 개시의 몇몇 실시예들은 3-층 구조를 제공하고, 인 시추 ALD 동작은, 전구체의 투여량에 의존할 뿐만 아니라 GBEL의 도펀트 침투의 정도를 통해 조정 가능한 트랜지스터의 문턱 전압의 튜닝을 허용한다. MG 스택에서 알루미늄 기포 결함들은 산화물 층 형성 없는 인-시추 막 성장으로 인해 완화될 수 있다.
본 개시의 몇몇 실시예들은 금속 게이트 MOSFET(MG-MOSFET)을 제공한다. MG-MOSFET은 3-층 구조를 포함하고, 본 명세서에서 논의되는 바와 같이 진공 브레이크 없이 인 시추 방식으로 제조된다. 본 개시의 다른 실시예들은 금속 게이트 FinFET(MG-FinFET)를 제공한다. MG-FinFET는 3-층 구조를 제공하고, 본 명세서에서 논의된 바와 같이 진공 브레이크 없이 인 시추 방식으로 제조된다.
본 개시의 몇몇 실시예들은 3-층 구조를 포함하는 MG-FinFET의 NFET 및 PFET를 제조하기 위한 방법을 제공한다. 본 개시의 다른 실시예들은 "게이트 라스트" 동작을 이용하여 3-층 구조를 포함하는 MG-FinFET를 제조하기 위한 방법을 제공한다.
도 1은 3-층 구조를 갖는 금속 게이트(100)의 단면도를 도시한다. 몇몇 실시예들에서, 금속 게이트(100)를 갖는 반도체 디바이스는 NMOS이다. 3-층 구조 또는 일함수 조정층(105) 및 일함수 조정층(105)에 의해 형성되는 트렌치를 충전하는 금속 층(107)은 집합적으로 금속 게이트 스택(105, 107)이라 불린다. 도 1에서, 금속 게이트 스택(105, 107)은 반도체 기판(101) 위에 위치되고 하이-k 유전체 층(103)이 반도체 기판(101)과 금속 게이트 스택(105, 107)의 하부 사이에 라이닝된다. 선택적인 스페이서(110)는 후속 소스/드레인 정렬 동작을 용이하게 하기 위해 금속 게이트 스택(105, 107)의 측벽에 안착된다. 하이-k 유전체 층(103)은 금속 게이트 스택(105, 107)과 선택적인 스페이서(110) 간에 라이닝된다.
도 1에서 도시된 바와 같이, 금속 게이트 스택(105, 107), 하이-k 유전체 층(103), 및 선택적인 스페이서(110)는 측방향으로, 층간 유전체(interlayer dielectric; ILD)(109) 사이에 위치된다. 도 1에서, 소스 또는 드레인(S/D)(108)은 반도체 기판(101)에 정의되고, 소스와 드레인 간 거리는 게이트 길이(Lg)이다. 몇몇 실시예들에서, NMOS의 게이트 길이(Lg)는 16nm이다. 다른 실시예들에서, NMOS의 게이트 길이(Lg)는 16nm 미만이다.
3-층 구조는 금속 게이트 스택(105, 107)의 일함수 조정층(105)에서 도시된다. 몇몇 실시예들에서, 3-층 구조는 N 일함수 금속 층으로서 기능한다. 몇몇 실시예들에서, 3-층 구조는 입계 공학 층(GBEL)(105a), 도핑 층(105b), 및 캐핑 층(105c)을 포함한다. GBEL은 하이-k 유전체 층(103)과 대면하는 금속 게이트 스택(105, 107)의 최외곽 층이다. 도핑 층(105b)은 GBEL(105a)의 프로파일에 따르며, GBEL(105a)와 캐핑 층(105c) 간에 개재된다.
본 개시의 몇몇 실시예들에서, 본 명세서에서 지칭되는 반도체 기판(101)은 다양한 층들 및 디바이스 구조가 형성되는 벌크 반도체 기판이다. 몇몇 실시예들에서, 벌크 기판은 실리콘 또는 GaAs, InP, Si/Ge, 또는 SiC와 같은 화합물 반도체를 포함한다. 다양한 층들이 반도체 기판(101) 상에 형성될 수 있다. 예를 들어, 유전체 층들, 도핑된 층들, 폴리실리콘 층들 또는 전도성 층들이 있다. 예를 들어, 다양한 디바이스들이 반도체 기판(101) 상에 형성될 수 있다. 예를 들어, 상호연결 층을 통해 부가적인 집적 회로들에 상호연결될 수 있는 트랜지스터들, 레지스터들, 및/또는 커패시터들이 있다.
본 개시의 몇몇 실시예들에서, 하이-k 유전체 층(103)은 ALD, CVD, 금속 유기 CVD(MOCVD), PVD, 플라즈마 강화 CVD(PECVD), 플라즈마 강화 ALD(PEALD), 열 산화, 이들의 결합들 또는 다른 적합한 기법들에 의해 형성된다. 몇몇 실시예들에서, 하이-k 유전체 층(103)은 약 5 내지 약 30 Å 범위의 두께를 포함한다. 하이-k 유전체 층(103)은 HfOx와 같은 이진 또는 3진 하이-k 막을 포함한다. 몇몇 실시예들에서, 하이-k 유전체 층(103)은 LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3(STO), BaTiO3(BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, 산질화물, 또는 다른 적합한 물질들과 같은 다른 하이-k 유전체들을 포함한다.
본 개시의 몇몇 실시예들에서, GBEL(105a)은 약 10Å 내지 약 30Å의 범위의 두께를 갖는 TiN 또는 TaN을 포함한다. GBEL(105a)은 도핑 층(105b)으로부터 주입되는 도펀트 원자가 확산에 의해 그것을 통해 침투하도록 허용한다. GBEL(105a)은 섭씨 약 200 내지 350도의 기판 온도 하에서 ALD, PVD, CVD, PECVD, 또는 다른 적합한 기법들과 같은 다양한 증착 기법들에 의해 형성된다.
몇몇 실시예들에서, GBEL(105a)의 막 두께는 막의 클로저 상태에 의해 결정된다. 본 명세서에서 이용되는 "클로저 막(closure film)"이란 용어는 박막 성장에 의해 달성되는 언더층에 대한 완료된 커버리지 상태를 지칭한다. 예를 들어, ALD 성장 조건이 아일랜드 성장 매커니즘을 유도할 때, 클로저 막은 약 30 사이클들 이후에 획득될 수 있다. 몇몇 실시예들에서, 획득된 클로저 막은 약 15Å 내지 약 20Å의 두께를 갖는다. 몇몇 실시예들에서, GBEL(105a)(동시에 클로저 막)은 정의된 입계들이 전자 현미경 관찰 하에서 식별될 수 있는 결정질 구조를 갖는다. 다른 실시예들에서, GBEL(105a)(동시에 클로저 막)은 어떠한 정의된 입계들도 식별되지 않을 수 있는 비정질 구조를 갖는다.
결정질 구조가 GBEL(105a)에서 식별되는 본 개시의 몇몇 실시예들에서, 다양한 정도의 결정도(crystallinity)는 GBEL(105a) 상에서 수행되는 X-레이 회절(XRD)에 의해 식별될 수 있다. 예를 들어, 섭씨 약 275도의 기판 온도 하에서 ALD 동작에 의해 성장되는 GBEL은 XRD 2-세타 스캔에서, 섭씨 약 225도에서 성장되는 GBEL 층의 2배의 (111) 피크 세기를 갖는다. 다른 예를 들어, 섭씨 약 325도의 기판 온도 하에서 ALD 동작에 의해 성장되는 GBEL은 XRD 2-세타 스캔에서, 섭씨 약 225도에서 성장된 GBEL 층보다 3배의 (111) 피크 세기를 갖는다. 섭씨 약 325도의 더 높은 온도에서 성장된 GBEL이 섭씨 약 225도의 더 낮은 온도에서 성장된 GBEL에 비해 더 양호한 결정도를 갖는다는 것이 보여진다.
본 개시의 몇몇 실시예들에서, 도핑 층(105b)은 약 20Å 내지 약 50Å의 두께를 갖는 TiAlx를 포함한다. 몇몇 실시예들에서, 알루미늄 전구체 DMAH(dimethylaluminumhydride) 또는 DMEAA(dimethylethylaminealane)를 이용한 ALD 동작이 도핑 층(105b)을 형성하는데 이용된다.
도 1을 참조하면, 도핑 층(105b)은 GBEL(105a)의 상부 상에 위치된다. 도핑 층(105b)은 도펀트들, 몇몇 실시예들에서, 알루미늄 이온들을 GBEL(105a)에 제공하도록 구성된다. GBEL(105a)의 결정도 및 입계 밀도가 비교적 높은(예를 들어, 섭씨 약 325도에서 ALD-성장된 GBEL)은 경우에서, 도핑 층(105b)으로부터 GBEL(105a)로 침투하는 도펀트의 양은, GBEL(105a)의 결정도 및 입계 밀도가 비교적 낮은(예를 들어, 섭씨 약 225도에서 ALD-성장된 GBEL) 경우보다 더 많다. GBEL(105a)에 누적된 도펀트들이 더 많을수록 트랜지스터의 문턱 전압은 더 낮다. 즉, GBEL(105a)의 마이크로구조는 상이한 문턱 전압 요건을 충족하도록 맞춤제작된다.
본 개시의 몇몇 실시예들에서, 캐핑 층(105c)은 약 10Å 내지 약 25Å 범위의 두께를 갖는 TiN 또는 TaN을 포함한다. 캐핑 층(105c)은 인 시추 방식으로(즉, 진공 브레이크 없이) 도핑 층(105b)의 상부 상에 형성되어, 도핑 층(105b)이 산화되는 것을 방지한다. 캐핑 층(105c)은 ALD, PVD, CVD, PECVD, 또는 다른 적합한 기법들과 같은 다양한 증착 기법들에 의해 형성된다. 몇몇 실시예들에서, 캐핑 층(105c)은 본 명세서에서 논의된 3-층으로부터 제거될 수 있는 선택적인 층이다
본 개시의 몇몇 실시예들에서, 금속 층(107)은 금속 게이트 스택(105, 107) 내의 잔여 게이트 트렌치를 충전하도록 형성된다. 금속 층(107)은 WN, TaN, 또는 Ru와 같은 금속 게이트 또는 그의 부분을 형성하기에 적합한 임의의 금속 물질을 포함한다. 몇몇 실시예들에서, P-금속층은 TiN/W, WN, 및 WCN와 같은 다중-금속 층 구조를 포함한다.
몇몇 실시예들에서, 일함수 조정층(105) 및 하이-k 유전체 층(103) 외에, 금속 게이트 스택(105, 107)은 또한 라이너 층들, 계면 층들, 시드 층들, 부착 층들, 배리어 층들 또는 그의 등가물들을 포함한다.
몇몇 실시예들에서, ILD(109)는 유전체 물질을 포함한다. 몇몇 실시예들에서, 유전체 물질은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 스핀-온 글래스(spin-on glass; SOG), 플루오르화된 실리카 글래스(fluorinated silica glass; FSG), 탄소 도핑 실리콘 산화물(예를 들어, SiCOH), BLACK DIAMOND®(캘리포니아, 산타클라라의 어플라이드 매서리얼즈(Applied Materials)), XEROGEL®, AEROGEL®, 비정질 플루오르화된 탄소, 파릴렌, BCB(bis-benzocyclobutenes), FLARE®, SILK®(미시간, 미드랜드의 도우 케미컬(Dow Chemical)), 폴리이미드, 다른 적절한 다공성 폴리머릭 물질들, 다른 적합한 유전체 물질들 및/또는 이들의 결합들을 포함한다. 몇몇 실시예들에서, ILD(109)는 고밀도 플라즈마(high density plasma; HDP) 유전체 물질(예를 들어, HDP 산화물) 및/또는 고 종횡비 프로세스(high aspect ratio process; HARP) 유전체 물질(예를 들어, HARP 산화물)을 포함한다. ILD(109)는 하나 이상의 유전체 물질들 및/또는 하나 이상의 유전체 층들을 포함할 수 있다는 것이 이해된다. ILD(109)는 금속 게이트 스택(103, 105)의 상부 부분이 도 1에서 예시된 바와 같이 노출될 때까지 화학-기계적-폴리싱(chemical-mechanical-polishing; CMP) 프로세스에 의해 평탄화된다. CMP 프로세스는 금속 게이트 스택(103, 105), 스페이서들(110), 및 ILD(109)에 대한 실질적으로 평탄한 표면을 제공하기 위해 고 선택비(high selectivity)를 포함한다. 몇몇 실시예들에서, CMP 프로세스는 낮은 디싱(dishing) 및/또는 금속 부식 효과를 갖는다.
도 2를 참조하면, 금속 게이트 FinFET 구조(200)의 투시도이다. 2개의 반도체 핀들(203)은 기판(201) 상에 위치되고 얕은 트렌치 격리(shallow trench isolation)(205)에 의해 분리된다. 반도체 핀(203)은 실리콘, 실리콘-게르마늄, 게르마늄, 또는 다른 적합한 반도체 물질로 형성될 수 있다. 금속 게이트(209)는 반도체 핀들(203)의 상부 표면(203a) 및 측벽(203B) 위에 있다. 트랜지스터의 채널(도시되지 않음)은 반도체 핀의 상부 표면(203A) 및 측벽(203B)을 따라 정의되고 반도체 핀(203)의 소스(S)와 드레인(D) 간에 연장된다. 도 2에서 도시된 바와 같이, ILD(207)는 반도체 핀들(203)의 소스(S)와 드레인(D) 부분에서 상부 표면(203A) 및 측벽(203B) 위에 위치된다.
도 3a 및 도 3b를 참조하면, MG FinFET 구조의 2개의 단면도들이 도시된다. 도 3a에서 도시된 단면은 도 2에서 도시된 제 1 방향으로부터 절단한 것이다. 제 1 방향은 반도체 핀(203)의 세로축과 병렬이며 "1"로서 표시된다. 도 3a에서 동일한 번호 라벨들을 갖는 엘리먼트들 및 도 1 및 2의 엘리먼트들은 동일한 물질들의 구조를 가리키며, 단순함을 위해 여기서 반복되지 않는다. 도 3a에서, 금속 게이트 스택(105, 107)은 반도체 핀(203) 상에 위치된다. 채널 길이(Lg)는 반도체 핀(203)의 상부 표면(203A)을 따라 정의되고 반도체 핀(203)의 소스(S)와 드레인(D) 간에 연장된다. 몇몇 실시예들에서, MG FinFET 구조의 채널 길이(Lg)는 약 16nm이거나 16nm 미만이다. 금속 게이트 스택(105, 107)의 높이(H1)는 금속 게이트의 평준화된(leveled) 상부 표면으로부터 반도체 핀(203)의 상부 표면(203A)까지 측정된 길이로서 정의된다. 몇몇 실시예들에서, 금속 게이트 스택(105, 107)의 높이(H1)는 약 20nm 내지 약 110nm이다. 몇몇 실시예들에서, 금속 게이트 스택(105, 107)의 종횡비는 금속 게이트 스택(105, 107)의 높이와 금속 게이트 스택(105, 107)에 의해 정의된 채널 길이(Lg)의 비를 지칭한다. 종횡비가 더 클수록, 금속 게이트 스택(105, 107)의 성장 시에 부과될 수 있는 증착 제어가 더 크다.
도 3b에서 도시된 단면은 도 2에서 도시된 제 2 방향으로부터 절단한 것이다. 제 2 방향은 반도체 핀(203)의 세로축에 수직이며 "2"로서 표시된다. 도 3a에서 동일한 번호 라벨들을 갖는 엘리먼트들 및 도 1 및 2의 엘리먼트들은 동일한 물질들의 구조를 가리키며, 단순함을 위해 여기서 반복되지 않는다. 도 3b에서, 일함수 조정층(105) 및 하이-k 유전체 층(103)은 반도체 핀들(203)의 상부 표면(203A) 및 측벽(203B) 상에 컨포멀하게(conformally) 증착된다. 도 3b의 하위 부분에서, 반도체 핀(203)은 STI(205)에 의해 분리되는 반면에, 도 3b의 상위 부분에서, 반도체 핀(203)은 ILD(207)에 의해 분리된다. FinFET 구조의 채널 길이는 도 3b에서 도시된 바와 같이 제 2 방향을 따라 절단되는 단면으로부터 알 수 없다. 핀 높이(H2)는 반도체 핀(203)의 상부 표면(203A)으로부터 STI(205)의 상부 표면까지 측정된 길이로서 정의된다. 몇몇 실시예들에서, 핀 높이(H2)는 약 5nm 내지 약 50nm 범위에 있다. FinFET 구조의 피치(P)는 도 3b에서와 같이 도시된 단면도에서 보이는 2개의 인접한 반도체 핀(203) 간의 거리를 지칭한다. 몇몇 실시예들에서, 2개의 인접한 금속 게이트 FinFET 구조들 간의 피치(P)는 약 5nm 내지 약 20nm이다. 몇몇 실시예들에서, FinFET 구조의 핀 높이(H2)와 피치(P)의 비는 약 0.2 내지 약 10의 범위에 있다. H2 대 P의 비가 클수록, FinFET 구조 상의 금속 게이트의 성장 시에 부가될 수 있는 증착 제어가 더 크다.
도 4a를 참조하면, 본 명세서에서 논의되는 일함수 조정층(105)의 확대도가 도시된다. 몇몇 실시예들에서, 일함수 조정층은 비정질 또는 저 결정질 GBEL(105a), 도핑 층(105b), 및 선택적인 캐핑 층(105c)을 포함하는 3-층 구조이다. 위에서 언급된 3-층 구조에 대해 이용되는 기능 및 물질들은 본 개시의 도 1을 참조한 설명에서 진술되며, 단순함을 위해 여기서 반복되지 않는다. 도 4b는 도펀트 D가 도핑 층(105b)으로부터 비정질 또는 저 결정도 GBEL(105a)로 침투하는 것을 예시하는 개략도이다. 도 4b에서 도시된 바와 같이, 2개의 인접한 결정 입자들(105)을 분할하는 입계들은 도펀트 D에 대한 주요 확산 채널이다.
도 5a를 참조하면, 본 명세서에서 논의되는 일함수 조정층(105)의 확대도가 도시된다. 몇몇 실시예들에서, 일함수 조정층(105)은 고 결정질 GBEL(105a), 도핑 층(105b), 및 선택적인 캐핑 층(105c)을 포함하는 3-층 구조이다. 위에서 언급된 3-층 구조에 대해 이용되는 기능 및 물질들은 본 개시의 도 1을 참조한 설명에서 진술되며, 단순함을 위해 여기서 반복되지 않는다. 도 5b는 도펀트 D가 도핑 층(105b)으로부터 고 결정질 GBEL(105a)로 침투하는 것을 예시하는 개략도이다. 도 5b에서 도시된 바와 같이, 2개의 인접한 결정 입자들(105)을 분할하는 입계들은 도펀트 D에 대한 주요 확산 채널이다.
도 4a 내지 도 5b로부터 알 수 있는 바와 같이, GBEL(105a)의 결정도가 높을수록, 입계 밀도가 높고, 이에 따라 위에 놓인 층으로부터의 도펀트들은 GBEL(105a)를 통해 침투하도록 허용되고, 트랜지스터의 채널 영역에 근접하게 위치된다.
몇몇 실시예들에서, 도 6a 내지 도 10b는 금속 게이트 MOSFET 구조에 대한 제조 방법을 도시하는 동작들이다. 도 6a 내지 도 10b에서 도시된 동작들은 상이한 온도들에서 GBEL(105a)을 형성함으로써 GBEL(105a) 위의 도핑 층(105b) 내의 도핑 원자들에 관하여 GBEL(105a)에서의 침투의 정도를 조정함으로써 금속 게이트 트랜지스터 구조의 문턱 전압을 제어하는 방법을 제공한다. 도 6a, 7a, 8a, 9a, 10a는 NMOS 구조에서 금속 게이트에 대한 제조 방법의 동작들이고, 도 6b, 7b, 8b, 9b, 10b는 PMOS 구조에서 금속 게이트에 대한 제조 방법의 동작들이다. 도 3a에서 동일한 번호 라벨들을 갖는 엘리먼트들 및 도 6a, 7a, 8a, 9a, 10a의 엘리먼트들은 동일한 물질 구조를 가리키며, 단순함을 위해 여기서 반복되지 않는다. 도 6a에서, ILD(109), 질화물 층(113), 스페이서(110), 하이-k 유전체 층(103), 에칭 정지/배리어 층(104A), 및 게이트 트렌치(106)가 현재 기술에 따라 반도체 기판(101) 상에 형성된다. 화학 기계적 폴리싱(CMP) 동작은 스페이서(110), 질화물 층(113) 및 ILD(109)의 형성 이후에 수행된다. 게이트 트렌치(106)는 더미 게이트 물질들(도시되지 않음)을 제거함으로써 형성된다. 더미 게이트 물질들의 제거를 지칭하는 동작은 본 개시의 도 12 내지 도 17에서 논의된다. 몇몇 실시예들에서, 더미 게이트 물질들의 제거 이후에, 하이-k 유전체 층(103) 및 에칭 정지/배리어(104A)가 형성된다. 도 6b에서, 도 6a에서의 동작들과 동일한 동작들이 PMOS 구조 상에서 수행된다.
몇몇 실시예들에서, 에칭 정지/배리어 층(104A)은 하이-k 유전체 층(103) 위에 형성된다. 몇몇 실시예들에서, 에칭/정지 배리어 층(104A)은 약 5 내지 약 30 Å 범위의 두께를 갖는 TiN 또는 TaN을 포함한다. 에칭 정지/배리어 층(104A)은 하이-k 유전체 층(103)을 보호하기 위한 배리어로서 기능한다. 에칭 정지/배리어 층(104A)은 ALD, PVD, CVD, PECVD 또는 다른 적합한 기법과 같은 다양한 증착 기법들에 의해 형성된다.
도 7a 및 도 7b를 참조하면, P 일함수 층(104B)이 NMOS(도 7a) 및 PMOS(도 7B) 구조 양자에서 에칭 정지/배리어 층(104A) 위에 형성된다. 몇몇 실시예들에서, P 일함수 층(104B)은 ALD, PVD, CVD, 또는 다른 적합한 프로세스에 의해 형성될 수 있다. 대안적으로, PMOS 디바이스에서 적절히 수행되는 P 일함수 층(104B)은 TiN, TaN, 또는 Ru와 같은 다른 적합한 금속들을 포함한다. 몇몇 실시예들에서, P 일함수 층(104B)은 TiN/WN과 같은 다중-금속층 구조를 포함한다.
도 8a 및 도 8b를 참조하면, 에칭 동작은 도 7a에서 형성된 NMOS 구조의 P 일함수 층(104B)을 제거하도록 수행된다. 스핀-온-글래스(SOG)(120)는 PMOS 구조(도 8b)의 게이트 트렌치(106) 위에 형성되어, P 일함수 층(104B)이 PMOS 구조 위에서 제거되는 것을 방지하기 위한 에칭 마스크로서 기능한다. 몇몇 실시예들에서, SOG(120)는 NMOS 및 PMOS 구조 둘 다 상에서 스핀 온되고, 포토레지스트 층은 추가로 블랭킷(blanket) SOG(120) 위에서 패터닝되어, NMOS 구조 위의 영역을 노출한다. 건식 에칭 동작은 포토레지스트 층에 의해 커버되지 않는 SOG(120)의 부분을 제거하도록 수행된다. 후속적으로, 노출된 P 일함수 층(104B)은 건식 에칭, 습식 에칭, 건식 및 습식 에칭의 결합 또는 다른 적합한 프로세스에 의해 제거된다. 포토레지스트 및 SOG(120)는 이어서 P 일함수 층(104B)의 제거 이후에 벗겨진다.
도 9a 및 도 9b를 참조하면, 일함수 조정층(105)은 NMOS 구조 상의 에칭/정지 배리어 층(104A) 위에(도 9a) 및 PMOS 구조 상의 P 일함수 층(104B) 위에(도 9b) 형성된다. 몇몇 실시예들에서, 일함수 조정층(105)은 몇 개의 ALD 동작들에 의해 증착되는 3-층 구조를 포함한다. 몇몇 실시예들에서, 3-층 구조(105)의 GBEL(105a)은 약 섭씨 225도의 기판 온도에서 ALD 동작에 의해 형성되는 TaN 막이다. 다른 실시예들에서, 3-층 구조(105)의 GBEL(105a)은 섭씨 약 275도의 기판 온도에서 ALD 동작에 의해 형성되는 TaN 막이다. 다른 실시예들에서, 3-층 구조(105)의 GBEL(105a)는 섭씨 약 325도의 기판 온도에서 ALD 동작에 의해 형성되는 TaN 막이다. 도 4a 내지 도 5b에서 앞서 논의된 바와 같이, ALD 동작에서 GBEL(105a) 성장을 위한 성장 온도의 선택은 원하는 도펀트 침투의 정도에 의존한다. 몇몇 실시예들에서, GBEL(105a)의 두께는 GBEL(105a)의 "클로저" 상태에 관련된다. 예를 들어, 몇몇 실시예들에서, 30 ALD 사이클들은 클로저 막을 획득하기 위해 섭씨 약 325도의 기판 온도 하에서 수행된다. 몇몇 실시예들에서, "클로저" 상태를 충족하는 GBEL(105a)은 약 10Å 내지 약 25Å이다.
GBEL(105a)의 형성 이후에, 금속 게이트 MOSFET 구조는 이어서 진공 브레이크 없이 동일한 시스템 내의 다른 성장 챔버로 전달된다. 한 성장 챔버로부터 다른 성장 챔버로의 웨이퍼 전달 동안 어떠한 표면 산화도 발생하기 않기 때문에, 어떠한 산화물 층도 GBEL(105a) 위에서 식별되지 않는다. 즉, 어떠한 산화물 층도 도핑 층(105b)과 GBEL(105a) 간에 형성되지 않는다. 몇몇 실시예들에서, 3-층 구조를 형성하는데 이용되는 시스템은 도 11에서 예시되는 바와 같이 Applied Material Endura® 클러스터이다. 몇몇 실시예들에서, Applied Material Endura® 클러스터에는 ALD 성장 과정에서 염소 생성으로 인한 챔버 부식을 방지하기 위해 염소 유사 메인 프레임(chlorine comparable main frame)이 장착된다. 3-층 구조 성장을 위한 전달 매커니즘은 도 11에서 상세히 논의된다.
도핑 층(105b)은 상이한 챔버가 아니라, GBEL(105a)를 성장시키는 동일 시스템에 형성된다. 몇몇 실시예들에서, ALD 동작은 전구체 DMAH(dimethylaluminumhydride), DMEAA(dimethylethylaminealane) 또는 둘 다의 선택을 통해 알루미늄을 함유한 도핑 층(105b)을 성장시키는데 이용된다. 예를 들어, 도핑 층(105b)에 대한 증착 온도는 섭씨 약 100 내지 200도이다.
도핑 층(105b)의 형성 이후에, 금속 게이트 MOSFET 구조는 이어서 진공 브레이크 없이 동일한 시스템 내의 다른 성장 챔버로 전달된다. 캐핑 층(105c)은 3-층 구조에서 선택적인 층이다. 몇몇 실시예들에서, 캐핑 층(105c)은 TiN 또는 TaN과 같은 적합한 금속을 포함한다. 캐핑 층(105c)은 알루미늄-함유 도핑층(105b)의 표면 산화를 방지하기 위해 동일한 시스템에서 인 시추의 ALD 동작에 의해 형성된다. 몇몇 실시예들에서, 캐핑 층(105c)의 두께는 막의 "클로저" 상태에 의존한다. 예를 들어, 25 내지 45 ALD 사이클들은 약 5Å 내지 약 20Å의 두께를 갖는 캐핑 층(105c)을 획득하기에 충분하다.
도 10a 및 도 10b를 참조하면, 금속층(107)은 게이트 트렌치(106) 내로 과충전된다. 몇몇 실시예들에서, W, WN, TaN, 또는 Ru을 포함하는 단일 금속이 게이트 트렌치(106) 내로 스퍼터링되고, 3-층 구조의 캐핑 층(105c)을 노출하기 위한 CMP 동작이 이어진다. 몇몇 실시예들에서, 금속 층(107)은 TaN, TiN, W, WN, 및 WCN, 또는 이들의 임의의 결합과 같은 다중-금속 층 구조를 포함한다.
다른 실시예들에서, 도 6a 내지 도 10b는 도 2에서 정의된 제 1 방향에서 보이는 금속 게이트 FinFET 구조에 대한 제조 방법을 도시하는 동작들이다. 금속 게이트 FinFET 구조에 대한 제조 동작들은 금속 게이트 MOSFET 구조에 대한 제조 동작과 유사하며 단순함을 위해 여기서 반복되지 않는다. 금속 게이트 FinFET 성장을 수행하는데 있어, 도 6a 내지 도 10b에서 도시된 반도체 기판(101)은 도 3a에서 도시된 반도체 핀(203)으로 대체된다.
도 11을 참조하면, 본 개시의 몇몇 실시예들에 따라 일함수 조정층(즉 3-층 구조)을 형성하는데 이용되는 반도체 웨이퍼 제조 시스템(1100)의 구성이 도시된다. 반도체 웨이퍼 제조 시스템(1100)은 2개의 클러스터들(1100A 및 1100B) 각각에 배열되는 몇 개의 성장 챔버들을 갖는다. 본 명세서에서 논의되는 3-층 구조의 각각의 층은 대기 접촉 없이 반도체 웨이퍼 제조 시스템(1100) 내부에 형성될 수 있다.
2개의 로드 록 챔버들(1113A 및 1113B)은 로드 포트(1102)로부터 전달되는 웨이퍼를 수신하도록 구성된다. 로드 록 챔버들(1113A 및 1113B)은, 웨이퍼가 로드 포트(1102)와 로드 록 챔버들(1113A 및 1113B) 간에 전달되는 동안 로드 포트(1102)와 등가의 압력으로 배기(vent)된다. 로드 록 챔버(1113A 및 1113B)로부터 시스템(1100) 내의 챔버들 중 하나로 웨이퍼를 이동시킬 때, 로드 록 챔버들(1113A 및 1113B)은 클러스터들(1100A 및 1100B) 내부의 진공 레벨에 가까운 특정한 정도의 진공까지 펌핑 다운(pumped down)된다.
클러스터들(1100A 및 1100B) 각각은 펌핑-다운된 로드 록 챔버(1113A 및 1113B)에 파킹된(parked) 웨이퍼를 성장 챔버들 중 하나로 전달하는 로봇 암(1104 또는 1107)과 같은 적어도 하나의 기계적 수단을 갖는다. 몇몇 실시예들에서, 하나의 챔버(1101)는 클러스터(1100B)에 부착되고 TaN 막 ALD 성장을 위해 전구체로 로딩된다. 예를 들어, 전구체는 PDMAT(Pentakis-dimethylamino Tantalum) 및 NH3 가스를 포함한다. 몇몇 실시예들에서, 챔버(1101) 내의 성장 온도는 섭씨 약 200 내지 350도의 범위로 유지된다.
몇몇 실시예들에서, 2개의 챔버들(1103 및 1105)은 클러스터(1100B)에 대칭적으로 부착되고, TiAlx 막 ALD 성장을 위한 전구체들로 로딩된다. 예를 들어, 전구체는 DMAH(dimethylaluminumhydride) 또는 DMEAA(dimethylethylaminealane)를 포함한다. 몇몇 실시예들에서, 챔버들(1103 및 1105)의 성장 온도는 섭씨 약 90 내지 약 250도의 범위로 유지된다.
몇몇 실시예들에서, 2개의 챔버들(1107 및 1109)은 클러스터(1100A)에 대칭적으로 부착되고 TiN 막 ALD 성장을 위한 전구체들로 로딩된다. 예를 들어, 전구체는 TiCl4(titanium tetrachloride) 및 NH3 가스를 포함한다. 몇몇 실시예들에서, 챔버들(1103 및 1105)에서의 성장 온도는 섭씨 약 100도 내지 약 500도의 범위로 유지된다.
몇몇 실시예들에서, 2개의 챔버들(1115 및 1117)은 클러스터(1100A)에 대칭적으로 부착되고, 금속 타겟 또는 다른 적합한 막의 성장을 위한 전구체들로 로딩된다. 몇몇 실시예들에서, 챔버들(1115 및 1117)은 텅스텐(W) 또는 코발트(Co) 타겟으로 로딩되고, 본 명세서에서 참조되는 게이트 트렌치(106)를 가득 충전(fill up)하는 금속 트렌치(107)을 성장시키기 위해 아르곤 가스가 도입된다. 냉각 챔버들(1110A 및 1110B)은 대기 접촉 없이 박막 성장 간의 적절한 냉각율로 웨이퍼가 원하는 온도까지 냉각되도록 허용한다.
각각의 웨이퍼에는 레시피(recipe)에 따라 동작들의 시퀀스가 할당된다. 몇몇 실시예들에서, 본 명세서에서 논의되는 3-층 구조는 로봇 암들(1107 및 1104)을 통해 먼저 로드 록 챔버(1113A 및/또는 1113B)로부터 클러스터(1100B)로 전달된다. 웨이퍼는 GBEL(105a) 성장을 위해 챔버(1101)로 보내진다. 본 개시에서 앞서 논의된 바와 같이, TaN GBEL(105a)의 ALD 성장 온도는 섭씨 약 200도 내지 약 350도에서 조정될 수 있다 몇몇 실시예들에서, 클로저 GBEL(105a) 이 획득된 이후, 웨이퍼는 이어서 도핑 층(105b)의 성장을 위해 챔버(1101)로부터 챔버(1103) 또는 챔버(1105)로 전달된다. GBEL(105a)의 성장과 도핑 층(105b)의 성장 간의 전달 동작이 클러스터(1100B) 내부에서 행해지기 때문에, 어떠한 대기 접촉 또는 산소 오염도 GBEL(105a) 상에서 형성되지 않는다. 깨끗한 GBEL(105a)은 전구체들 DMAH 또는 DMEAA가 그의 표면 상에서 흡수되도록 풍부한 자유 전자를 제공하여, 알루미늄-함유 도핑 층(105b)의 ALD 성장 반응을 용이하게 한다. 또한, GBEL(105a) 위의 산화물 층의 부재는 알루미늄이 도핑 층(105b)으로부터 GBEL(105a)로 확산하기 위한 더 많은 개구 채널을 제공한다.
도핑 층(105b)의 형성 이후에, 웨이퍼는 챔버(1103 또는 1105)로부터 클러스터(1100A)의 챔버(1107 또는 1109)로 전달된다. 몇몇 실시예들에서, 캐핑 층(105c)이, 예를 들어, TiN 층은 ALD 동작에 의해 챔버(1107 또는 1109) 내부에서 형성된다. 또한, 웨이퍼는 게이트 트렌치(106) 내부에 금속 층(107)을 충전하기 위해 챔버(1107 또는 1109)로부터 챔버(1115 또는 1117)로 전달될 수 있다. 금속 층(107)이 충전된 이후, 웨이퍼는 이어서 로드 록 챔버들(1113A 및 1113B)로 파킹될 수 있다. 로드 록 챔버(1113A 및 1113B) 내부의 진공 레벨은 로드 포트(1102)에 비견 가능한 레벨로 상승되고, 웨이퍼는 이어서 로드 포트(1102)로 전달되고 후속 동작들을 위해 꺼내진다.
게이트 구조(예를 들어, 게이트 에칭 또는 패터닝)의 형성 이후에, MOSFET 또는 FinFET 구조를 포함하는 웨이퍼는 당 분야에 알려진 바와 같은 다양한 디바이스 피처들을 형성하기 위해 부가적인 CMOS 프로세싱을 경험한다. 몇몇 실시예들에서, 다양한 피처들은 경하게 도핑된 소스/드레인 영역들(n-타입 및 p-타입 LDD), 소스/드레인(S/D) 영역들, 규화물 피처들, 접촉 에칭 정지층(contact etch stop layer; CESL))을 포함(그러나 이들로 제한되지 않음)한다. 실리콘 게르마늄(SiGe) 및 실리콘 탄화물(SiC)와 같은 스트레인 구조들(strained structures)은 P-타입 및/또는 N-타입 디바이스에 각각 형성될 수 있다는 것이 주의되어야 한다.
도 12 내지 도 17은 본 명세서에서 설명된 금속 게이트 구조를 위한 "게이트 라스트" 제조 방법의 동작들을 도시한다. 도 12 내지 도 17에서 도시된 단면도는 도 2에서 도시된 방향 1을 따른 절개(dissection)이다. 도 12에서, 본 개시의 몇몇 실시예들에 따라, 반도체 핀(1201)이 반도체 기판(도시되지 않음) 위에 형성된다. 희생 유전체 층(1203)이 반도체 핀(1201) 상에 형성된다. 몇몇 실시예들에서, 희생 유전체 층(1203)은 선택적이다. 몇몇 실시예들에서, 희생 유전체 층(1203)은 열 또는 화학 기상 증착 중 어느 하나에 의해 형성되는 산화물을 포함한다. 몇몇 실시예들에서, 희생 유전체 층(1203)은 단일 웨이퍼 챔버 장비에서 형성된다. 몇몇 실시예들에서, 희생 유전체 층(1203)은 배치 모드(batch mode)를 이용하여 노(furnace)에서 형성된다.
도 13에서, 더미 게이트(1205)가 희생 유전체 층(1203) 위에 형성된다. 몇몇 실시예들에서, 더미 게이트(1205)는 물리 기상 증착, 화학 기상 증착(CVD), 또는 다른 적합한 증착 동작에 의해 형성된다. 몇몇 실시예들에서, 실란(SiH4), 디-실란(Si2H6), 또는 디-클로르실란(SiCl2H4)은 더미 게이트(1205)를 형성하도록 CVD 동작에서 이용될 수 있다. 더미 게이트(1205)는 약 150Å 내지 약 2500Å 범위의 두께를 포함할 수 있다.
도 13 및 도 14를 참조하면, 하드 마스크 층(1206)이 더미 게이트(1205) 위에 패터닝되고, 패턴은 아래 놓이는 더미 게이트(1205) 및 선택적인 희생 유전체 층(1203)에 전달된다. 몇몇 실시예들에서, 하드 마스크 층(1206)은 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 및/또는 다른 적합한 유전체 물질들을 포함하고, 화학 기상 증착(CVD), 또는 물리 기상 증착(PVD 또는 스퍼터링)과 같은 방법을 이용하여 형성될 수 있다. 하드 마스크 층(1206)은 약 100과 약 400Å 간의 두께를 포함한다. 몇몇 실시예들에서, 반사방지 코팅 층(ARC)이 하드 마스크 층 상에 형성되어 포토레지스트 층을 패터닝하기 위한 포토리소그라피 프로세스를 강화한다. 예를 들어, 패터닝된 포토레지스트 층(도시되지 않음)은 하드 마스크 층(1206) 상에 형성될 수 있다. 하드 마스크 층(1206)의 패턴은 이어서 건식 에칭, 습식 에칭 또는 이들의 결합에 이해 더미 게이트(1201)에 전달된다.
도 15에서, 측벽 스페이서들(1210), 질화물 층들(1213) 및 층간 유전체(ILD)(1209)가 형성된다. ILD(1209)는 하나 이상의 유전체 물질들 및/또는 하나 이상의 유전체 층들을 포함할 수 있다. ILD(1209)를 위해 이용되는 물질들은 도 6a를 참조하여 이전에 논의되었고, 단순함을 위해 여기서 반복되지 않는다. 몇몇 실시예들에서, ILD(1209)는 더미 게이트(1205)의 상부 부분이 도 15에서 예시된 바와 같이 노출될 때까지 화학-기계적-폴리싱(CMP) 프로세스에 의해 평탄화된다.
도 16에서, 게이트 대체 프로세스가 수행된다. 더미 게이트(1205) 및 희생 유전체 층(1203)은 건식 에칭, 습식 에칭, 건식 및 습식 에칭의 결합, 또는 다른 적합한 프로세스에 의해 제거된다. 몇몇 실시예들에서, 더미 게이트(1205) 및 희생 유전체 층(1203)은 단일-단계 에칭 프로세스 또는 다수-단계 에칭 프로세스에서 제거된다. 예를 들어, 제 1 습식 에칭 프로세스는 더미 게이트(1205)를 제거하는데 이용된다. 제 1 습식 에칭 프로세스는 수산화물 함유 용액(예를 들어, 수산화암모늄), 탈이온수, 및/또는 다른 적합한 에천트 용액들로의 노출을 포함할 수 있다. 제 2 습식 에칭 프로세스는 희생 유전체 층(1203)을 제거하는데 이용된다. 제 2 습식 에칭 프로세스는 버퍼링된 HF 용액 또는 버퍼링된 산화물 에천트(buffered oxide etchant; BOE)로의 노출을 포함한다. 제 2 습식 에칭 프로세스는 희생 유전체 층(1203)을 선택적으로 제거하고, 반도체 핀(1201)에서 정지할 수 있으며, 그에 의해 금속 게이트 구조에서 트렌치(1206)를 형성한다. 다른 에칭 화학물질들이 희생 유전체 층(1203) 및 더미 게이트(1205)를 선택적으로 제거하기 위해 이용될 수 있다는 것이 이해된다.
도 17에서, 하이-k 유전체 층(1203) 및 일함수 조정층(1205)이 본 명세서에서 앞서 논의된 바와 같이 게이트 트렌치(1206) 내부에 형성된다. 금속 층(1207)은 게이트 트렌치(1206)의 잔여 공간내로 충전되고 CMP 동작은 금속 층(1207)이 노출될 때까지 금속 게이트 구조의 상부 표면을 레벨 업(level up)하도록 수행된다. 도 17에서 도시된 바와 같이, 일함수 조정층(1205) 내의 캐핑 층(1205c)은 선택적이다.
또한, 본 출원의 범위는 본 명세서에서 설명된 프로세스, 기계, 제조, 물질의 구성(composition of matter), 수단, 방법들 및 단계들의 특정한 실시예들로 제한되도록 의도되지 않는다. 본 개시의 개시물로부터 당업자가 쉽게 인지할 바와 같이, 본 명세서에서 설명된 대응하는 실시예들과 실질적으로 동일한 결과를 달성하거나 실질적으로 동일한 기능을 수행하는, 현재 존재하거나 추후에 개발될 프로세스들, 기계들, 제조, 물질의 구성, 수단, 방법들 또는 단계들은 본 개시에 따라 활용될 수 있다.
이에 따라, 첨부된 청구항들은 프로세스들, 기계들, 제조, 물질의 구성, 수단, 방법들 또는 단계들을 본 발명의 범위 내에 포함하도록 의도된다. 또한, 각각의 청구항은 별개의 실시예, 및 다양한 청구항들의 결합을 구성하며, 실시예들은 본 발명의 범위 내에 있다.

Claims (10)

  1. 금속 게이트 구조를 제조하기 위한 방법으로서,
    게이트 트렌치에 하이-k 유전체 층을 형성하는 단계와,
    상기 하이-k 유전체 층 위에 에칭 정지부(etch stop)를 형성하는 단계와,
    입계(grain boundary) 공학 층으로서, 도펀트 원자가 그 층을 통해 침투하는 것을 허용하도록 구성된 상기 입계 공학 층, 상기 도펀트 원자를 상기 입계 공학 층에 제공하도록 구성된 도핑 층, 및 상기 도핑 층이 산화되는 것을 방지하도록 구성된 캐핑(capping) 층의 시퀀스를 갖는 3-층을 형성함으로써 상기 에칭 정지부 위에 일함수(work function) 조정층을 형성하는 단계와,
    상기 게이트 트렌치를 레벨 업(level up)하도록 금속을 충전하는 단계를 포함하는 금속 게이트 구조를 제조하기 위한 방법.
  2. 제1항에 있어서,
    상기 입계 공학 층, 도핑 층 및 캐핑 층의 시퀀스를 갖는 3-층을 형성하는 것은 원자층 증착(atomic layer deposition; ALD) 동작을 이용하는 것을 포함하는 것인 금속 게이트 구조를 제조하기 위한 방법.
  3. 제1항에 있어서,
    상기 입계 공학 층을 형성하는 것은, 섭씨 200도 내지 350도의 온도 범위 내에서 ALD 동작을 수행하는 것을 포함하는 것인 금속 게이트 구조를 제조하기 위한 방법.
  4. 제1항에 있어서,
    상기 금속 게이트 구조는 진공 하에서 상기 입계 공학 층을 형성하는 챔버로부터 상기 도핑 층을 형성하는 다른 챔버로 이송되는 것인 금속 게이트 구조를 제조하기 위한 방법.
  5. 제1항에 있어서,
    상기 일함수 조정층을 형성하기 이전에 P 일함수 층을 형성하는 단계와,
    상기 일함수 조정층을 형성하기 이전에 상기 P 일함수 층을 제거하는 단계를 더 포함하는 금속 게이트 구조를 제조하기 위한 방법.
  6. 제1항에 있어서,
    더미 게이트 영역을 정의하는 단계와,
    상기 게이트 트렌치를 노출하도록 상기 더미 게이트 영역의 일부를 제거하는 단계를 더 포함하는 금속 게이트 구조를 제조하기 위한 방법.
  7. FinFET에서 금속 게이트 구조를 제조하기 위한 방법으로서,
    핀(fin)을 형성하는 단계와,
    상기 핀 위에 더미 게이트 및 층간 유전체(interlayer dielectric; ILD)를 형성하는 단계와,
    게이트 트렌치를 노출하도록 상기 더미 게이트의 일부를 제거하는 단계와,
    입계 공학 층 및 상기 입계 공학 층 위의 도핑 층을 형성함으로써 상기 게이트 트렌치에 일함수 조정층을 형성하는 단계를 포함하는 FinFET에서 금속 게이트 구조를 제조하기 위한 방법.
  8. 금속 게이트를 갖는 반도체 구조로서,
    하이-k 유전체 층과,
    일함수 조정층과,
    금속 층을 포함하며,
    상기 일함수 조정층은,
    입계 공학 층으로서, 도펀트 원자가 그 층을 통해 침투하는 것을 허용하도록 구성되는 상기 입계 공학 층과,
    상기 도펀트 원자를 상기 입계 공학 층에 제공하도록 구성된, 상기 입계 공학 층 위의 도핑 층과,
    상기 도핑 층이 산화되는 것을 방지하도록 구성된, 상기 도핑 층 위의 캐핑 층을 포함하며,
    상기 일함수 조정층은, 상기 하이-k 유전체 층과 상기 금속 층 간에 개재되는 것인 금속 게이트를 갖는 반도체 구조.
  9. 제8항에 있어서,
    상기 금속 게이트를 갖는 반도체 구조는, 핀 전계 효과 트랜지스터(FinFET)인 것인 금속 게이트를 갖는 반도체 구조.
  10. 제8항에 있어서,
    상기 입계 공학 층은, 비정질 클로저 막(amorphous closure film) 또는 결정질 클로저 막인 것인 금속 게이트를 갖는 반도체 구조.
KR1020140165311A 2013-12-18 2014-11-25 금속 게이트를 갖는 반도체 구조 및 그 제조 방법 KR101700484B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/132,901 US9362385B2 (en) 2013-12-18 2013-12-18 Method for tuning threshold voltage of semiconductor device with metal gate structure
US14/132,901 2013-12-18

Publications (2)

Publication Number Publication Date
KR20150071637A true KR20150071637A (ko) 2015-06-26
KR101700484B1 KR101700484B1 (ko) 2017-01-26

Family

ID=53369510

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140165311A KR101700484B1 (ko) 2013-12-18 2014-11-25 금속 게이트를 갖는 반도체 구조 및 그 제조 방법

Country Status (4)

Country Link
US (1) US9362385B2 (ko)
KR (1) KR101700484B1 (ko)
CN (1) CN104733298B (ko)
TW (1) TWI534873B (ko)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170013722A (ko) * 2015-07-28 2017-02-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR20170024482A (ko) * 2015-08-25 2017-03-07 삼성전자주식회사 반도체 소자의 제조 방법
US9966376B2 (en) 2015-10-08 2018-05-08 Samsung Electronics Co., Ltd. Semiconductor devices and inverter having the same
KR20200026711A (ko) * 2018-08-30 2020-03-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속층들을 위한 형성 및 인시츄 에칭 공정들
CN110970303A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 半导体器件及其形成方法
KR20200066566A (ko) * 2018-11-30 2020-06-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트 경계 효과를 최소화하기 위한 중립 지역을 가지는 게이트 구조체 및 그 제조 방법
KR20200127119A (ko) * 2019-04-30 2020-11-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 임계 전압 스프레드를 높이는 선택적 에칭
US11282938B2 (en) 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
US11329042B2 (en) 2018-11-30 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures having neutral zones to minimize metal gate boundary effects and methods of fabricating thereof
US11387344B2 (en) 2020-02-27 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device having a doped work-function layer

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9425053B2 (en) * 2014-06-27 2016-08-23 International Business Machines Corporation Block mask litho on high aspect ratio topography with minimal semiconductor material damage
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9337197B1 (en) * 2014-10-28 2016-05-10 Globalfoundries Inc. Semiconductor structure having FinFET ultra thin body and methods of fabrication thereof
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9947658B2 (en) 2015-10-28 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9673331B2 (en) 2015-11-02 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
CN107591365A (zh) * 2016-07-06 2018-01-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US9837507B1 (en) 2016-09-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US9917210B1 (en) * 2016-10-20 2018-03-13 International Business Machines Corporation FinFET transistor gate and epitaxy formation
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10164066B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10497811B2 (en) * 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN107221513A (zh) * 2017-07-12 2017-09-29 中国科学院微电子研究所 一种cmos器件及其制造方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10790196B2 (en) 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019204120A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Tuning work function of p-metal work function films through vapor deposition
CN110391285B (zh) * 2018-04-23 2023-04-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10867864B2 (en) * 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN111211151B (zh) * 2020-01-13 2022-12-02 云谷(固安)科技有限公司 一种功能槽制作方法、显示面板及其制作方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
DE102020115829A1 (de) * 2020-02-27 2021-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungen und herstellungsverfahren
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11955382B2 (en) 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
US20220028732A1 (en) * 2020-12-16 2022-01-27 Zing Semiconductor Corporation Process for preparing epitaxy wafer and epitaxy wafer therefrom
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967504B2 (en) * 2021-06-17 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistor devices and methods of forming same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120223397A1 (en) * 2011-03-01 2012-09-06 Chan-Lon Yang Metal gate structure and manufacturing method thereof
KR20130087482A (ko) * 2010-06-04 2013-08-06 어플라이드 머티어리얼스, 인코포레이티드 금속 게이트 구조들 및 그 형성 방법들
KR20130126313A (ko) * 2012-05-11 2013-11-20 삼성전자주식회사 반도체 장치 및 그 제조 방법

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009033032A (ja) * 2007-07-30 2009-02-12 Sony Corp 半導体装置及び半導体装置の製造方法
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8927059B2 (en) * 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130087482A (ko) * 2010-06-04 2013-08-06 어플라이드 머티어리얼스, 인코포레이티드 금속 게이트 구조들 및 그 형성 방법들
US20120223397A1 (en) * 2011-03-01 2012-09-06 Chan-Lon Yang Metal gate structure and manufacturing method thereof
KR20130126313A (ko) * 2012-05-11 2013-11-20 삼성전자주식회사 반도체 장치 및 그 제조 방법

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170013722A (ko) * 2015-07-28 2017-02-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR20170024482A (ko) * 2015-08-25 2017-03-07 삼성전자주식회사 반도체 소자의 제조 방법
US9966376B2 (en) 2015-10-08 2018-05-08 Samsung Electronics Co., Ltd. Semiconductor devices and inverter having the same
KR20200026711A (ko) * 2018-08-30 2020-03-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속층들을 위한 형성 및 인시츄 에칭 공정들
US11545363B2 (en) 2018-08-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
US11282938B2 (en) 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
CN110970303A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 半导体器件及其形成方法
KR20200037106A (ko) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 트랜지스터의 금속 게이트에서의 캡핑 층
KR20200066566A (ko) * 2018-11-30 2020-06-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트 경계 효과를 최소화하기 위한 중립 지역을 가지는 게이트 구조체 및 그 제조 방법
US11329042B2 (en) 2018-11-30 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures having neutral zones to minimize metal gate boundary effects and methods of fabricating thereof
US11908866B2 (en) 2018-11-30 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd Gate structures having neutral zones to minimize metal gate boundary effects and methods of fabricating thereof
US11289578B2 (en) 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
KR20200127119A (ko) * 2019-04-30 2020-11-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 임계 전압 스프레드를 높이는 선택적 에칭
US11742395B2 (en) 2019-04-30 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
US11387344B2 (en) 2020-02-27 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device having a doped work-function layer

Also Published As

Publication number Publication date
CN104733298A (zh) 2015-06-24
CN104733298B (zh) 2017-10-24
US9362385B2 (en) 2016-06-07
TW201526087A (zh) 2015-07-01
TWI534873B (zh) 2016-05-21
US20150171177A1 (en) 2015-06-18
KR101700484B1 (ko) 2017-01-26

Similar Documents

Publication Publication Date Title
KR101700484B1 (ko) 금속 게이트를 갖는 반도체 구조 및 그 제조 방법
US11948800B2 (en) Semiconductor device having work function metal stack
US11764292B2 (en) Negative-capacitance field effect transistor
KR101757521B1 (ko) 반도체 구조물 및 그 제조 방법
US20220029002A1 (en) Method of fabricating a semiconductor device
US11482458B2 (en) Selective dual silicide formation
CN111063682A (zh) 半导体装置
US20210257480A1 (en) Multi-Gate Device and Method of Fabrication Thereof
US11901241B2 (en) Integrated circuit device with low threshold voltage
US10236358B1 (en) Integration of gate structures and spacers with air gaps
US20230052295A1 (en) Field effect transistor with air spacer and method
US10340146B2 (en) Reliability caps for high-k dielectric anneals
US11450569B2 (en) Semiconductor device and forming method thereof
US20230034854A1 (en) Semiconductor structure and method for forming the same
US20230275143A1 (en) Semiconductor device and forming method thereof
US20230011783A1 (en) Metal gate for gate-all-around devices and methods for forming the same
US20230029739A1 (en) Semiconductor Device With A Core-Shell Feature And Method For Forming The Same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200109

Year of fee payment: 4