TW201419545A - 元件與其形成方法 - Google Patents

元件與其形成方法 Download PDF

Info

Publication number
TW201419545A
TW201419545A TW102138609A TW102138609A TW201419545A TW 201419545 A TW201419545 A TW 201419545A TW 102138609 A TW102138609 A TW 102138609A TW 102138609 A TW102138609 A TW 102138609A TW 201419545 A TW201419545 A TW 201419545A
Authority
TW
Taiwan
Prior art keywords
strip
gate
semiconductor
insulating regions
forming
Prior art date
Application number
TW102138609A
Other languages
English (en)
Other versions
TWI542007B (zh
Inventor
Kuo-Cheng Ching
Shi-Ning Ju
Guan-Lin Chen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201419545A publication Critical patent/TW201419545A/zh
Application granted granted Critical
Publication of TWI542007B publication Critical patent/TWI542007B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明提供之元件的形成方法,包括形成多個絕緣區,自半導體基板之上表面延伸至半導體基板中,與形成硬遮罩帶於絕緣區與半導體帶上,其中半導體帶位於兩個相鄰的絕緣區中。形成虛置閘極帶於硬遮罩帶上,其中虛置閘極帶之縱向垂直於半導體帶之縱向,且其中部份虛置閘極帶對準部份半導體帶。上述方法亦包括移除虛置閘極帶,移除硬遮罩帶,以及使絕緣區之第一部份凹陷,且絕緣區之第一部份與被移除的硬遮罩帶重疊。位於凹陷之絕緣區的第一部份之間並與其接觸之部份半導體帶形成半導體鰭狀物。

Description

元件與其形成方法
本發明係關於半導體元件,更特別關於鰭狀場效電晶體(FinFET)。
由於積體電路的尺寸持續縮小且速度持續增加,因此需要更小尺寸與更高驅動電流的電晶體,比如鰭狀場效電晶體(FinFET)。FinFET具有較大的通道寬度,即通道包含半導體鰭狀物之側壁上的部份與半導體鰭狀物之上表面上的部份。由於電晶體的驅動電流與通道寬度成正比,因此FinFET具有較大的驅動電流。
在現有的FinFET製程中,首先形成淺溝槽絕緣(STI)區於矽基板中。接著使STI區凹陷以形成矽鰭狀物,且矽鰭狀物包含凹陷之STI區上的部份矽基板。接著形成閘極介電層、閘極、以及源極與汲極區以完成FinFET。
本發明一實施例提供一種元件的形成方法,包括:形成多個絕緣區,且絕緣區自半導體基板之上表面延伸至半導體基板中;形成硬遮罩帶於絕緣區與半導體帶上,其中半導體帶位於兩個相鄰的絕緣區中;形成虛置閘極帶於硬遮罩帶上,其中虛置閘極帶之縱向垂直於半導體帶之縱向,且其中部份虛置閘極帶對準部份半導體帶;移除虛置閘極帶;移除硬遮 罩帶;以及使絕緣區之第一部份凹陷,且絕緣區之第一部份與被移除的硬遮罩帶重疊,其中位於凹陷之絕緣區的第一部份之間並與其接觸之部份半導體帶形成半導體鰭狀物。
本發明一實施例提供一種元件的形成方法,包括形成硬遮罩層於多個絕緣區與半導體帶上,其中絕緣區自半導體基板之上表面延伸至半導體基板中,且其中半導體帶位於絕緣區之間;形成硬遮罩帶於絕緣區與半導體帶上;形成虛置閘極帶於硬遮罩帶上;進行第一凹陷化製程,使絕緣區之第一部份凹陷,其中第一凹陷化製程的深度為第一凹陷深度,且其中絕緣區之第一部份位於虛置閘極帶之相反兩側上;在進行第一凹陷化製程後,移除虛置閘極帶;移除硬遮罩帶;以及進行第二凹陷化製程,使絕緣區之第二部份凹陷,其中絕緣區之第二部份與被移除的虛置閘極帶重疊,其中第二凹陷化製程的深度為第二凹陷深度,且位於絕緣區的第二部份之間並與其接觸之部份半導體帶形成半導體鰭狀物。
本發明一實施例提供一種元件,包括鰭狀場效電晶體,包括半導體鰭狀物;閘極介電層位於半導體鰭狀物之側壁上;閘極位於閘極介電層上,其中閘極介電層與閘極形成置換閘極;以及閘極間隔物位於閘極介電層與閘極之相反兩側上,其中部份閘極介電層位於閘極與閘極間隔物之間並與其接觸。
8B-8B、8C/8D/8E-8C/8D/8E‧‧‧切線
20‧‧‧基板
21‧‧‧半導體帶
21A‧‧‧鬆馳矽鍺區
21B‧‧‧實質純半導體區
22‧‧‧STI區
22’‧‧‧部份STI區
22A、22A’、22B、48A‧‧‧上表面
24、28‧‧‧硬遮罩層
26‧‧‧多晶矽層
28A‧‧‧氮化矽層
28B‧‧‧氧化矽層
30‧‧‧閘極間隔物
30A‧‧‧第一下表面
30B‧‧‧第二下表面
32、44‧‧‧凹陷
36‧‧‧磊晶區
38‧‧‧金屬矽化區
40‧‧‧ILD
48‧‧‧半導體鰭狀物
50‧‧‧閘極介電層
50A、52A‧‧‧頂部邊緣
52‧‧‧閘極
60‧‧‧FinFET
第1-7、8A-8E、9A-9D圖係本發明多個實施例中,FinFET 之製程透視圖或剖視圖。
下述內容將詳述本發明實施例之製作與應用。然而可以理解的是,這些實施例提供的可行創造概念可以多種特定方式實施。下述的特定實施例僅用以說明而非侷限本發明。
本發明多種實施例提供鰭狀場效電晶體(FinFET)與其形成方法,亦說明FinFET在製程中的臨時結構。下述內容亦包含多種實施例。在圖式與實施例中,將以相同標號標示類似單元。
第1至9D圖係本發明多個實施例中,FinFET之製程透視圖或剖視圖。第1圖為初始結構的透視圖。初始結構包含基板20,其可為半導體基板如矽基板、鍺基板、或由其他半導體材料組成的基板。基板20可掺雜p型或n型雜質。絕緣區如STI(淺溝槽絕緣)區22係形成於基板20中,由基板20之上表面延伸至基板20中。
如第1圖所示,半導體帶21係形成於相鄰的兩STI區22之間並與其接觸。半導體帶21之縱向為Y方向。為清楚說明,第1圖中只有單一半導體帶21,但可採用多個彼此平行的半導體帶21如第3圖所示。在某些實施例中,半導體帶21之材料與基板20之材料相同。舉例來說,半導體帶21與基板20可為矽。在另一實施例中,半導體帶21之材料不同於基板20之材料。在某些實施例中為形成p型FinFET,半導體帶21包含鬆馳矽鍺區21A與其上的實質純半導體(鍺)區21B。在另一實施例中為形成n型MOSFET,半導體帶21包含鬆馳矽鍺區21A與其上的 實質半導體(矽)區21B。在這些實施例中,半導體帶21之形成方法可為蝕刻STI區22之間的部份基板20,再磊晶成長半導體帶21於STI區22之間。
硬遮罩層24係形成於半導體帶21與STI區22上。硬遮罩層24可接觸STI區22與半導體帶21的上表面。在某些實施例中,硬遮罩層24可為氮化矽。在另一實施例中,硬遮罩層24可為氧化矽、碳化矽、金屬氮化物如氮化鈦與氮化鉭、或類似組成。
如第2圖所示,沉積多晶矽層26。在某些實施例中,進行平坦化步驟如研磨或化學機械研磨(CMP)以平坦化多晶矽層26的上表面。接著形成硬遮罩層28於多晶矽層26上。舉例來說,硬遮罩層28可為氮化矽,亦可為其他材料如氧化矽。如第2圖所示的某些實施例中,硬遮罩層28包含氮化矽層28A與其上的氧化矽層28B。
同樣如第2圖所示,圖案化硬遮罩層28。為圖案化硬遮罩層28,可形成光阻(未圖示)後圖案化光阻,再以圖案化光阻作為蝕刻遮罩以圖案化硬遮罩層28,接著移除圖案化光阻。保留的硬遮罩層28又稱為硬遮罩帶。接著以保留的硬遮罩層28作為蝕刻遮罩以蝕刻下方的多晶矽層26,此圖案化步驟可終止於硬遮罩層24上。保留的多晶矽層26又稱為多晶矽帶。保留的多晶矽層26覆蓋半導體帶21的中間部份,其縱向為X方向,且X方向與Y方向垂直。
如第3圖所示,保留的硬遮罩層28係作為選擇性圖案化硬遮罩層24之蝕刻遮罩。第3圖具有兩個半導體帶21。圖 案化硬遮罩層24後將露出某些STI區22與半導體帶21。在圖案化硬遮罩層24後,可進行第一STI凹陷化製程,使STI區22自原本的上表面22A’凹陷至上表面22A。在某些實施例中,未被保留的硬遮罩層28覆蓋的半導體帶21並未凹陷。在某些實施例中,在圖案化硬遮罩層24後,並在凹陷化STI區之前或之後,可移除保留的硬遮罩層28。在另一實施例中,可在進行某些後續步驟後再移除保留的硬遮罩層28。舉例來說,可在形成第6圖所示之ILD(層間介電層)40後,再移除保留的硬遮罩層28。
接著如第3圖所示,閘極間隔物30係形成於保留的硬遮罩層28之側壁上、保留的多晶矽層26上、與某些未凹陷化的STI區22之側壁上。閘極間隔物30之組成為介電材料。閘極間隔物30包含第一下表面30A與第二下表面30B,各自位於STI區22之上表面22A上與半導體帶21之上表面上。由於凹陷的STI區22之上表面22A低於半導體帶21之上表面,第一下表面30A低於第二下表面30B。
如第4圖所示,在形成閘極間隔物30後,以非等向蝕刻使半導體帶21露出的部份凹陷化。在某些實施例中,蝕刻STI區22露出的上表面22A上的部份半導體帶21。蝕刻半導體帶21的方法可持續到半導體帶21之上表面低於STI區22之上表面22A,以形成凹陷32於STI區22中。凹陷32可位於保留的多晶矽層26其相反兩側上。
接著如第5圖所示,自第4圖所示之凹陷32選擇性磊晶成長磊晶區36。在某些實施例中,磊晶區36包含矽鍺化合物或碳矽化合物。在另一實施例中,磊晶區36之組成為矽。在 磊晶區36填滿凹陷32後,後續磊晶成長的磊晶區36會水平延伸,並開始形成晶面。此外,水平成長的磊晶區36將覆蓋STI區22之某些上表面22A。在磊晶步驟後,可掺雜磊晶區36以形成源極區與汲極區。作為源極區與汲極區之磊晶區36分別位於保留的多晶矽層26之相反兩側上,並覆蓋STI區22的部份上表面22A。在形成源極區與汲極區後,可金屬矽化磊晶區36之上方部份以形成金屬矽化區38。在另一實施例中,金屬矽化區38之形成步驟晚於第9A至9D圖之閘極置換步驟。
如第6圖所示,形成ILD 40。在某些實施例中,ILD 40可為含碳氧化物、矽酸鹽玻璃、或其他介電材料。ILD 40的形成步驟可持續到其上表面高於保留的多晶矽層26之上表面,或高於保留的硬遮罩層28之上表面(未圖示於第6圖,請參考第3及4圖)。接著進行CMP以移除多餘的ILD 40。在某些實施例中,保留的多晶矽層26作為CMP停止層,因此ILD 40之上表面與保留的多晶矽層26之上表面等高。在另一實施例中,保留的硬遮罩層28(見第3圖)可作為CMP停止層。若保留的硬遮罩層28作為CMP停止層,在CMP結束後可進行蝕刻步驟以移除保留的硬遮罩層28。
接著如第7圖所示,以蝕刻步驟移除保留的多晶矽層26,以形成凹陷44於相反兩側的閘極間隔物30之間。上述步驟將露出硬遮罩層24。由於保留的多晶矽層26並不存在於最終結構,因此保留的多晶矽層26又稱作虛置多晶矽層。
在移除保留的多晶矽層26後,移除硬遮罩層24以形成第8A圖所示之結構。在移除硬遮罩層24後,進行第二凹陷 化步驟如選擇性蝕刻步驟,使原本被硬遮罩層24覆蓋的部份STI區22凹陷化,並讓凹陷44向下延伸。在此步驟後,STI區22自原本的上表面22A’(見第3圖)凹陷至上表面22B。如此一來,蝕刻步驟讓STI區22具有上表面22B。選擇性蝕刻並未蝕刻半導體帶21,且位於STI區22之上表面22B上的部份半導體帶21可作為半導體鰭狀物48。
第8B圖為8A圖中切線8B-8B之結構剖視圖,並顯示半導體鰭狀物48。
第8C、8D、及8E圖係8A圖中切線8C/8D/8E-8C/8D/8E之結構剖視圖。某些實施例如第8C及8A圖所示,部份STI區22之上表面22B與部份STI區22之上表面22A等高。某些實施例如第8D圖所示,部份STI區22之上表面22B低於部份STI區22之上表面22A。某些實施例如第8E圖所示,部份STI區22之上表面22B高於部份STI區22之上表面22A。在第8E圖中,部份STI區22’位於相反兩側之閘極間隔物30之間,且部份STI區22’之側壁接觸閘極間隔物30的側壁。在第8C、8D、與8E圖中,半導體鰭狀物48之上表面48A以虛線標示,因為半導體鰭狀物48不會出現在第8C、8D及8E圖之剖面中。
如第9A與9B圖所示,形成閘極介電層50與閘極52。第9A圖之剖面結構係沿著第8A圖中的切線8B-8B而來。首先,形成閘極介電層50於第8A圖之凹陷44中與半導體鰭狀物48之上表面與側壁上。在某些實施例中,閘極介電層50可為氧化矽、氮化矽、或上述之多層結構。在另一實施例中,閘極介電層50可為高介電常數之介電材料,其介電常數(k值)大於約 7.0,比如Hf、Al、Zr、La、Mg、Ba、Ti、Pb、或上述組合之氧化物或矽酸鹽。閘極介電層50之形成方法可為分子束沉積(MBD)、原子層沉積(ALD)、物理氣相沉積(PVD)、或類似方法。
接著形成導電材料於閘極介電層50上以填入剩下的凹陷44(請參考第8A圖)中。導電材料可為含金屬的材料如TiN、TaN、TaC、Co、Ru、Al、上述之組合、或上述之多層結構。導電材料可具有能帶邊緣的功函數,端視個別的FinFET為p型FinFET或n型FinFET而定。在形成導電材料後,可進行CMP移除超出ILD 40上表面的多餘閘極介電層50與導電材料。最後保留的導電材料即閘極52,可與閘極介電層50作為FinFET 60中置換後的閘極結構。
在本發明多種實施例中,第9B、9C、及9D圖之剖面結構係沿著第8A圖中的切線8C/8D/8E-8C/8D/8E而來。此外,第9B、9C、與9D圖中的FinFET 60,係由第8C、8D、與8E圖之結構進行後續製程而成。在第9B、9C、與9D圖中,置換閘極製程後的閘極介電層50其頂部邊緣50A與閘極52其頂部邊緣52A等高。在後續製程中,可形成額外ILD(未圖示)於ILD 40上,並形成接觸插塞(未圖示)垂直貫穿額外ILD與其下方之ILD 40,使接觸插塞電性耦接至閘極52與金屬矽化區38(見第6圖)。至此即完成FinFET 60。
在實施例中,FinFET 60具有置換閘極。先形成源極區與汲極區,再凹陷化STI區以形成半導體鰭狀物,則不需在形成輸入/輸出(I/O)元件時另外形成虛置氧化物覆蓋核心FinFET之鰭狀物。如此一來,半導體鰭狀物將不受形成或移除 虛置氧化物的製程損傷。
在最終的FinFET 60中,位於同一閘極52之相反兩側上的不同部份之STI區22,其上表面22A可高於、等於、或低於對準並位於閘極52下方的上表面22B。上述結構與習知的FinFET不同。在習知的FinFET中,位於同一閘極52之相反兩側上的STI區,其上表面與對準並位於閘極下方之STI區之上表面等高。
在實施例中,元件的形成方法包括:形成多個絕緣區,且絕緣區自半導體基板之上表面延伸至該半導體基板中,以及形成硬遮罩帶於絕緣區與半導體帶上,其中半導體帶位於兩個相鄰的絕緣區中。形成虛置閘極帶於硬遮罩帶上,其中虛置閘極帶之縱向垂直於半導體之縱向,且其中部份虛置閘極帶對準部份半導體帶。上述方法亦包括移除虛置閘極帶,移除硬遮罩帶;以及使絕緣區之第一部份凹陷,且絕緣區之第一部份與被移除的硬遮罩帶重疊。位於凹陷之絕緣區的第一部份之間並與其接觸之部份半導體帶形成半導體鰭狀物。
在其他實施例中,元件的形成方法包括形成硬遮罩層於多個絕緣區與半導體帶上,其中絕緣區自半導體基板之上表面延伸至半導體基板中,且其中半導體帶位於絕緣區之間。上述方法更包括形成硬遮罩帶於絕緣區與半導體帶上,形成虛置閘極帶於硬遮罩帶上,以及進行第一凹陷化製程,使絕緣區之第一部份凹陷,其中該第一凹陷化製程的深度為第一凹陷深度。絕緣區之第一部份位於虛置閘極帶之相反兩側上。在進行第一凹陷化製程後,移除虛置閘極帶。接著進行第二凹陷 化製程,使絕緣區之第二部份凹陷,其中絕緣區之第二部份與被移除的虛置閘極帶重疊。第二凹陷化製程的深度為第二凹陷深度,其中位於絕緣區的第二部份之間並與其接觸之部份半導體帶形成半導體鰭狀物。
在其他實施例中,鰭狀場效電晶體包括半導體鰭狀物,閘極介電層位於半導體鰭狀物之側壁上,一閘極位於閘極介電層上,其中閘極介電層與閘極形成置換閘極;以及閘極間隔物位於閘極介電層與閘極之相反兩側上。部份閘極介電層位於該閘極與該閘極間隔物之間並與其接觸。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
20‧‧‧基板
22‧‧‧STI區
22A、22B‧‧‧上表面
30‧‧‧閘極間隔物
40‧‧‧ILD
50‧‧‧閘極介電層
50A、52A‧‧‧頂部邊緣
52‧‧‧閘極
60‧‧‧FinFET

Claims (11)

  1. 一種元件的形成方法,包括:形成多個絕緣區,且該些絕緣區自一半導體基板之上表面延伸至該半導體基板中;形成一硬遮罩帶於該些絕緣區與一半導體帶上,其中該半導體帶位於兩個相鄰的該些絕緣區中;形成一虛置閘極帶於該硬遮罩帶上,其中該虛置閘極帶之縱向垂直於該半導體帶之縱向,且其中部份該虛置閘極帶對準部份該半導體帶;移除該虛置閘極帶;移除該硬遮罩帶;以及使該些絕緣區之第一部份凹陷,且該些絕緣區之第一部份與被移除的該硬遮罩帶重疊,其中位於該些凹陷之絕緣區的第一部份之間並與其接觸之部份該半導體帶形成一半導體鰭狀物。
  2. 如申請專利範圍第1項所述之元件的形成方法,更包括:在移除該虛置閘極帶的步驟前,先使該些絕緣區之第二部份凹陷,且該虛置閘極帶未覆蓋該些絕緣區之第二部份;以及形成一閘極間隔物於該虛置閘極帶之側壁上。
  3. 如申請專利範圍第1項所述之元件的形成方法,更包括:在使該些絕緣區之第一部份凹陷的步驟前,先形成源極與汲極區於該虛置閘極帶的相反兩側上;以及形成層間介電層於該虛置閘極帶的相反兩側上與該源極與 汲極區上,其中形成該源極與汲極區之步驟包括:使部份該半導體帶凹陷;以及進行磊晶成長製程,以形成該源極與汲極區於凹陷的部份該半導體帶中。
  4. 一種元件的形成方法,包括:形成一硬遮罩層於多個絕緣區與一半導體帶上,其中該些絕緣區自一半導體基板之上表面延伸至該半導體基板中,且其中該半導體帶位於該些絕緣區之間;形成一硬遮罩帶於該些絕緣區與該半導體帶上;形成一虛置閘極帶於該硬遮罩帶上;進行一第一凹陷化製程,使該些絕緣區之第一部份凹陷,其中該第一凹陷化製程的深度為第一凹陷深度,且其中該些絕緣區之第一部份位於該虛置閘極帶之相反兩側上;在進行第一凹陷化製程後,移除該虛置閘極帶;移除該硬遮罩帶;以及進行一第二凹陷化製程,使該些絕緣區之第二部份凹陷,其中該些絕緣區之第二部份與被移除的該虛置閘極帶重疊,其中該第二凹陷化製程的深度為第二凹陷深度,且位於該些絕緣區的第二部份之間並與其接觸之部份該半導體帶形成一半導體鰭狀物。
  5. 如申請專利範圍第4項所述之元件的形成方法,更包括:在第一凹陷化製程後並在移除該虛置閘極帶的步驟前,形成一閘極間隔物於該虛置閘極帶之相反兩側的側壁上;以及 形成一源極與汲極區於該虛置閘極帶之相反兩側上,其中該閘極間隔物更位於該硬遮罩帶之側壁與該絕緣區之第二部份的側壁上。
  6. 如申請專利範圍第4項所述之元件的形成方法,其中該第一凹陷化製程之深度大於該第二凹陷化製程的深度。
  7. 如申請專利範圍第4項所述之元件的形成方法,其中該第一凹陷化製程的深度小於該第二凹陷化製程的深度。
  8. 如申請專利範圍第4項所述之元件的形成方法,其中該第一凹陷化製程的深度實質上等於該第二凹陷化製程的深度。
  9. 一種元件,包括:一鰭狀場效電晶體,包括:一半導體鰭狀物;一閘極介電層位於該半導體鰭狀物之側壁上;一閘極位於該閘極介電層上,其中該閘極介電層與該閘極形成一置換閘極;以及一閘極間隔物位於該閘極介電層與該閘極之相反兩側上,其中部份該閘極介電層位於該閘極與該閘極間隔物之間並與其接觸。
  10. 如申請專利範圍第9項所述之元件,更包括多個絕緣區,且該些絕緣區包括:第一部份對準並位於部份該閘極下,其中該半導體鰭狀物位於該些絕緣區之第一部份的第一上表面上;以及第二部份位於部份該閘極之相反兩側上,其中該些絕緣區 之第二部份的第二上表面與該些絕緣區之第一部份的第一上表面等高。
  11. 如申請專利範圍第9項所述之元件,更包括多個絕緣區,且該些絕緣區包括:第一部份對準並位於部份該閘極下,其中該半導體鰭狀物位於該些絕緣區之第一部份的第一上表面上;以及第二部份位於部份該閘極之相反兩側上,其中該些絕緣區之第二部份的第二上表面低於該些絕緣區之第一部份的第一上表面,其中該閘極間隔物包括一第一下表面接觸該些絕緣區之第二部份的上表面,且其中該閘極間隔物包括一第二下表面接觸該半導體鰭狀物的上表面。
TW102138609A 2012-11-09 2013-10-25 元件與其形成方法 TWI542007B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/673,717 US9281378B2 (en) 2012-01-24 2012-11-09 Fin recess last process for FinFET fabrication

Publications (2)

Publication Number Publication Date
TW201419545A true TW201419545A (zh) 2014-05-16
TWI542007B TWI542007B (zh) 2016-07-11

Family

ID=50680891

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102138609A TWI542007B (zh) 2012-11-09 2013-10-25 元件與其形成方法

Country Status (2)

Country Link
US (4) US9281378B2 (zh)
TW (1) TWI542007B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US10050030B2 (en) 2015-09-04 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating method thereof
TWI787882B (zh) * 2020-06-24 2022-12-21 鈺創科技股份有限公司 電晶體結構及其相關製造方法

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855027B (zh) * 2012-12-06 2017-01-25 中国科学院微电子研究所 FinFET及其制造方法
US8946014B2 (en) * 2012-12-28 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device structure and methods of making same
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
KR102068980B1 (ko) 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9236312B2 (en) * 2013-10-14 2016-01-12 Globalfoundries Inc. Preventing EPI damage for cap nitride strip scheme in a Fin-shaped field effect transistor (FinFET) device
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9735256B2 (en) 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9391205B2 (en) 2014-10-17 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd Gate last semiconductor structure and method for forming the same
KR102251060B1 (ko) 2015-04-06 2021-05-14 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102400375B1 (ko) 2015-04-30 2022-05-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
KR102376481B1 (ko) * 2015-05-22 2022-03-21 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조방법
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9805991B2 (en) 2015-08-20 2017-10-31 International Business Machines Corporation Strained finFET device fabrication
US9768178B2 (en) 2015-11-11 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device, static random access memory cell and manufacturing method of semiconductor device
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9824934B1 (en) 2016-09-30 2017-11-21 International Business Machines Corporation Shallow trench isolation recess process flow for vertical field effect transistor fabrication
CN111370466A (zh) * 2016-11-21 2020-07-03 华为技术有限公司 一种场效应晶体管及其制作方法
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US9991165B1 (en) 2016-11-29 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain epitaxy
US9853028B1 (en) * 2017-04-17 2017-12-26 International Business Machines Corporation Vertical FET with reduced parasitic capacitance
US9941150B1 (en) 2017-04-27 2018-04-10 International Business Machines Corporation Method and structure for minimizing fin reveal variation in FinFET transistor
KR102365109B1 (ko) 2017-08-22 2022-02-18 삼성전자주식회사 집적회로 장치
US10410928B2 (en) 2017-11-28 2019-09-10 International Business Machines Corporation Homogeneous densification of fill layers for controlled reveal of vertical fins
US10262890B1 (en) 2018-03-09 2019-04-16 International Business Machines Corporation Method of forming silicon hardmask
US11227932B2 (en) * 2018-05-16 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with a fin top hardmask
US10483375B1 (en) 2018-07-17 2019-11-19 International Business Machines Coporation Fin cut etch process for vertical transistor devices
US10957601B2 (en) 2018-10-11 2021-03-23 International Business Machines Corporation Self-aligned fin recesses in nanosheet field effect transistors
US11024545B2 (en) * 2018-10-31 2021-06-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of manufacture
US11101347B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
DE102020124588A1 (de) * 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zum entfernen von spitzen von gates
US11476347B2 (en) 2020-05-20 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Processes for removing spikes from gates
US11430790B2 (en) * 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20220392889A1 (en) * 2021-06-04 2022-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor structure for semiconductor device and method

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100335999B1 (ko) * 2000-07-25 2002-05-08 윤종용 자기정렬된 셸로우 트렌치 소자분리 방법 및 이를 이용한불휘발성 메모리 장치의 제조방법
JP2002151688A (ja) 2000-08-28 2002-05-24 Mitsubishi Electric Corp Mos型半導体装置およびその製造方法
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6720619B1 (en) 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
KR100471189B1 (ko) 2003-02-19 2005-03-10 삼성전자주식회사 수직채널을 갖는 전계효과 트랜지스터 및 그 제조방법
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7172943B2 (en) 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US7211864B2 (en) 2003-09-15 2007-05-01 Seliskar John J Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US7714384B2 (en) 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
KR100555518B1 (ko) 2003-09-16 2006-03-03 삼성전자주식회사 이중 게이트 전계 효과 트랜지스터 및 그 제조방법
US7863674B2 (en) 2003-09-24 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR101237172B1 (ko) 2003-11-10 2013-02-25 스태츠 칩팩, 엘티디. 범프-온-리드 플립 칩 인터커넥션
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US6936516B1 (en) 2004-01-12 2005-08-30 Advanced Micro Devices, Inc. Replacement gate strained silicon finFET process
KR100598099B1 (ko) * 2004-02-24 2006-07-07 삼성전자주식회사 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100528486B1 (ko) 2004-04-12 2005-11-15 삼성전자주식회사 불휘발성 메모리 소자 및 그 형성 방법
KR100625175B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
KR100612718B1 (ko) 2004-12-10 2006-08-17 경북대학교 산학협력단 안장형 플래시 메모리 소자 및 제조방법
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
KR100618893B1 (ko) * 2005-04-14 2006-09-01 삼성전자주식회사 반도체 소자 및 그 제조방법
KR100621888B1 (ko) 2005-07-19 2006-09-11 삼성전자주식회사 소자 분리막 형성 방법 및 이를 이용 핀형 전계 효과트랜지스터의 제조방법
JP2007035957A (ja) 2005-07-27 2007-02-08 Toshiba Corp 半導体装置とその製造方法
KR100763330B1 (ko) 2005-12-14 2007-10-04 삼성전자주식회사 활성 핀들을 정의하는 소자분리 방법, 이를 이용하는반도체소자의 제조방법 및 이에 의해 제조된 반도체소자
US7651893B2 (en) 2005-12-27 2010-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal electrical fuse structure
JP4635897B2 (ja) 2006-02-15 2011-02-23 株式会社東芝 半導体装置及びその製造方法
JP2007242737A (ja) 2006-03-06 2007-09-20 Toshiba Corp 半導体装置
JP2007250665A (ja) 2006-03-14 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
JP2007311491A (ja) 2006-05-17 2007-11-29 Toshiba Corp 半導体集積回路
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7709312B2 (en) 2006-09-29 2010-05-04 Intel Corporation Methods for inducing strain in non-planar transistor structures
US7544994B2 (en) 2006-11-06 2009-06-09 International Business Machines Corporation Semiconductor structure with multiple fins having different channel region heights and method of forming the semiconductor structure
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7612405B2 (en) 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
TW200847292A (en) 2007-05-29 2008-12-01 Nanya Technology Corp Method of manufacturing a self-aligned FinFET device
US7812370B2 (en) * 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
JP2009054705A (ja) 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
KR20090036831A (ko) 2007-10-10 2009-04-15 삼성전자주식회사 멀티 핑거 트랜지스터 및 그 제조 방법
US7910994B2 (en) 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
US7939889B2 (en) 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
JP4591525B2 (ja) 2008-03-12 2010-12-01 ソニー株式会社 半導体装置
JP5159413B2 (ja) 2008-04-24 2013-03-06 株式会社東芝 半導体装置及びその製造方法
US7700449B2 (en) 2008-06-20 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming ESD diodes and BJTs using FinFET compatible processes
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US7915112B2 (en) 2008-09-23 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stress film for mobility enhancement in FinFET device
DE102008059500B4 (de) 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
US8120073B2 (en) 2008-12-31 2012-02-21 Intel Corporation Trigate transistor having extended metal gate electrode
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
JP5355692B2 (ja) 2009-07-08 2013-11-27 株式会社東芝 半導体装置及びその製造方法
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
JP2011040458A (ja) 2009-08-07 2011-02-24 Renesas Electronics Corp 半導体装置およびその製造方法
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8653608B2 (en) 2009-10-27 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with reduced current crowding
US8530971B2 (en) 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
CN102117829B (zh) 2009-12-30 2012-11-21 中国科学院微电子研究所 鳍式晶体管结构及其制作方法
US8557692B2 (en) 2010-01-12 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET LDD and source drain implant technique
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8278179B2 (en) 2010-03-09 2012-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. LDD epitaxy for FinFETs
US8466034B2 (en) * 2010-03-29 2013-06-18 GlobalFoundries, Inc. Method of manufacturing a finned semiconductor device structure
DE102010029527B4 (de) 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
US8278173B2 (en) 2010-06-30 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating gate structures
US8558279B2 (en) 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9166022B2 (en) 2010-10-18 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
DE102010064283B4 (de) 2010-12-28 2012-12-27 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines selbstjustierten Steg-Transistors auf einem Vollsubstrat durch eine späte Stegätzung
US8901676B2 (en) 2011-01-03 2014-12-02 International Business Machines Corporation Lateral extended drain metal oxide semiconductor field effect transistor (LEDMOSFET) having a high drain-to-body breakdown voltage (Vb), a method of forming an LEDMOSFET, and a silicon-controlled rectifier (SCR) incorporating a complementary pair of LEDMOSFETs
US8461634B2 (en) 2011-04-14 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Divot engineering for enhanced device performance
JP5325932B2 (ja) 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
US9761666B2 (en) 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8994123B2 (en) 2011-08-22 2015-03-31 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US8624326B2 (en) 2011-10-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8735232B2 (en) 2011-11-29 2014-05-27 GlobalFoundries, Inc. Methods for forming semiconductor devices
JP2013115272A (ja) 2011-11-29 2013-06-10 Toshiba Corp 半導体装置とその製造方法
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US20130200459A1 (en) 2012-02-02 2013-08-08 International Business Machines Corporation Strained channel for depleted channel semiconductor devices
US8697523B2 (en) 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US20130200455A1 (en) 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US9105654B2 (en) 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
US8828813B2 (en) 2012-04-13 2014-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US10014224B2 (en) 2014-06-12 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US10037921B2 (en) 2014-06-12 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US10727137B2 (en) 2014-06-12 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US11393727B2 (en) 2014-06-12 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US10050030B2 (en) 2015-09-04 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating method thereof
TWI643258B (zh) * 2015-09-04 2018-12-01 台灣積體電路製造股份有限公司 半導體元件與其製造方法
US11018131B2 (en) 2015-09-04 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
TWI787882B (zh) * 2020-06-24 2022-12-21 鈺創科技股份有限公司 電晶體結構及其相關製造方法

Also Published As

Publication number Publication date
US20160190242A1 (en) 2016-06-30
US20190027558A1 (en) 2019-01-24
US10121851B2 (en) 2018-11-06
US20140131776A1 (en) 2014-05-15
US20210391420A1 (en) 2021-12-16
US11121213B2 (en) 2021-09-14
US9281378B2 (en) 2016-03-08
US11682697B2 (en) 2023-06-20
TWI542007B (zh) 2016-07-11

Similar Documents

Publication Publication Date Title
TWI542007B (zh) 元件與其形成方法
US11450661B2 (en) Forming STI regions to separate semiconductor Fins
US10978355B2 (en) Multi-gate devices with replaced-channels and methods for forming the same
US9466696B2 (en) FinFETs and methods for forming the same
US11043590B2 (en) Semiconductor component and manufacturing method thereof
US8975698B2 (en) Control fin heights in FinFET structures
KR101333897B1 (ko) 핀 디바이스를 제조하는 방법 및 구조
US9899526B2 (en) Fin-type field effect transistor structure and manufacturing method thereof
CN112530943A (zh) 半导体器件及其制造方法
US20220416023A1 (en) Semiconductor apparatus, manufacturing method therefor, and electronic equipment including the semiconductor apparatus
TW201733015A (zh) 鰭狀場效電晶體及其製造方法
EP3244444A1 (en) Fin-fet devices and fabrication methods thereof
US9721804B1 (en) Semiconductor device and method for fabricating the same
US10643997B2 (en) Semiconductor device with metal gates