TW201304033A - 基板處理裝置 - Google Patents

基板處理裝置 Download PDF

Info

Publication number
TW201304033A
TW201304033A TW101110399A TW101110399A TW201304033A TW 201304033 A TW201304033 A TW 201304033A TW 101110399 A TW101110399 A TW 101110399A TW 101110399 A TW101110399 A TW 101110399A TW 201304033 A TW201304033 A TW 201304033A
Authority
TW
Taiwan
Prior art keywords
vacuum
chamber
substrate
air transport
transport mechanism
Prior art date
Application number
TW101110399A
Other languages
English (en)
Other versions
TWI552247B (zh
Inventor
Sensho Kobayashi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201304033A publication Critical patent/TW201304033A/zh
Application granted granted Critical
Publication of TWI552247B publication Critical patent/TWI552247B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本發明提供一種基板處理裝置,包含:複數之真空運送室,鄰接在減壓環境氣氛下對基板進行處理之複數之處理室,並於內部設有在其與該處理室之間進行基板的送入送出之運送機構;一或一以上的真空預備室,分別設置於該複數之真空運送室的各真空處理室中;第1大氣運送機構,將由外部供給的基板運送至一該真空預備室;以及第2大氣運送機構,自該第1大氣運送機構接收基板,將所接收的該基板運送至另一該真空預備室;並且將該第2大氣運送機構配置於設有該一真空預備室之真空運送室的上側或下側;將該複數之真空運送室沿著該第2大氣運送機構之基板運送方向串聯配置。

Description

基板處理裝置
本發明有關一種多腔室式的基板處理裝置,其具有在減壓環境氣氛下對基板進行處理之複數之處理室。
人們實際應用一種多腔室式的基板處理裝置,係將對於半導體晶圓進行成膜處理、蝕刻處理、氧化擴散處理、回火處理、改質處理等基板處理之複數之真空處理裝置設置於真空運送室的周圍,並通過該真空運送室在各真空處理室之間運送晶圓,以連續進行所求之基板處理。如此,藉由使複數之真空處理裝置叢集化,可提升基板處理的產出。
專利文獻1中揭示了一種串列式的基板處理裝置,係設有將叢集化的2個多腔室裝置透過門閥串聯連結,並在各叢集的真空運送室之間進行晶圓傳遞之傳遞室。第1叢集中設有真空預備室,並將晶圓通過真空預備室送入至第1叢集的真空預備室。在構成第1叢集的各真空處理裝置中,對送入了該真空運送室的晶圓依序施以基板處理。當第1叢集中的處理結束,則將晶圓通過傳遞室送入第2叢集。在第2叢集中亦與第1叢集同樣依序施以基板處理。當基板處理結束,則沿著與去程相同的路徑將處理完畢的晶圓逆向送出。
專利文獻2中揭示了一種基板處理裝置,係僅將必須在減壓環境氣氛下進行基板傳遞之真空處理室連結至真空運送室使之叢集化,將可在大氣環境氣氛下進行基板傳遞之真空處理室分別透過真空預備室連結至大氣運送室的周圍。又,揭示了一種基板處理裝置,係沿著大氣運送機構的運送方向,並設2個叢集,將由 外部供給的晶圓運送至各叢集的真空預備室。
[習知技術文獻] [專利文獻]
專利文獻1:日本特開2004-349503號公報
專利文獻2:日本特開2007-149973號公報
然而,依專利文獻1的基板處理裝置中,由於真空預備室以及各叢集之間的晶圓傳遞,導致晶圓運送的產出降低,以上為其問題點。真空運送機構為規律速度時,即使增設叢集亦無法提升基板處理的產出。
又,依專利文獻1的基板處理裝置中,當設有真空預備室的前段真空運送室故障時,儘管後段叢集可正常運作,卻無法繼續進行基板處理,以上為其問題點。
又,依專利文獻2的基板處理裝置,由於係沿著將通過裝載埠所供給的晶圓運送至各叢集的真空預備室之大氣運送機構的運送方向並設2個叢集之構成,故大氣運送機構在運送方向呈長大化,以上為其問題點。若大氣機構呈長大化,則有大氣機構中的產出降低之虞。
又,依專利文獻2的基板處理裝置中,大氣運送機構,係通過真空預備室與各叢集直接進行晶圓傳遞,故欲在各真空預備室中同時進行晶圓傳遞時,無法個別地進行晶圓傳遞,有產出降低之虞。
本發明係鑒於此種事由所製成,目的在於提供一種可削減佔有空間、可縮短裝置整體無法動作的時間、可提升基板處理的產出之基板處理裝置。
依本發明的一態樣之基板處理裝置,包含:複數之真空運送室,鄰接在減壓環境氣氛下對基板進行處理之複數之處理室,並於內部設有在其與該複數之處理室之間進行基板的送入送出之運送機構;一或一以上的真空預備室,分別設置於該複數之真空運送室的各真空處理室中,並將室內的環境氣氛在大氣環境氣氛以及減壓環境氣氛之間進行切換,藉以進行對於該各真空運送室之基板傳遞;第1大氣運送機構,將由外部供給的基板運送至一該真空預備室;以及第2大氣運送機構,自該第1大氣運送機構接收基板,將所接收的該基板運送至另一該真空預備室;該基板處理裝置之特徵在於:該第2大氣運送機構配置於設有該一真空預備室之真空運送室的上側或下側;該複數之真空運送室沿著該第2大氣運送機構之基板運送方向串聯配置。
根據本發明,可削減佔有空間、可縮短裝置整體無法動作的時間、可提升基板處理的產出。
[用以實施發明的最佳形態]
以下,對於本發明則根據顯示其實施形態的圖式來詳述之。
圖1係示意地顯示依本發明一實施形態的基板處理裝置的一構成例之平剖面圖;圖2係示意地顯示依本實施形態的基板處理裝置的一構成例之側剖面圖;圖3係示意地顯示依本實施形態的第2大氣運送機構40以及第2真空預備裝置5的動作之說明圖。依本發明實施形態的基板處理裝置,包含:第1大氣運送裝置1、第1真空預備裝置2、第1叢集3、第2大氣運送裝置4、第2真空預備裝置5、第2叢集6。
第1大氣運送裝置1,具有橫向較長略呈直方體的第1大氣運送室12。第1大氣運送室12的長邊側之一側壁上設有裝載埠11,係與在外部移動的未圖示運送車之間進行晶圓W的傳遞。未圖示運送車,將收納了未處理的複數之晶圓W之晶圓匣盒C運送至基板處理裝置。裝載埠11包含:載置收納有晶圓W的晶圓匣盒C之載置台;以及將晶圓匣盒C連接至第1大氣運送室12並將該晶圓匣盒C開閉之機構。對向該一側壁之第1大氣運送室12的側壁上,透過第1真空預備裝置2連接有第1叢集3,又透過第2大氣運送裝置4以及第2真空預備裝置5連接有第2叢集6。於第1大氣運送室12的底部設有第1大氣運送機構13,係自載置在裝載埠11上的晶圓匣盒C取出晶圓W,將所取出的晶圓W運送至第1真空預備裝置2以及第2大氣運送裝置4。第1大氣運送機構13由以下元件所構成:在第1大氣運送室12的底部沿著其長邊方向鋪設之導引軌13a;以及可沿著該導引軌13a移動之大氣機械臂13b。大氣機械臂13b,具有設有用以固持而運送晶圓W的叉部之複數之可伸縮多關節臂,並設有使多關節臂升降以及旋轉移動之未圖示驅動機構。大氣機械臂13b,例如藉由線性馬達機構而沿著導引軌13a移動,並使多關節臂伸縮、升降、旋轉移動,藉以自載置在裝載埠11上的晶圓匣盒C取出晶圓W,將所取出的晶圓W運送至第1真空預備裝置2以及第2大氣運送裝置4。又,進 行以下處理:自第1真空預備裝置2以及第2大氣運送裝置4接收在第1叢集3以及第2叢集6經過處理而運送的晶圓W,送回晶圓匣盒C。
另,參照圖2,於第1大氣運送室12的適當處設有對該第1大氣運送室12吹送清洗氣體之第1送風部14。
第1真空預備裝置2,具有連接至真空泵浦的第1真空預備室21,可藉由抽真空或大氣開放,將室內的環境氣氛在大氣環境氣氛以及減壓環境氣氛之間進行切換。在第1真空預備室21的第1大氣運送裝置1側以及第1叢集3側分別設有可開閉的閘閥G1以及閘閥G2。第1真空預備室21的底部設有第1運送機械臂22,係通過閘閥G1、G2,在第1大氣運送室12與第1叢集3之間進行晶圓W的送入送出。第1運送機械臂22為一真空機械臂,具有設有固持晶圓W的叉部之多關節臂。
自第1大氣運送室12將晶圓W運送至第1叢集3時,令第1真空預備室21為大氣環境氣氛並將閘閥G1開放,而第1運送機械臂22通過閘閥G1將晶圓W自第1大氣運送室12送入第1真空預備室21,將閘閥G1關閉。而將第1真空預備室21抽真空使之為減壓環境氣氛,將閘閥G2開放。第1運送機械臂22通過閘閥G2將晶圓W運送至第1叢集3的後述第1真空運送室31。將晶圓W自第1叢集3運送至第1大氣運送室12時,係以相反的順序進行運送。
另,在此,雖說明了使用第1運送機械臂22來傳遞晶圓W之例,但亦可具備在上下方向升降之平台,而非第1運送機械臂22。藉由將晶圓W載置在該平台上,讓第1大氣運送機構13以及第1真空運送機構31a可傳遞、運送晶圓W。
第1叢集3,具有橫向較長略呈直方體的第1真空運送室31。第1真空運送室31,以其長邊方向相對於第1大氣運送機構13的運送方向略呈垂直之方式配置。第1真空運送室31的短邊側之一側壁上連接有閘閥G2。圖1所示的第1真空運送室31的長邊側之兩側壁上透過可開閉的門閥連接有4個第1真空處理室(PM,Process Module)32a、32b、32c、32d。本實施形態中雖設有4個第1真空處理室,但並不限於此,無論設置幾個皆可。第1真空處理室32a、32b、32c、32d,係在減壓環境氣氛下對半導體晶圓W進行既定處理,例如成膜處理、蝕刻處理、氧化擴散處理、回火處理、改質處理等基板處理之裝置。第1真空運送室31的底部設有第1真空運送機構31a,係通過閘閥G2將晶圓W送入,並依照程序將晶圓W運送至各真空處理室。第1真空運送機構31a為一真空機械臂,具有設有固持晶圓W的叉部之2根多關節臂。在各真空處理室中基板處理結束時,第1大氣運送機構13將處理完畢的晶圓W通過閘閥G2送出至第1真空預備室21。
第2大氣運送裝置4,具有配置於第1真空運送室31下側之橫向較長略呈直方體的第2大氣運送室41。第2大氣運送室41,以其長邊方向相對於第1大氣運送裝置1的運送方向略呈垂直之方式配置。於第2大氣運送室41的底部設有第2大氣運送機構40,係自第1大氣運送機構13接收基板,將所接收的該基板運送至第2真空預備裝置5。第2大氣運送機構40,具有在第2大氣運送室41的底部沿著其長邊方向鋪設之導引軌42。導引軌42,係以固持由第1大氣運送裝置1運送來的晶圓W並將之運送至第2真空預備裝置5之略呈X形的晶圓固持構件43,可沿著導引軌42移動方式設置。晶圓固持構件43,例如由線性馬達機構所驅動而沿著導引軌42移動。又,於第2大氣運送室41的適當處設有對該第2大氣運送室41吹送清洗氣體之第2送風部44。
使晶圓W自第1大氣運送裝置1移動至第2真空預備裝置5 時,第2大氣運送機構40使晶圓固持構件43移動至第1大氣運送裝置1側。如圖3A所示,第1大氣運送機構13(參照圖1)使晶圓W固持在晶圓固持構件43上。固持晶圓W的晶圓固持構件43,如圖3B所示,沿著導引軌42移動至第2真空預備裝置5側。固持在晶圓固持構件43上的晶圓W,由後述第2真空預備裝置5中所設置的第2運送機械臂52所接收。
使晶圓W自第2真空預備裝置5移動至第1大氣運送裝置1時,以相反的順序運送晶圓W即可。
第2真空預備裝置5,與第1真空預備裝置2同樣具有連接至真空泵浦之縱向較長略呈直方體的第2真空預備室51,可藉由抽真空或大氣開放,將室內的環境氣氛在大氣環境氣氛以及減壓環境氣氛之間進行切換。第2真空預備室51的第2大氣運送裝置4側的下部側壁以及第2叢集6側的上部側壁上,分別設有可開閉的閘閥G3以及閘閥G4。第2真空預備室51的底部設有第2運送機械臂52,係通過閘閥G3、G4,在第2大氣運送室41與第2叢集6之間進行晶圓W的送入送出。第2運送機械臂52為一真空機械臂,具有設有固持晶圓W的叉部之多關節臂。又,第2運送機械臂52具有使多關節臂升降以及旋轉移動之未圖示驅動機構。
自第2大氣運送室41將晶圓W運送至第2叢集6時,如圖3C所示,令第2真空預備室51為大氣環境氣氛並將閘閥G3開啟,而第2運送機械臂52通過閘閥G3自第2大氣運送室41將晶圓W送入第2真空預備室51,並將閘閥G3關閉。而將第2真空預備室51抽真空使之為減壓環境氣氛。其次,第2運送機械臂52,如圖3D所示,使晶圓W上升,並開啟閘閥G4。第2運送機械臂52,如圖3E所示通過閘閥G4將晶圓W運送至第2叢集6的後述第2真空運送室61。將晶圓W自第2叢集6運送至第2大氣運送室41時,係以相反的順序進行運送。
另,在此,雖說明了使用第2運送機械臂52來傳遞晶圓W之例,但亦可具備在上下方向升降之平台,而非第2運送機械臂52。藉由將晶圓W載置在該平台上並使該平台升降,讓第2大氣運送機構40以及第2真空運送機構61a可傳遞、運送晶圓W。
第2叢集6,與第1叢集3同樣具有橫向較長略呈直方體的第2真空運送室61。第2真空運送室61,以其長邊方向與第1真空運送室31的長邊方向約略一致之方式串聯配置。亦即,第1真空運送室31與第2真空運送室61,係沿著第2大氣運送機構40之晶圓W的運送方向串聯配置。又,第1真空運送室31以及第2真空運送室61,係以鉛直方向的高度約略相同之方式設置。第2真空運送室61的短邊側之側壁上連接有閘閥G4。第2真空運送室61的長邊側之兩側壁上透過可開閉的門閥連接有複數之第2真空處理室62a、62b、62c、62d(參照圖1)。第2真空處理室62a、62b、62c、62d,係在減壓環境氣氛下對半導體晶圓W進行既定的基板處理之裝置。第2真空處理室62a、62b、62c、62d的底部設有第2真空運送機構61a,係通過閘閥G4將晶圓W送入,並依照程序將晶圓W運送至各第2真空處理室62a、62b、62c、62d。第2真空運送機構61a為一真空機械臂,具有設有固持晶圓W的叉部之2根多關節臂。在各第2真空處理室62a、62b、62c、62d中基板處理結束時,第2真空運送機構61a將處理完畢的晶圓W通過閘閥G4送出至第2真空預備室51。
根據如此構成的基板處理裝置,第1叢集3與第2叢集6,係沿著第2大氣運送裝置4的運送方向在第2大氣運送室41的兩壁側上串聯配置。由於第2大氣運送裝置4配置於第1真空運送室31的下側,故相較於將各叢集並設在第2大氣運送裝置4的運送方向時,可削減佔有空間。
又,藉由第2大氣運送裝置4的作動,可提升基板處理的產出。
第2大氣運送裝置4具有緩衝性機能。亦可謂第2大氣運送裝置4作為通往第2叢集6的旁通路徑而發揮功能。例如,當未進行晶圓W的運送處理時,可預先將晶圓W自第1大氣運送裝置1傳遞至第2大氣運送裝置4,將晶圓W運送至第2真空預備裝置5之前。第1大氣運送裝置1,在必須進行與第1叢集3之間的晶圓W的送入送出時,可專注於該送入送出的處理,可將第2叢集6側中的晶圓W的送入送出交付給第2大氣運送機構40進行。第2大氣運送裝置4,可在其與第2叢集6之間將未處理的晶圓W與已處理的晶圓W進行交換,可在適當的時序將已處理的晶圓W傳遞至第1大氣運送裝置1。
再者,第1及第2叢集3、6並未互相連結,具有硬體上獨立之機制,故即使第1叢集3以及第2叢集6任一方故障,亦可以第1叢集3以及第2叢集6任另一方繼續進行基板處理。因此,可縮短裝置整體無法動作的時間。又,可使第1及第2叢集3、6個別地停止,僅進行單方叢集的保養檢查。
更可藉由第1送風部14以及第2送風部44,來保持第1大氣運送室12以及第2大氣運送室41內的清潔環境。
另,在上述例中,雖顯示了自晶圓匣盒C將晶圓W一片一片地取出之單片處理,但第1大氣運送機構13、第2大氣運送機構40、第1真空運送機構31a、第2真空運送機構61a、第1及第2真空預備裝置2、5內的固持部,分別為可固持複數片的晶圓W之構成亦可。
又,第1大氣運送室12以及第2大氣運送室41,雖以連通的 略呈直方體形狀之構件進行了說明,但只要是可形成至少分別收納第1大氣運送機構13以及第2大氣運送機構40之空間之構成構件即足夠,包圍各運送機構的壁部構件並非必要的構成。
(變形例1)
依變形例1的基板處理裝置,與上述實施形態相異的點在於第2大氣運送裝置104設於第1真空運送室31的上側。以下,主要說明上述相異點。
圖4係示意地顯示依變形例1的基板處理裝置的一構成例之側剖面圖。依變形例1的基板處理裝置,與上述實施形態同樣具有:第1大氣運送裝置1、第1真空預備裝置2、第1叢集3、第2大氣運送裝置104、第2真空預備裝置105、第2叢集6。
第2大氣運送裝置104,具有配置於第1真空運送室31的上側之第2大氣運送室141。於第2大氣運送室141的內部,與上述實施形態同樣設有:具有導引軌142及晶圓固持構件143之第2大氣運送機構140;以及第2送風部144。
第2真空預備裝置105,與上述實施形態同樣具有第2真空預備室151以及第2運送機械臂152;並在第2真空預備室151的第2大氣運送裝置104側的上部側壁以及第2叢集6側的下部側壁上分別設有可開閉的閘閥G3以及閘閥G4。
在變形例1中,與上述實施形態相同,第1叢集3與第2叢集6,係沿著第2大氣運送裝置4的運送方向在第2大氣運送室141的兩壁側上串聯配置;而第2大氣運送裝置4配置於第1真空運送室31的上側,故可削減佔有空間。又,與上述實施形態相同,第1及第2叢集3、6並未互相連結,故即使第1叢集3以及第2叢集6任一方故障,亦可以第1叢集3以及第2叢集6任另一方繼續進行基板處理。因此,可縮短裝置整體無法動作的時間,可 提升基板處理的產出。
(變形例2)
依變形例2的基板處理裝置,與上述實施形態相異的點在於具有複數之大氣運送裝置,亦即第2大氣運送裝置4以及第3大氣運送裝置204。以下,主要說明上述相異點。
圖5係示意地顯示依變形例2的基板處理裝置的一構成例之側剖面圖。依變形例2的基板處理裝置除包含第1大氣運送裝置1、第1真空預備裝置2、第1叢集3、第2大氣運送裝置4、第2真空預備裝置5、第2叢集6外,更包含第3大氣運送裝置204、第3真空預備裝置205、第3叢集207。
第3大氣運送裝置204,為與第2大氣運送裝置4同樣的構成,並具有配置於第2大氣運送室41的更下側之第3大氣運送室241。於第3大氣運送室241的內部設有:具有第3導引軌242及晶圓固持構件243之第3大氣運送機構240;以及第3送風部244。
第3真空預備裝置205,基本上為與第2真空預備裝置5同樣的構成,並具有第3真空預備室251以及第3運送機械臂252。在第3真空預備室251的第3大氣運送裝置204側的下部側壁以及第3叢集207側的上部側壁上分別設有可開閉的閘閥G5以及閘閥G6。
第3叢集207,與第1叢集3同樣具有橫向較長略呈直方體的第3真空運送室271。第3真空運送室271,以其長邊方向與第1真空運送室31以及第2真空運送室61的長邊方向約略一致之方式串聯配置。亦即,第1真空運送室31、第2真空運送室61、與第3真空運送室271,係沿著第3大氣運送機構240之晶圓W的運送方向串聯配置。又,第1真空運送室31、第2真空運送室61、與第3真空運送室271,係以鉛直方向的高度約略相同之方式設 置。第3真空運送室271的短邊側之側壁上連接有閘閥G6。第3真空運送室271的長邊側之兩側壁上透過可開閉的門閥連接有複數之第3真空處理室272a、272b。
在變形例2中,即使將第1叢集3、第2叢集6、第3叢集207三者串聯連接,亦可抑制佔有空間之增加,並且縮短裝置整體無法動作的時間,提升基板處理的產出。
又,第2大氣運送機構40以及第3大氣運送機構240係以在俯視觀之時為互相重疊之方式配置,故相較於將各大氣運送機構並置於水平方向上時,可削減基板處理裝置的佔有空間。
(變形例3)
圖6係示意地顯示依變形例3的基板處理裝置的一構成例之側剖面圖。依變形例3的基板處理裝置,乃是第1真空運送室331以及第2真空預備裝置5的構成異於上述實施形態。以下,主要說明上述相異點。依變形例3的基板處理裝置,乃是第1真空運送室31與第2真空預備室51相連通,並在第1真空運送室331與第2真空預備室51之間設有閘閥G7。第1真空運送機構31a以及第2運送機械臂52,通過使第1真空運送室331以及第2真空預備室51相連通的開口來運送晶圓W。
在變形例3中,可將在第1叢集3進行處理過的晶圓W,自第1真空運送室331通過第2真空預備室51運送至第2真空運送室61,在後段的第2叢集6進行處理。
又,一晶圓W滯留於第1真空預備裝置2而無法送入其他晶圓W時,或正在維修第1真空預備裝置2時,可使晶圓W經由第1大氣運送室12、第2大氣運送室41、第2真空預備室51送入至第1真空運送室331,在第1叢集3對晶圓W進行處理。在送出時,同樣地,可自第1真空運送室331,通過第2真空預備室 51、第2大氣運送室41、第1大氣運送室12,送出至外部。
總結上述所說明的實施形態之構成以及效果,依本發明的一實施形態之基板處理裝置,包含:複數之真空運送室,鄰接在減壓環境氣氛下對基板進行處理之複數之處理室,並於內部設有在其與該複數之處理室之間進行基板的送入送出之運送機構;一或一以上的真空預備室,分別設置於該複數之真空運送室的各真空處理室中,並將室內的環境氣氛在大氣環境氣氛以及減壓環境氣氛之間進行切換,藉以進行對於該各真空運送室之基板傳遞;第1大氣運送機構,將由外部供給的基板運送至一該真空預備室;以及第2大氣運送機構,自該第1大氣運送機構接收基板,將所接收的該基板運送至另一該真空預備室;該基板處理裝置之特徵在於:該第2大氣運送機構配置於設有該一真空預備室之真空運送室的上側或下側;該複數之真空運送室沿著該第2大氣運送機構之基板運送方向串聯配置。
在本實施形態中,將由外部供給至第1大氣運送機構的基板運送至一該真空預備室,並通過該真空預備室送入真空運送室。在該真空運送室周圍所設置的處理室中,對送入該真空運送室的基板施行各種處理,並經由與去程相同的路徑送出至外部。另一方面,第1大氣運送機構可將基板傳遞至第2大氣運送機構,而第2大氣運送機構自第1大氣運送機構接收基板,將所接收的該基板運送至另一該真空預備室。所運送的基板通過該另一真空預備室送入真空運送室。在該真空運送室周圍所設置的處理室中,對送入該真空運送室的基板施行各種處理,並經由與去程相同的路徑送出至外部。
因此,不會由於真空運送室之間的基板傳遞導致基板處理的產出降低。
又,第1大氣運送機構係透過第2大氣運送機構與另一真空預備室進行基板傳遞,故第2大氣運送機構達成所謂緩衝之任務。例如,即使是必須在複數之真空預備室進行基板傳遞之狀態下,只要第2大氣運送機構事先自第1大氣運送機構接收基板,第1大氣運送機構便可在其與一真空運送室之間進行基板的送入送出;另一方面,第2大氣運送機構便可在其與另一真空運送室之間進行基板的送入送出,抑制了裝置整體產出的降低。
再者,各真空運送室未串聯連結,故獨立性較高,一真空運送室的故障不會阻礙另一真空運送室的動作。
又再者,第2大氣運送機構配置於處理室的上側或下側,故削減了基板處理裝置的佔有空間。
又再者,複數之真空運送室沿著該第2大氣運送機構之基板運送方向串聯配置,故相較於沿著第1大氣運送機構並設真空運送室時,削減了基板處理裝置的佔有空間。
該複數之真空運送室之特徵在於:沿著該第2大氣運送機構之基板運送方向在該第2大氣運送機構的兩側壁側串聯配置。
該另一真空預備室之特徵在於:包含第1開口部,用以供基板送入送出於該另一真空預備室與該第2大氣運送機構之間;以及第2開口部,用以供基板送入送出於該另一真空預備室與該真空運送室之間;更包含:運送機械臂,具有使基板升降之升降機構,並通過該第1開口部或第2開口部進行基板的送入送出。
在本實施形態中,該複數之真空運送室係以相對於該基板處理裝置的設置面在鉛直方向上高度約略相同之方式設置,故能在約略相同的設備環境下使各真空運送室動作。
該複數之真空運送室之特徵在於:以相對於基板處理裝置的設置面在鉛直方向上高度約略相同之方式設置。
在本實施形態中,即使第2大氣運送機構的晶圓運送路徑與真空運送室中的晶圓運送路徑之鉛直方向高度不同,運送機械臂亦可使基板升降,在該第2大氣運送機構以及真空運送室之間運送基板。
該第2大氣運送機構之特徵在於:收納於大氣運送室;該大氣運送室設有吹送清洗氣體之送風部。
在本實施形態中,可將清洗氣體吹送至收納有第2大氣運送機構之大氣運送室。
該第2大氣運送機構之特徵在於:以在俯視觀之時為互相重疊之方式設置有複數個。
在本實施形態中,複數之第2大氣運送機構係以在俯視觀之時為互相重疊之方式設置,故相較於將第2大氣運送機構並置於水平方向上時,削減了基板處理裝置的佔有空間。
以上,雖參照附加圖式並說明了本發明的較佳實施形態,但所揭示的實施形態在每一細節皆有例示,但吾人應瞭解該等例示並非供限制之用。本發明之範圍由申請專利範圍所顯示,凡與申請專利範圍均等的意思以及在其範圍內的所有變更均應歸屬於內。亦即,吾人應理解只要是本發明所屬技術領域中具通常知識者於申請專利範圍所記載之技術性構想範疇內所可想到之各種變更例或修正例,此等當然亦屬於本發明之技術性範圍。
本國際申請案係根據2011年3月26日申請之日本國特許出願2011-069016號主張其優先權,並將其全部內容援用於本國際申請案。
1‧‧‧第1大氣運送裝置
2‧‧‧第1真空預備裝置
3‧‧‧第1叢集
4、104‧‧‧第2大氣運送裝置
5、105‧‧‧第2真空預備裝置
6‧‧‧第2叢集
11‧‧‧裝載埠
12‧‧‧第1大氣運送室
13‧‧‧第1大氣運送機構
13a‧‧‧導引軌
13b‧‧‧大氣機械臂
14‧‧‧第1送風部
21‧‧‧第1真空預備室
22‧‧‧第1運送機械臂
31、331‧‧‧第1真空運送室
31a‧‧‧第1真空運送機構
32a、32b、32c、32d‧‧‧第1真空處理室(PM)
40、140‧‧‧第2大氣運送機構
41、141‧‧‧第2大氣運送室
42、142‧‧‧導引軌
43、143‧‧‧晶圓固持構件
44、144‧‧‧第2送風部
51、151‧‧‧第2真空預備室
52、152‧‧‧第2運送機械臂
61‧‧‧第2真空運送室
61a‧‧‧第2真空運送機構
62a、62b、62c、62d‧‧‧第2真空處理室(PM)
204‧‧‧第3大氣運送裝置
205‧‧‧第3真空預備裝置
207‧‧‧第3叢集
240‧‧‧第3大氣運送機構
241‧‧‧第3大氣運送室
242‧‧‧第3導引軌
243‧‧‧第3晶圓固持構件
244‧‧‧第3送風部
251‧‧‧第3真空預備室
252‧‧‧第3運送機械臂
271‧‧‧第3真空運送室
272a、272b‧‧‧第3真空處理室
C‧‧‧晶圓匣盒
G1~G7‧‧‧閘閥
W‧‧‧晶圓
圖1係示意地顯示依一實施形態的基板處理裝置的一構成例之平剖面圖。
圖2係示意地顯示依一實施形態的基板處理裝置的一構成例之側剖面圖。
圖3A係示意地顯示依一實施形態的第2大氣運送機構以及第2真空預備裝置的動作之說明圖。
圖3B係示意地顯示依一實施形態的第2大氣運送機構以及第2真空預備裝置的動作之說明圖。
圖3C係示意地顯示依一實施形態的第2大氣運送機構以及第2真空預備裝置的動作之說明圖。
圖3D係示意地顯示依一實施形態的第2大氣運送機構以及第2真空預備裝置的動作之說明圖。
圖3E係示意地顯示依一實施形態的第2大氣運送機構以及第2真空預備裝置的動作之說明圖。
圖4係示意地顯示依變形例1的基板處理裝置的一構成例之側剖面圖。
圖5係示意地顯示依變形例2的基板處理裝置的一構成例之側剖面圖。
圖6係示意地顯示依變形例3的基板處理裝置的一構成例之側剖面圖。
1‧‧‧第1大氣運送裝置
2‧‧‧第1真空預備裝置
3‧‧‧第1叢集
4‧‧‧第2大氣運送裝置
5‧‧‧第2真空預備裝置
6‧‧‧第2叢集
11‧‧‧裝載埠
12‧‧‧第1大氣運送室
13‧‧‧第1大氣運送機構
13a‧‧‧導引軌
13b‧‧‧大氣機械臂
14‧‧‧第1送風部
21‧‧‧第1真空預備室
22‧‧‧第1運送機械臂
31‧‧‧第1真空運送室
31a‧‧‧第1真空運送機構
32a、32b‧‧‧第1真空處理室(PM)
40‧‧‧第2大氣運送機構
41‧‧‧第2大氣運送室
42‧‧‧導引軌
43‧‧‧晶圓固持構件
44‧‧‧第2送風部
51‧‧‧第2真空預備室
52‧‧‧第2運送機械臂
61‧‧‧第2真空運送室
61a‧‧‧第2真空運送機構
62a、62b‧‧‧第2真空處理室(PM)
C‧‧‧晶圓匣盒
G1~G4‧‧‧閘閥
W‧‧‧晶圓

Claims (6)

  1. 一種基板處理裝置,包含:複數之真空運送室,鄰接於在減壓環境氣氛下對基板進行處理的複數之處理室,並於內部設有在其與該複數之處理室之間進行基板的送入送出之運送機構;一或一以上的真空預備室,分別設置於該複數之真空運送室的各真空處理室中,並將室內的環境氣氛在大氣環境氣氛以及減壓環境氣氛之間進行切換,藉以進行對於該各真空運送室之基板傳遞;第1大氣運送機構,將由外部供給的基板運送至一該真空預備室;以及第2大氣運送機構,自該第1大氣運送機構接收基板,將所接收的該基板運送至另一該真空預備室;該基板處理裝置之特徵在於:該第2大氣運送機構配置於設有該一真空預備室之真空運送室的上側或下側;該複數之真空運送室沿著該第2大氣運送機構之基板運送方向串聯配置。
  2. 如申請專利範圍第1項之基板處理裝置,其中,該複數之真空運送室沿著該第2大氣運送機構之基板運送方向在該第2大氣運送機構的兩側壁側串聯配置。
  3. 如申請專利範圍第1項之基板處理裝置,其中,該另一真空預備室包含:第1開口部,用以供基板送入送出於該另一真空預備室與該第2大氣運送機構之間;以及第2開口部,用以供基板送入送出於該另一真空預備室與該真空運送室之間;更包含:運送機械臂,具有使基板升降之升降機構,並通過 該第1開口部或第2開口部進行基板的送入送出。
  4. 如申請專利範圍第3項之基板處理裝置,其中,該複數之真空運送室係以相對於基板處理裝置的設置面在鉛直方向上高度約略相同之方式設置。
  5. 如申請專利範圍第1項之基板處理裝置,其中,該第2大氣運送機構收納於大氣運送室;該大氣運送室設有吹送清洗氣體之送風部。
  6. 如申請專利範圍第1項之基板處理裝置,其中,該第2大氣運送機構係以在俯視觀之時為互相重疊之方式設置有複數個。
TW101110399A 2011-03-26 2012-03-26 基板處理裝置 TWI552247B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011069016A JP5883232B2 (ja) 2011-03-26 2011-03-26 基板処理装置

Publications (2)

Publication Number Publication Date
TW201304033A true TW201304033A (zh) 2013-01-16
TWI552247B TWI552247B (zh) 2016-10-01

Family

ID=46930940

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101110399A TWI552247B (zh) 2011-03-26 2012-03-26 基板處理裝置

Country Status (5)

Country Link
US (1) US9147591B2 (zh)
JP (1) JP5883232B2 (zh)
KR (1) KR101814856B1 (zh)
TW (1) TWI552247B (zh)
WO (1) WO2012133218A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI640057B (zh) * 2013-05-24 2018-11-01 諾發系統有限公司 搬運晶圓之機械臂設備
TWI708728B (zh) * 2017-09-29 2020-11-01 日商芝浦機械電子裝置股份有限公司 基板處理裝置及基板處理方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) * 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
JP6058999B2 (ja) * 2012-12-11 2017-01-11 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
JP6456768B2 (ja) * 2015-05-18 2019-01-23 株式会社ディスコ 加工装置
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
JP6846943B2 (ja) * 2017-02-10 2021-03-24 東京エレクトロン株式会社 塗布装置、および塗布方法
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
CN112074943A (zh) * 2018-05-15 2020-12-11 瑞士艾发科技 基体真空处理设备及其方法
US20210272832A1 (en) * 2018-06-18 2021-09-02 Lam Research Corporation Reduced footprint wafer handling platform
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
JP7133451B2 (ja) * 2018-11-30 2022-09-08 株式会社Screenホールディングス 基板処理装置
KR20210102437A (ko) * 2018-12-19 2021-08-19 에바텍 아크티엔게젤샤프트 화합물 층을 증착하기 위한 진공 시스템 및 방법
KR102227066B1 (ko) * 2019-01-28 2021-03-15 코스텍시스템(주) 웨이퍼 이송 장치
US11721564B2 (en) * 2019-04-08 2023-08-08 Tokyo Electron Limited Substrate processing system and substrate transfer apparatus and method
JP2021044472A (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 基板処理装置及び基板処理装置制御方法
JP7296862B2 (ja) * 2019-11-29 2023-06-23 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
JP7442349B2 (ja) * 2020-03-09 2024-03-04 東京エレクトロン株式会社 基板搬送システムおよびロードロックモジュール
JP2022083862A (ja) * 2020-11-25 2022-06-06 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2022104056A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置
KR102307687B1 (ko) * 2021-06-25 2021-10-05 (주) 티로보틱스 기판 이송 로봇을 진공 챔버 내에서 주행하기 위한 주행 로봇

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4764076A (en) * 1986-04-17 1988-08-16 Varian Associates, Inc. Valve incorporating wafer handling arm
US6168667B1 (en) * 1997-05-30 2001-01-02 Tokyo Electron Limited Resist-processing apparatus
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
US20070264106A1 (en) 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4925650B2 (ja) 2005-11-28 2012-05-09 東京エレクトロン株式会社 基板処理装置
JP5001354B2 (ja) * 2007-04-09 2012-08-15 平田機工株式会社 基板処理システム
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) * 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP4980978B2 (ja) * 2008-04-17 2012-07-18 大日本スクリーン製造株式会社 基板処理装置
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
JP5562189B2 (ja) * 2010-09-22 2014-07-30 東京エレクトロン株式会社 基板処理装置
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
US9177842B2 (en) * 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
WO2014088918A1 (en) * 2012-12-03 2014-06-12 Applied Materials, Inc Semiconductor device processing tools and methods for patterning substrates
JP6058999B2 (ja) * 2012-12-11 2017-01-11 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI640057B (zh) * 2013-05-24 2018-11-01 諾發系統有限公司 搬運晶圓之機械臂設備
TWI708728B (zh) * 2017-09-29 2020-11-01 日商芝浦機械電子裝置股份有限公司 基板處理裝置及基板處理方法

Also Published As

Publication number Publication date
TWI552247B (zh) 2016-10-01
JP5883232B2 (ja) 2016-03-09
JP2012204698A (ja) 2012-10-22
KR101814856B1 (ko) 2018-01-04
KR20140010400A (ko) 2014-01-24
US20140003891A1 (en) 2014-01-02
WO2012133218A1 (ja) 2012-10-04
US9147591B2 (en) 2015-09-29

Similar Documents

Publication Publication Date Title
TWI552247B (zh) 基板處理裝置
TWI571953B (zh) 真空處理裝置
US6257827B1 (en) Apparatus and method for transporting substrates
TWI385748B (zh) 真空處理裝置、真空處理方法、及電腦可讀取記憶媒體
WO2009107664A1 (ja) ロードロック装置および基板冷却方法
TWI408766B (zh) Vacuum processing device
US9412634B2 (en) Atmosphere replacement apparatus, substrate transport apparatus, substrate transport system, and EFEM
WO2013069716A1 (ja) ロードポート、efem
JP2014093489A (ja) 基板処理装置
KR20180111592A (ko) 기판 처리 장치
WO2018016257A1 (ja) 基板処理装置
JP2003124284A (ja) 基板処理装置および半導体装置の製造方法
US10229847B2 (en) Substrate transfer chamber and container connecting mechanism with lid opening mechanisms
JP5926694B2 (ja) 基板中継装置,基板中継方法,基板処理装置
KR101336420B1 (ko) 진공 처리 장치
JP2018170347A (ja) ウェハー搬送装置及びウェハー搬送方法
JP2018093087A (ja) 基板処理装置
JP6311280B2 (ja) 雰囲気置換装置、基板搬送システム及びefem
US10403529B2 (en) Carrier transport device and carrier transport method
JP6031304B2 (ja) 基板処理装置及び基板処理方法
KR100763260B1 (ko) 웨이퍼 이송장치
CN113380660A (zh) 基片输送系统和负载锁定模块
JP2012069658A (ja) 基板処理装置及び基板処理方法
KR20110016642A (ko) 기판처리장치
JP2013207014A (ja) 真空処理装置