JP2022104056A - 搬送装置 - Google Patents

搬送装置 Download PDF

Info

Publication number
JP2022104056A
JP2022104056A JP2020219040A JP2020219040A JP2022104056A JP 2022104056 A JP2022104056 A JP 2022104056A JP 2020219040 A JP2020219040 A JP 2020219040A JP 2020219040 A JP2020219040 A JP 2020219040A JP 2022104056 A JP2022104056 A JP 2022104056A
Authority
JP
Japan
Prior art keywords
wafer
module
transfer
ring
support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020219040A
Other languages
English (en)
Inventor
公宏 堂込
Masahiro Dogome
正知 北
Masatomo Kita
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2020219040A priority Critical patent/JP2022104056A/ja
Priority to TW110146675A priority patent/TW202234470A/zh
Priority to CN202111544923.XA priority patent/CN114695218A/zh
Priority to KR1020210184886A priority patent/KR20220094158A/ko
Priority to US17/563,850 priority patent/US11948816B2/en
Publication of JP2022104056A publication Critical patent/JP2022104056A/ja
Priority to US18/600,829 priority patent/US20240213057A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting substrates others than wafers, e.g. chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

Figure 2022104056000001
【課題】真空搬送モジュールを連結することで真空搬送系において処理モジュールの最大搭載数を増やす場合に、真空搬送モジュールの連結に伴うフットプリントの増大化を抑え、且つ、搬送時に基板のノッチ方向を合わせる。
【解決手段】第1の真空搬送モジュールと、第1の搬送ロボットと、第2の真空搬送モジュールと、第2の搬送ロボットと、筒状連結モジュールと、前記筒状連結モジュールに回転可能に取り付けられ、前記ウェハを支持するように構成されるウェハ支持部と、前記ウェハ支持部から外方に向かって延在し、前記少なくとも1つのリングを支持するように構成される少なくとも3つのリング支持部材と、を備える。
【選択図】図1

Description

本開示は、搬送装置に関する。
特許文献1には、基板を処理する基板処理装置や処理方法が開示されている。装置構成の一例として、プロセスモジュールが搭載された2つのトランスファモジュールを連結させて基板処理を行う構成が開示されている。また、特許文献1では、ローテーションモジュール(回転機構)を設け、必要に応じて基板を回転させる技術が開示されている。
米国特許第10431480号明細書
本開示にかかる技術は、真空搬送モジュールを連結することで真空搬送系において処理モジュールの最大搭載数を増やす場合に、真空搬送モジュールの連結に伴うフットプリントの増大化を抑え、且つ、搬送時に基板のノッチ方向を合わせることが可能な搬送装置を提供する。
本開示の一態様は、第1の真空搬送モジュールと、前記第1の真空搬送モジュール内に配置され、ウェハ及び少なくとも1つのリングを同時に又は別々に搬送するように構成された第1の搬送ロボットであり、前記少なくとも1つのリングは、前記ウェハの直径よりも大きい内径を有する、第1の搬送ロボットと、第2の真空搬送モジュールと、前記第2の真空搬送モジュール内に配置され、前記ウェハ及び前記少なくとも1つのリングを同時に又は別々に搬送するように構成された第2の搬送ロボットと、前記第1の真空搬送モジュールと前記第2の真空搬送モジュールとの間に配置される筒状連結モジュールであり、前記第1の真空搬送モジュール、前記第2の真空搬送モジュール及び前記筒状連結モジュールは、第1の方向に沿って配列され、前記筒状連結モジュールは、前記第1の方向において第1の長さを有し、前記第1の長さは、前記ウェハの直径よりも小さい、筒状連結モジュールと、前記筒状連結モジュールに回転可能に取り付けられ、前記ウェハを支持するように構成されるウェハ支持部と、前記ウェハ支持部から外方に向かって延在し、前記少なくとも1つのリングを支持するように構成される少なくとも3つのリング支持部材と、を備える。
本開示によれば、真空搬送モジュールを連結することで真空搬送系において処理モジュールの最大搭載数を増やす場合に、真空搬送モジュールの連結に伴うフットプリントの増大化を抑え、且つ、搬送時に基板のノッチ方向を合わせることができる。
本実施形態に係るウェハ処理装置の構成の概略を示す平面図である。 本実施形態に係るモジュールの構成の概略を模式的に示す縦断面図である。 本実施形態に係るパスモジュールの構成の概略を模式的に示す斜視図である。 回転機構の構成の一例を示す概略説明図である。 回転機構において、エッジリング支持面に径の異なる2種類のエッジリングを載せた状態を示す概略説明図である。
半導体デバイスの製造プロセスにおいては、半導体ウェハ(以下、単に「ウェハ」という。)を収容した処理モジュールの内部を減圧(真空)状態にし、当該ウェハに対して様々な処理工程が行われている。これら処理工程は、複数の処理モジュールを備えた基板処理装置(以下、ウェハ処理装置とも記載)において行われる。
このウェハ処理装置は、例えば、大気雰囲気下でウェハに所望の処理を施す大気モジュールを備えた大気部と、減圧(真空)雰囲気下でウェハを処理する減圧(真空)モジュールを備えた減圧部(真空部)と、を有している。大気部と減圧部(真空部)は、内部を大気雰囲気と減圧(真空)雰囲気とに切り替え可能に構成されたロードロックモジュールを介して一体に接続される。
ところで、ウェハ処理装置の設計に際しては、特許文献1に開示されているように、使用者のニーズやウェハ処理の効率化といった観点から、より多くの処理モジュールを搭載することが求められる場合がある。
しかしながら、ウェハ処理装置のフットプリント削減や、搬送アーム長さの制限、ウェハ処理装置におけるスループット向上等の各種課題に鑑み、処理モジュールを増やした場合の好適な装置設計については更なる検討の余地がある。例えば、真空搬送系に対しより多くの処理モジュールを搭載する場合に、既存の真空搬送モジュールを連結させるためのパスモジュールを設けることが一案であるが、その際のパスモジュール搭載に伴うフットプリントの増加や、パスモジュールでのウェハの受け渡しに伴うノッチ方向のずれ等が課題となる。
本開示にかかる技術は、上記事情に鑑みてなされたものであり、フットプリントの増大化を抑え、且つ、搬送時にウェハのノッチ方向を合わせることが可能なパスモジュールを備えた構成の搬送装置を提供する。以下、本実施形態にかかる搬送装置としてのウェハ処理装置について、図面を参照しながら説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する要素においては、同一の符号を付することにより重複説明を省略する。
<ウェハ処理装置の構成>
先ず、本実施形態にかかるウェハ処理装置について説明する。図1は、本実施形態にかかるウェハ処理装置1の構成の概略を示す平面図である。本実施形態においては、ウェハ処理装置1が、基板としてのウェハWにエッチング処理、成膜処理又は拡散処理等のプラズマ処理を行うための処理モジュールを備える場合について説明する。なお、本開示のウェハ処理装置1のモジュール構成はこれに限られず、ウェハ処理の目的に応じて任意に選択され得る。
図1に示すようにウェハ処理装置1は、大気部10と減圧部(真空部)11がロードロックモジュール20を介して一体に接続された構成を有している。大気部10は、大気雰囲気下においてウェハWを処理及び搬送する大気モジュールを備える。減圧部(真空部)11は、減圧(真空)雰囲気下においてウェハWを処理及び搬送する減圧モジュール(真空モジュール)を備える。
ロードロックモジュール20は、後述のローダモジュール30及び後述のフィッティングモジュール60の幅方向(X軸方向)に沿って複数、本実施形態においては例えば3つのウェハ搬送室21a、21b、21cを有している。
基板搬送室としてのウェハ搬送室21a、21b、21c(以下、これらを併せて単に「ウェハ搬送室21」という場合がある。)は、ウェハ搬送口22、23を介して、大気部10の後述するローダモジュール30の内部空間と、減圧部11の後述する第1のトランスファモジュール50aの内部空間を連通するように設けられている。なお、ウェハ搬送口22、23は、それぞれゲートバルブ24、25により開閉自在に構成されている。
ウェハ搬送室21は、ウェハWを一時的に保持するように構成されている。また、ウェハ搬送室21は、内部を大気雰囲気と減圧雰囲気(真空状態)とに切り替えられるように構成されている。すなわちロードロックモジュール20は、大気雰囲気の大気部10と、減圧雰囲気の減圧部11との間で、適切にウェハWの受け渡しができるように構成されている。
大気部10は、後述するウェハ搬送機構40を備えたローダモジュール30と、複数のウェハWを保管可能なフープ31を載置するロードポート32とを有している。なお、ローダモジュール30には、ウェハWの水平方向の向きを調節するオリエンタモジュール(図示せず)や複数のウェハWを格納する格納モジュール(図示せず)などが隣接して設けられていてもよい。
ローダモジュール30は内部が矩形の筐体からなり、筐体の内部は大気雰囲気に維持されている。ローダモジュール30のY軸負方向側の長辺を構成する一側面には、複数、例えば5つのロードポート32が並べて配置されている。ローダモジュール30のY軸正方向側の長辺を構成する他側面には、ロードロックモジュール20のウェハ搬送室21a、21b、21cが並べて配置されている。
ローダモジュール30の内部には、ウェハWを搬送するウェハ搬送機構40が設けられている。ウェハ搬送機構40は、ウェハWを保持して移動する搬送アーム41と、搬送アーム41を回転可能に支持する回転台42と、回転台42を搭載した回転載置台43とを有している。また、ローダモジュール30の内部には、ローダモジュール30の長手方向(X軸方向)に延伸するガイドレール44が設けられている。回転載置台43はガイドレール44上に設けられ、ウェハ搬送機構40はガイドレール44に沿って移動可能に構成されている。
減圧部11は、ウェハWを内部で搬送する2つのトランスファモジュール(真空搬送モジュール)50a、50b(以下、第1のトランスファモジュール(第1の真空搬送モジュール)50a及び第2のトランスファモジュール(第2の真空搬送モジュール)50bとも記載する)と、2つのトランスファモジュール50a、50b同士を相互に接続するパスモジュール(筒状連結モジュール)55と、ロードロックモジュール20と第1のトランスファモジュール50aとを相互に接続するフィッティングモジュール60と、トランスファモジュール50a、50bから搬送されたウェハWを処理する処理モジュール70を有している。トランスファモジュール50a、50b、フィッティングモジュール60及び処理モジュール70の内部は、それぞれ減圧(真空)雰囲気に維持可能に構成される。なお本実施形態においては、1つのトランスファモジュール50a(あるいは50b)に対して、複数、例えば6つの処理モジュール70が接続されている。なお、処理モジュール70の数や配置は本実施形態に限定されず、任意に設定することができる。
真空搬送モジュールとしての第1のトランスファモジュール50aは、上述したようにフィッティングモジュール60を介してロードロックモジュール20に接続されている。第1のトランスファモジュール50a及び第2のトランスファモジュール50bは、例えばロードロックモジュール20のウェハ搬送室21aに搬入されたウェハWを1又は複数の処理モジュール70に搬送して処理した後、ロードロックモジュール20のウェハ搬送室21cを介して大気部10に搬送する。
第1のトランスファモジュール50aの内部には、ウェハWを搬送する第1の搬送機構としての第1のウェハ搬送機構(第1の搬送ロボット)80aが設けられている。第1のウェハ搬送機構80aは、ウェハWを保持して移動する搬送アーム81aと、搬送アーム81aを回転可能に支持する回転台82aと、回転台82aを搭載した回転載置台83aとを有している。回転載置台83aは第1のトランスファモジュール50aの中央部分に固定されている。一実施形態において、第1の搬送ロボット80aは、第1の真空搬送モジュール50a内に配置され、ウェハW及び少なくとも1つのリングER1を同時に又は別々に搬送するように構成される。リングER1は、ウェハWの直径よりも大きい内径を有する。一実施形態において、少なくとも1つのリングは、複数のリングER1,ER2を有してもよい。各リングER1,ER2は、ウェハWの直径よりも大きい内径を有する。この場合、第1の搬送ロボット80aは、複数のリングER1,ER2を同時に搬送してもよく、別々に搬送してもよい。一実施形態において、複数のリングER1,ER2は、プラズマ処理モジュール70内で一緒に使用されるエッジリングである。複数のエッジリングER1,ER2は、プラズマ処理モジュール70内でウェハWを囲むように配置される。一実施形態において、複数のエッジリングER1,ER2は、第1エッジリングER1及び第2エッジリングER2を有し、第2エッジリングER2の外径は、第1エッジリングER1の外径よりも大きい。一実施形態において、第1エッジリングER1は、Si材料又はSiC材料で作製され、第2エッジリングER2は、石英で作製される。なお、第1エッジリングER1及び第2エッジリングER2が、同一の材料で作製されてもよい。例えば、第1エッジリングER1及び第2エッジリングER2が石英で作製されてもよい。
第2のトランスファモジュール50bの内部にはウェハWを搬送する第2の搬送機構としての第2のウェハ搬送機構(第2の搬送ロボット)80bが設けられている。第2のウェハ搬送機構80bは上記第1のウェハ搬送機構80aと同様の機能構成を有しており、搬送アーム81b、回転台82b、回転載置台83bといった機構が設けられている。一実施形態において、第2の搬送ロボット80bは、第2の真空搬送モジュール50b内に配置され、ウェハW及び少なくとも1つのリングER1を同時に又は別々に搬送するように構成される。少なくとも1つのリングが複数のリングER1,ER2を有する場合、第2の搬送ロボット80bは、複数のリングER1,ER2を同時に搬送してもよく、別々に搬送してもよい。
処理モジュール70は、ウェハWに対して、例えばエッチング処理、成膜処理又は拡散処理等のプラズマ処理を行う。処理モジュール70には、ウェハ処理の目的に応じた処理を行うモジュールを任意に選択することができる。また処理モジュール70は、各トランスファモジュール50a、50bの側壁面に形成されたウェハ搬送口51を介して各トランスファモジュール50a、50bと連通しており、ウェハ搬送口51はゲートバルブ71を用いて開閉自在に構成されている。
以上のウェハ処理装置1には、図1に示すように制御部90が設けられている。制御部90は、例えばCPUやメモリ等を備えたコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、ウェハ処理装置1におけるウェハWの搬送や処理を制御するプログラムが格納されている。なお、上記プログラムは、コンピュータに読み取り可能な記憶媒体Hに記録されていたものであって、当該記憶媒体Hから制御部90にインストールされたものであってもよい。
<各モジュールの構成>
本実施形態にかかるウェハ処理装置1は以上のように構成されている。次に、各モジュールの詳細な構成について説明する。図2は、ロードロックモジュール20、フィッティングモジュール60、第1のトランスファモジュール50a、第2のトランスファモジュール50b、パスモジュール55の構成の概略を模式的に示す縦断面図である。
ロードロックモジュール20は、フィッティングモジュール60の幅方向(X軸方向)に沿って並べて配置された、3つのウェハ搬送室21a、21b、21cを有している。3つのウェハ搬送室21のそれぞれには、ローダモジュール30との間でウェハWの受渡しを行うウェハ搬送口22と、第1のトランスファモジュール50aとの間でウェハWの受渡しを行うウェハ搬送口23とが形成されている。換言すれば、ロードロックモジュール20のY軸負方向側及び正方向側の側壁には、それぞれウェハ搬送口22、23がそれぞれ3つずつ形成されている。
ロードロックモジュール20のウェハ搬送室21は、ゲートバルブ24、ゲートバルブ25を介してローダモジュール30及び第1のトランスファモジュール50aに接続されている。このゲートバルブ24、25により、ウェハ搬送室21とローダモジュール30、又はトランスファモジュール50a、50bの間の気密性の確保と互いの連通を両立する。
ウェハ搬送室21には、図2に示すように、ローダモジュール30とトランスファモジュール50a、50bとの間で搬送されるウェハWを一時的に保持するストッカ26が設けられている。
またロードロックモジュール20には、図2に示すように、ウェハ搬送室21の内部にガスを供給する給気部27と、ガスを排出する排気部28が接続されている。ロードロックモジュール20は、これら給気部27と排気部28によって、ウェハ搬送室21の内部が大気雰囲気と減圧雰囲気に切り替え可能に構成されている。
第1のトランスファモジュール50aにおけるフィッティングモジュール60が接続されるY軸負方向側の一端部には、フィッティングモジュール60との間でウェハWの搬送が行われる開口部52が形成されている。また、第1のトランスファモジュール50aのY軸正方向側の他端部には、パスモジュール55を介して第2のトランスファモジュール50bが接続される。換言すると、第2のトランスファモジュール50bのY軸負方向側の一端部にはパスモジュール55を介して第1のトランスファモジュール50aが接続され、第2のトランスファモジュール50bのY軸正方向側の他端部は、板状部材としてのエンドプレート53により閉塞されている。
このように、トランスファモジュール50a、50b、パスモジュール55、フィッティングモジュール60との間には、図示のように板状部材やゲートバルブが設けられていない。すなわちトランスファモジュール50a、50b、パスモジュール55、フィッティングモジュール60は、内部空間が連通され、第1のウェハ搬送機構80aや第2のウェハ搬送機構80bによりウェハWが搬送される一体の搬送空間Sを画成する。
トランスファモジュール50a、50bの長辺を構成するX軸負方向側及び正方向側の側面には、上述したように、処理モジュール70と連通する複数のウェハ搬送口51が形成されている。ウェハ搬送口51は、ゲートバルブ71を用いて開閉自在に構成されている。
また、ウェハ搬送口51の上方におけるトランスファモジュール50a、50bの天井面には、搬送空間Sに不活性ガス(例えばNガス)を供給するためのガス供給部54が接続されている。このガス供給部54は、ウェハ搬送口51を遮断するように、いわばエアカーテンを形成するように、搬送空間Sに対して不活性ガスを供給し、ゲートバルブ71の開放時において処理モジュール70からトランスファモジュール50a、50bに対してパーティクル等が飛散することを抑制する。
またガス供給部54は、搬送空間Sの内部における気流の滞留部分を無くし、フィッティングモジュール60に接続される排気機構(図示せず)により適切に搬送空間Sの内部を排気できるように、搬送空間Sの内部に不活性ガスを供給する。
<パスモジュールの構成>
上述したように、パスモジュール55は第1のトランスファモジュール50aと第2のトランスファモジュール50bとを相互に接続するものである。パスモジュール55の内部と第1のトランスファモジュール50a及び第2のトランスファモジュール50bの内部とは空間的に連通しており、ウェハW搬送時には減圧雰囲気下にある。図3は、パスモジュール55の構成の概略を模式的に示す斜視図である。なお、図3では、ウェハ搬送機構80a(搬送アーム81a)によってウェハWがパスモジュール55内に搬送された状態を図示している。
図1、2に示すように、ロードロックモジュール20、フィッティングモジュール60、第1のトランスファモジュール50a、パスモジュール55、第2のトランスファモジュール50bは、Y軸負方向側からこの順に並べて接続されている。
また、図3に示すように、パスモジュール55は、第1のトランスファモジュール50aと接続される(Y軸負方向側)一側面に形成された第1開口55aと、第2のトランスファモジュール50bと接続される(Y軸正方向側)他側面に形成された第2開口55bと、を有する筒型形状で構成される。
本実施形態にかかるパスモジュール55においては、第1開口55aと第2開口55bはいずれもパスモジュール55と各トランスファモジュール50a、50bとの間でウェハWの搬送を適切に行うことができる大きさを有している。
また、パスモジュール55のY軸方向における奥行寸法H1は、ウェハWの直径(基板寸法)よりも小さくなるように設計される。但し、パスモジュール55の奥行寸法H1は、後述する受け渡し部56を構成する回転機構56a、56bを設置可能な程度の寸法に設計される。また、このパスモジュール55の奥行寸法H1は、パスモジュール55の近傍において互いに隣接する処理モジュール70同士のクリアランス(間隔)に基づき設計されても良い。例えば、装置全体のフットプリントの観点から、隣接する処理モジュール70同士の間隔は10mm程度とされ、パスモジュール55の奥行寸法H1はその数値に基づき設計される。従って、筒状連結モジュール55は、第1の真空搬送モジュール50aと第2の真空搬送モジュール50bとの間に配置される。第1の真空搬送モジュール50a、第2の真空搬送モジュール50b及び筒状連結モジュール55は、第1の方向Yに沿って配列される。筒状連結モジュール55は、第1の方向Yにおいて第1の長さH1を有する。第1の長さH1は、ウェハWの直径よりも小さい。
図1に示すように、パスモジュール55には、第1のトランスファモジュール50aと第2のトランスファモジュール50bとの間でウェハWの受け渡しを行うための受け渡し部56が設けられている。図1のように、本実施形態に係る受け渡し部56は2つの回転機構(ウェハ支持部)56a、56bを備え、これら回転機構56a、56bはパスモジュール55の幅方向(X軸方向)に並んで配置されている。
図4は回転機構56a、56bの構成の一例を示す概略説明図である。ここでは回転機構56aを例として挙げ図示しているが、回転機構56bも同様の構成を有している。図4に示すように、回転機構56aは駆動軸を内包する軸部材100と、軸部材100の上端に基板支持面(ウェハ支持面)105aを有する基板支持部(ウェハステージ)105と、基板支持部105の外周において外方に伸びる少なくとも3以上の棒状の保持部材(リング支持部材)107を有するエッジリング支持部108からなる。図4の構成では3本の保持部材107a、107b、107cが基板支持部105の外周において120°間隔にて設けられている。
基板支持部105は、軸部材100に内包された駆動軸(図示せず)にそれぞれ接続されており、駆動軸の駆動に伴い回転自在に構成される。基板支持部105はパスモジュール55内に収まるように設計されることが好ましい。また、エッジリング支持部108は回転しない構成でも良く、あるいは、基板支持部105と同様に駆動軸に接続され回転自在に構成されても良い。構成の一例として、これら基板支持部105とエッジリング支持部108は一体的に回転しても良く、あるいは、互いに独立して回転しても良い。なお、これら基板支持部105やエッジリング支持部108は軸部材100に対し取り外し自在に構成されても良い。基板支持部105やエッジリング支持部108を取り外すことで装置の運搬や梱包の際の効率化が図られる。
基板支持部105の基板支持面105aには例えばOリング等の係止部材によりウェハWを固定させて載置することが可能である。この基板支持面105aは、径がウェハWよりも小さな円板状の部材でも良く、その寸法は搬送アーム81aや81bとの間でウェハWの受け渡しを行うことに鑑み、搬送アーム81a、81bのフォーク幅よりも小さく設計されることが好ましい。従って、ウェハ支持部56a、56bは、筒状連結モジュール55に回転可能に取り付けられ、ウェハWを支持するように構成されている。ウェハ支持部56aは、ウェハステージ105及び軸部材100を含む。ウェハステージ105は、ウェハ支持面105aを有する。ウェハ支持面105aは、第1の長さH1よりも小さい直径を有する。軸部材100は、ウェハステージ105から下方に延在している。ウェハ支持部56bも、ウェハ支持部56aと同様の構成を有する。
保持部材107の外方先端には、上方に向かって突出した形状を有する、エッジリング支持面109が形成されても良い。基板支持面105aとエッジリング支持面109は同じ高さレベルでも良く、異なる高さレベルでも良い。従って、少なくとも3つのリング支持部材107a,107b,107cは、ウェハ支持部56aから外方に向かって延在し、少なくとも1つのリングER1を一緒に支持するように構成される。少なくとも1つのリングが複数のリングER1,ER2を有する場合は、少なくとも3つのリング支持部材107a,107b,107cは、複数のリングER1,ER2を一緒に支持するように構成される。少なくとも3つのリング支持部材107a,107b,107cは、第1のリング支持部材107a及び第2のリング支持部材107bを有する。一実施形態において、第1のリング支持部材107aは、第1の真空搬送モジュール50aの内部まで延在しており、第2のリング支持部材107bは、第2の真空搬送モジュール50bの内部まで延在している。少なくとも3つのリング支持部材107a,107b,107cは、回転可能であってもよい。一実施形態において、各リング支持部材107a,107b,107cは、棒状部分及び突出部分を含む。棒状部分は、一端部において軸部材100に取り付けられる。突出部分は、棒状部分の他端部から上方に突出し、上端部にリング支持面109を有する。また、エッジリング支持面109は回転機構56aの径方向にある程度の幅を有していることが好ましい。これは、エッジリングERとして径の異なる2種類のリング(例えば、フォーカスリングFRとカバーリングCR)をエッジリング支持面109において支持し回転させるためである。
図5は、回転機構56a、56bにおいて、エッジリング支持面109に径の異なる2種類のエッジリングER1、ER2を載せた状態を示す概略説明図である。本実施形態に係る構成によれば、図5のように径の異なる2種類のエッジリングER1、ER2をエッジリング支持面109において同時に支持し、保持や回転をさせることができる。
なお、フォーカスリングFRとはウェハWの周囲において位置合わせを行う例えばシリコン製の部材であり、カバーリングCRとはフォーカスリングFRの外側を覆う例えば石英製の部材である。エッジリングERとは、ウェハWに対しプラズマ処理を行う場合に、当該ウェハWの周囲を囲むようにして配置される環状部材であり、ここでは、上記フォーカスリングFRとカバーリングCRの総称である。
上述したように、基板支持部105の寸法はパスモジュール55の奥行寸法H1よりも小さく設計されることが好ましい。一方で、エッジリング支持部108の保持部材107(107a~107c)を含んだ全体の寸法はパスモジュール55の奥行寸法H1よりも大きく設計されても良く、その場合、保持部材107の先端は各トランスファモジュール50a、50bの内部まで延在しても良い。
<ウェハの受け渡し方法>
次に、本実施形態に係るウェハ処理装置1において、パスモジュール55を介したウェハWの受け渡し方法の一例について説明する。例えば、同一のウェハWに対し、第1のトランスファモジュール50aの側面に設けられた処理モジュール70において第1の基板処理を行った後、第2のトランスファモジュール50bの側面に設けられた別の処理モジュール70において第2の基板処理を行う場合に、当該ウェハWを第1のトランスファモジュール50aから第2のトランスファモジュール50に受け渡す必要がある。
先ず、第1のトランスファモジュール50aの側面に設けられた処理モジュール70において第1の基板処理が行われた後、第1のウェハ搬送機構80a(搬送アーム81a)によってウェハWが処理モジュール70から取り出され、パスモジュール55内の回転機構56a、56bのいずれかの基板支持部105にウェハWが載置される。
そして、基板支持部105にウェハWが載置された状態で軸部材100に内包された駆動軸の駆動により基板支持部105とウェハWが一体的に所定の角度だけ回転させられる。回転終了後、第2のウェハ搬送機構80b(搬送アーム81b)によって基板支持部105からウェハWが取り出される。ウェハWは、そのまま第2のウェハ搬送機構80bによって第2のトランスファモジュール50bの側面に設けられた処理モジュール70内に搬送される。そして、第2のトランスファモジュール50bの側面に設けられた処理モジュール70において第2の基板処理が行われる。従って、制御部90は、ウェハWをウェハ支持部56a上に載置するように第1の搬送ロボット80aを制御する。次に、制御部90は、ウェハ支持部56a上のウェハWを所定の角度だけ回転させるようにウェハ支持部56aを制御する。その後、ウェハ支持部56a上のウェハWを第2の真空搬送モジュール50b内に搬送するように第2の搬送ロボット80bを制御する。
半導体デバイスの製造プロセスで複数枚のウェハWを搬送する場合に、プロセス特性や量産性の観点から、処理モジュール70内でウェハWの向きが一律同じ方向を向くように搬送を行うことが望まれる。そのため、第1のウェハ搬送機構80a(搬送アーム81a)での搬送時、及び、第2のウェハ搬送機構80b(搬送アーム81b)での搬送時のいずれにおいても、搬送先の処理モジュール70においてウェハWが一律に同じ方向を向くように搬送が制御されることが望ましい。
このような観点からウェハWには所定の箇所にノッチ(切り込み)が形成されており、基板処理時にウェハWを処理モジュール70に搬送する際に、ウェハWのノッチ方向を合わせることで、処理モジュール70内でウェハWが一律に同じ方向を向くような制御を行うことが求められる。本実施形態に係るウェハ処理装置1は、第1のトランスファモジュール50aと第2のトランスファモジュール50bがパスモジュール55を介して接続された構成を有している。ウェハWに対する基板処理の内容によっては同一のウェハWを複数の処理モジュール70に対し搬送する必要があり、その際にはパスモジュール55を経由させて搬送することが求められる。
本実施形態に係る構成によれば、ウェハW搬送時に経由するパスモジュール55に回転機構56a、56bを設けたことで、第1のウェハ搬送機構80aから第2のウェハ搬送機構80bにウェハWを受け渡す際にウェハWを所定の角度だけ回転させて受け渡すことが可能となる。これにより、搬送先の処理モジュール70内でウェハWのノッチ方向が一律に同じ方向を向くようにウェハWを搬送することができ、基板処理の均一化やスループットの向上が図られる。
なお、ここではウェハWの受け渡し方法について説明したが、本開示の適用範囲はこれに限定されない。即ち、本実施形態に係るウェハ処理装置1のように、処理モジュール70においてウェハWに対してプラズマ処理が行われる場合にあっては、真空搬送部においてエッジリングERが搬送可能に構成されても良い。上述したように、回転機構56a、56bはエッジリングERを支持するためのエッジリング支持部108を備えている。そのため、ウェハW搬送時と同様に、エッジリングERの搬送時においても当該エッジリングERを回転させ所望の向きにて処理モジュール70に搬送することが可能である。従って、制御部90は、少なくとも1つのリングER1,ER2を少なくとも3つのリング支持部材107a,107b,107c上に載置するように第1の搬送ロボット80aを制御する。その後、制御部90は、少なくとも3つのリング支持部材107a,107b,107c上の少なくとも1つのリングER1,ER2を第2の真空搬送モジュール50b内に搬送するように第2の搬送ロボット80bを制御する。
本実施形態に係るウェハ処理装置1によれば、種々の要求に応じて1つの真空搬送系に対しより多くの処理モジュール70を搭載する場合に、既存の真空搬送モジュール(トランスファモジュール50a、50b)を連結させるのに奥行寸法の極めて小さい(具体的にはウェハWの直径以下)パスモジュール55を用いている。これにより、処理モジュール70の最大搭載数を増やす際にフットプリントの増大化が抑えられる。
また、本実施形態に係るウェハ処理装置1によれば、処理モジュール70の最大搭載数を増やすために第1のトランスファモジュール50aと第2のトランスファモジュール50bとをパスモジュール55を介して接続した構成において、ウェハWの受け渡しを行うパスモジュール55に回転機構56a、56bを設けている。これにより、例えば同一のウェハWを第1のウェハ搬送機構80aから第2のウェハ搬送機構80bに受け渡す際に、ウェハWを所望の角度だけ回転させて受け渡しを行うことが可能となる。即ち、搬送先の処理モジュール70内でウェハWのノッチ方向が一律に同じ方向を向くようにウェハWを搬送することができ、スループット向上等が図られる。
今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
例えば、上記実施形態に係るウェハ処理装置1としては、2つのトランスファモジュール50a、50bとそれらを接続するパスモジュール55を擁する構成を例示して図示説明したが、装置構成はこれに限定されるものではない。即ち、3以上のトランスファモジュール50同士を複数のパスモジュール55にて接続し、その終端部をエンドプレート53により閉塞するといった構成を採っても良い。
1 ウェハ処理装置
50 トランスファモジュール
55 パスモジュール
56a、56b 回転機構
80a 第1の搬送機構
80b 第2の搬送機構
105 基板支持部
108 エッジリング支持部
W ウェハ

Claims (10)

  1. 第1の真空搬送モジュールと、
    前記第1の真空搬送モジュール内に配置され、ウェハ及び少なくとも1つのリングを同時に又は別々に搬送するように構成された第1の搬送ロボットであり、前記少なくとも1つのリングは、前記ウェハの直径よりも大きい内径を有する、第1の搬送ロボットと、
    第2の真空搬送モジュールと、前記第2の真空搬送モジュール内に配置され、前記ウェハ及び前記少なくとも1つのリングを同時に又は別々に搬送するように構成された第2の搬送ロボットと、
    前記第1の真空搬送モジュールと前記第2の真空搬送モジュールとの間に配置される筒状連結モジュールであり、前記第1の真空搬送モジュール、前記第2の真空搬送モジュール及び前記筒状連結モジュールは、第1の方向に沿って配列され、前記筒状連結モジュールは、前記第1の方向において第1の長さを有し、前記第1の長さは、前記ウェハの直径よりも小さい、筒状連結モジュールと、
    前記筒状連結モジュールに回転可能に取り付けられ、前記ウェハを支持するように構成されるウェハ支持部と、
    前記ウェハ支持部から外方に向かって延在し、前記少なくとも1つのリングを支持するように構成される少なくとも3つのリング支持部材と、
    を備える、搬送装置。
  2. 前記ウェハ支持部は、ウェハ支持面を有するウェハステージを含み、前記ウェハ支持面は、前記第1の長さよりも小さい直径を有する、請求項1に記載の搬送装置。
  3. 前記少なくとも3つのリング支持部材は、第1のリング支持部材及び第2のリング支持部材を有し、前記第1のリング支持部材は、前記第1の真空搬送モジュールの内部まで延在し、前記第2のリング支持部材は、前記第2の真空搬送モジュールの内部まで延在する、請求項1又は2に記載の搬送装置。
  4. 前記少なくとも3つのリング支持部材は、回転可能である、請求項1~3のいずれか一項に記載の搬送装置。
  5. 前記ウェハ支持部は、前記ウェハステージから下方に延在する軸部材をさらに含み、
    前記リング支持部材は、棒状部分及び突出部分を含み、前記棒状部分は、一端部において前記軸部材に取り付けられ、前記突出部分は、前記棒状部分の他端部から上方に突出し、上端部にリング支持面を有する、請求項2に記載の搬送装置。
  6. 前記リング支持面は、前記ウェハ支持面と同じ高さに位置する、請求項5に記載の搬送装置。
  7. 前記リング支持面は、前記ウェハ支持面と異なる高さに位置する、請求項5に記載の搬送装置。
  8. 前記少なくとも3つのリング支持部材は、前記軸部材を中心に120°間隔で配置される3つのリング支持部材を有する、請求項1~7のいずれか一項に記載の搬送装置。
  9. 前記ウェハを前記ウェハ支持部上に載置するように前記第1の搬送ロボットを制御し、
    前記ウェハ支持部上の前記ウェハを所定の角度だけ回転させるように前記ウェハ支持部を制御し、
    前記ウェハ支持部上の前記ウェハを前記第2の真空搬送モジュール内に搬送するように前記第2の搬送ロボットを制御するように構成された制御部をさらに有する、請求項1~8のいずれか一項に記載の搬送装置。
  10. 前記制御部は、
    前記少なくとも1つのリングを前記少なくとも3つのリング支持部材上に載置するように前記第1の搬送ロボットを制御し、
    前記少なくとも3つのリング支持部材上の前記少なくとも1つのリングを前記第2の真空搬送モジュール内に搬送するように前記第2の搬送ロボットを制御するように構成される、請求項9に記載の搬送装置。
JP2020219040A 2020-12-28 2020-12-28 搬送装置 Pending JP2022104056A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2020219040A JP2022104056A (ja) 2020-12-28 2020-12-28 搬送装置
TW110146675A TW202234470A (zh) 2020-12-28 2021-12-14 搬運裝置
CN202111544923.XA CN114695218A (zh) 2020-12-28 2021-12-16 输送装置
KR1020210184886A KR20220094158A (ko) 2020-12-28 2021-12-22 반송 장치
US17/563,850 US11948816B2 (en) 2020-12-28 2021-12-28 Transfer apparatus
US18/600,829 US20240213057A1 (en) 2020-12-28 2024-03-11 Transfer apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020219040A JP2022104056A (ja) 2020-12-28 2020-12-28 搬送装置

Publications (1)

Publication Number Publication Date
JP2022104056A true JP2022104056A (ja) 2022-07-08

Family

ID=82119908

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020219040A Pending JP2022104056A (ja) 2020-12-28 2020-12-28 搬送装置

Country Status (5)

Country Link
US (2) US11948816B2 (ja)
JP (1) JP2022104056A (ja)
KR (1) KR20220094158A (ja)
CN (1) CN114695218A (ja)
TW (1) TW202234470A (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
JP2022104056A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置
JP2022104042A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 基板処理装置
US20220344190A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Air curtain for defect reduction

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3831043B2 (ja) * 1997-01-24 2006-10-11 東京エレクトロン株式会社 回転処理装置
US6068441A (en) * 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6168427B1 (en) * 1999-10-05 2001-01-02 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus for guiding the removal of a processing tube from a semiconductor furnace
US20030053904A1 (en) * 2001-09-14 2003-03-20 Naofumi Kirihata Wafer aligner
JP2003303876A (ja) * 2002-04-10 2003-10-24 Seiko Instruments Inc 試料ステージにおける半導体ウエハ保持機構
EP1691940A2 (en) * 2003-04-03 2006-08-23 SC Fluid Technologies, Inc. Method and apparatus for rotation of a workpiece in supercritical fluid solutions for removing photo resist, residues and particles therefrom
US20070264106A1 (en) * 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
US20070286710A1 (en) * 2003-11-10 2007-12-13 Van Der Meulen Peter Semiconductor manufacturing process modules
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4459831B2 (ja) * 2005-02-01 2010-04-28 東京エレクトロン株式会社 塗布、現像装置
DE102006045866B4 (de) * 2006-09-28 2010-08-12 Nanophotonics Ag Halte- und Drehvorrichtung für berührungsempfindliche ebene Objekte
IL183692A0 (en) * 2007-06-05 2007-09-20 Nova Measuring Instr Ltd Apparatus and method for substrates handling
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
JP6341083B2 (ja) * 2014-12-25 2018-06-13 株式会社Sumco エピタキシャルシリコンウェーハの製造方法
TWI677046B (zh) 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
JP6618334B2 (ja) * 2015-06-03 2019-12-11 株式会社Screenホールディングス 基板処理装置、膜形成ユニット、基板処理方法および膜形成方法
JP6706935B2 (ja) * 2016-03-09 2020-06-10 株式会社Screenホールディングス 基板処理装置
JP6779636B2 (ja) * 2016-03-11 2020-11-04 株式会社Screenホールディングス 基板処理装置
JP6649157B2 (ja) * 2016-03-30 2020-02-19 株式会社Screenホールディングス 基板処理装置
US10522381B2 (en) * 2017-04-07 2019-12-31 Applied Materials, Inc. Aligner apparatus and methods
US11721564B2 (en) * 2019-04-08 2023-08-08 Tokyo Electron Limited Substrate processing system and substrate transfer apparatus and method
JP7418241B2 (ja) * 2020-02-27 2024-01-19 東京エレクトロン株式会社 位置決め装置、処理システム及び位置決め方法
DE102021115349A1 (de) * 2020-07-14 2022-01-20 Infineon Technologies Ag Substrat-prozesskammer und prozessgasströmungsablenker zur verwendung in der prozesskammer
US20220059384A1 (en) * 2020-08-18 2022-02-24 Kabushiki Kaisha Yaskawa Denki Allignment and transport of substrate and focus ring
JP2022104056A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置
JP2022104042A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 基板処理装置
JP2022105931A (ja) * 2021-01-05 2022-07-15 東京エレクトロン株式会社 プロセスモジュール、基板処理システムおよび処理方法
JP2022106560A (ja) * 2021-01-07 2022-07-20 東京エレクトロン株式会社 処理モジュールおよび処理方法
TW202238803A (zh) * 2021-02-26 2022-10-01 日商東京威力科創股份有限公司 搬運系統、搬運裝置及搬運方法
JP2023074944A (ja) * 2021-11-18 2023-05-30 東京エレクトロン株式会社 連接処理容器及び基板処理方法。

Also Published As

Publication number Publication date
TW202234470A (zh) 2022-09-01
CN114695218A (zh) 2022-07-01
KR20220094158A (ko) 2022-07-05
US11948816B2 (en) 2024-04-02
US20240213057A1 (en) 2024-06-27
US20220208574A1 (en) 2022-06-30

Similar Documents

Publication Publication Date Title
JP2022104056A (ja) 搬送装置
JP4012190B2 (ja) 密閉容器の蓋開閉システム及び開閉方法
JP2004289036A (ja) 真空処理装置
US20190385873A1 (en) Vacuum Processing Apparatus, Vacuum Processing System and Vacuum Processing Method
JPH0555148A (ja) マルチチヤンバ型枚葉処理方法およびその装置
JP2005079409A (ja) 基板処理装置
JP5093078B2 (ja) 成膜装置
JP2011124565A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
KR20190036476A (ko) 기판 처리 장치, 기판 처리 방법 및 컴퓨터 기억 매체
WO2015151676A1 (ja) 基板処理システム
US11430679B2 (en) Semiconductor manufacturing apparatus
KR20210143942A (ko) 쿼드 스테이션 프로세스 모듈을 위한 포어라인 어셈블리 (foreline assembly for quad station process module)
TW202230584A (zh) 基板處理裝置
JP2010034505A (ja) 積層ロードロックチャンバおよびそれを備えた基板処理装置
US20220213594A1 (en) Process module, substrate processing system, and processing method
JP6176732B2 (ja) ガス供給部、基板処理装置及び半導体装置の製造方法
JP2015154083A (ja) 基板搬送ロボット及びそれを用いた基板処理装置
JPH07122618A (ja) 真空処理装置
WO2020196179A1 (ja) 成膜装置、成膜方法、および成膜システム
JP2008028035A (ja) 半導体製造装置
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP3121022B2 (ja) 減圧処理装置
JP5031960B2 (ja) 基板処理装置および半導体装置の製造方法
JP2004339566A (ja) 基板処理装置
JP7454714B2 (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230623

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240311

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240524

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240618