WO2015151676A1 - 基板処理システム - Google Patents

基板処理システム Download PDF

Info

Publication number
WO2015151676A1
WO2015151676A1 PCT/JP2015/055818 JP2015055818W WO2015151676A1 WO 2015151676 A1 WO2015151676 A1 WO 2015151676A1 JP 2015055818 W JP2015055818 W JP 2015055818W WO 2015151676 A1 WO2015151676 A1 WO 2015151676A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing chamber
chamber
processing
wafer
processing system
Prior art date
Application number
PCT/JP2015/055818
Other languages
English (en)
French (fr)
Inventor
藤野 豊
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to KR1020167026835A priority Critical patent/KR101866112B1/ko
Priority to US15/128,804 priority patent/US10170347B2/en
Priority to CN201580017535.2A priority patent/CN106165082B/zh
Publication of WO2015151676A1 publication Critical patent/WO2015151676A1/ja

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/52Mounting semiconductor bodies in containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Definitions

  • the present invention relates to a substrate processing system that performs predetermined processing on a plurality of substrates.
  • a so-called ALD (Atomic Layer Deposition) or MLD (Molecular Layer Deposition) process that performs film formation on a wafer is, for example, a batch process that processes multiple wafers in a processing chamber that is evacuated to a vacuum. Done in the system.
  • this batch processing system 200 places a plurality of wafers W concentrically in order to improve the in-plane uniformity of each wafer processing and the uniformity of processing between wafers W.
  • a circular mounting table 210 and a cylindrical processing chamber 211 that accommodates the mounting table 210 are provided.
  • the processing chamber 211 is provided with a vacuum transfer chamber 212 adjacent thereto, and a wafer W accommodated in a cassette C of a cassette station 201 arranged on the atmosphere side is transferred to a transfer arm 213 arranged on the atmosphere side and a vacuum transfer.
  • the sample is transferred into the processing chamber 211 by the transfer arm 215 provided in the vacuum transfer chamber 212 through the load lock chamber 214 adjacent to the chamber 212.
  • a space A in which the wafer W is not mounted is formed in the center thereof as shown by a broken line in the processing chamber 211 of FIG.
  • the space A gradually increases as the number of wafers W placed on the mounting table 210, in other words, the number of wafers W accommodated in the processing chamber 211 increases. Therefore, in the processing chamber 211 for processing wafers W placed concentrically as shown in FIG. 13, the volume of the processing chamber 211 required for processing per wafer W increases as the number of wafers W processed increases. (Hereinafter, this volume may be referred to as “necessary processing volume”).
  • the number of wafers W processed in the processing chamber 211 is set to about six, and the processing chamber 211 configured as such is vacuum-transferred.
  • a plurality of units are provided adjacent to the chamber 212.
  • the vacuum transfer chamber 212 itself becomes large, and a problem arises that the footprint of the batch processing system 200 as a whole increases.
  • the present invention has been made in view of the above points, and in a substrate processing system that performs a predetermined process on a plurality of substrates, an increase in the volume of the processing chamber accompanying an increase in the number of wafers W processed in the processing chamber.
  • the aim is to minimize.
  • the present invention provides an annular processing chamber for storing a plurality of substrates, a cassette mounting portion for mounting a cassette for storing a plurality of substrates, the processing chamber, and the cassette.
  • a substrate transport mechanism for transporting the substrate to and from the mounting unit, and the plurality of substrates are concentrically arranged in the processing chamber in plan view.
  • the processing chamber since the processing chamber is formed in an annular shape and the substrates are arranged concentrically in the processing chamber, in the conventional cylindrical processing chamber, the processing chamber gradually increases as the number of substrates accommodated increases. The space A described above does not occur. Therefore, even if the number of substrates processed in the processing chamber is increased, the increase in the volume of the processing chamber can be minimized.
  • an increase in the volume of the processing chamber accompanying an increase in the number of wafers processed in the processing chamber can be minimized.
  • FIG. 1 is a plan view showing an outline of the configuration of a wafer processing system 1 as a substrate processing system according to the present embodiment.
  • FIG. 2 is a longitudinal sectional view showing an outline of the configuration of the wafer processing system 1 according to the present embodiment. Note that, as the wafer W of the present embodiment, for example, a semiconductor wafer is used, and the wafer processing system 1 will be described by taking as an example a case where so-called ALD is performed in which film formation processing is performed on the wafer.
  • ALD atomic layer deposition
  • the wafer processing system 1 includes a cassette station 2 that carries a plurality of wafers W in a cassette unit, a processing station 3 that processes a plurality of wafers W in a batch manner, and a wafer W in the processing station 3. And a control device 4 for controlling the above processes.
  • the cassette station 2 and the processing station 3 are integrally connected via a load lock chamber 5.
  • the cassette station 2 includes a cassette placement unit 10 and a transfer chamber 11 provided adjacent to the cassette placement unit 10.
  • a plurality of, for example, three cassettes C that can accommodate a plurality of wafers W can be placed side by side in the X direction (left and right direction in FIG. 1).
  • a wafer transfer arm 12 is provided in the transfer chamber 11.
  • the wafer transfer arm 12 is movable in the vertical direction, the horizontal direction, and the vertical axis ( ⁇ direction), and can transfer the wafer W between the cassette C of the cassette mounting unit 10 and the load lock chamber 5.
  • FIG. 1 depicts a state in which one wafer transfer arm 12 is arranged in the transfer chamber 11, the arrangement and the number of wafer transfer arms 12 are not limited to the contents of the present embodiment. It can be set arbitrarily.
  • the processing station 3 includes a substantially annular processing chamber 20 that batch-processes a plurality of wafers W, and a vacuum provided adjacent to the processing chamber 20 in an inner space surrounded by the annular processing chamber 20.
  • a transfer chamber 21 is provided.
  • the width S of the cross section of the processing chamber 20 is configured to be larger than the diameter of the wafer W so that the wafer W can be accommodated horizontally.
  • a mounting table 22 for mounting a plurality of wafers W is provided inside the processing chamber 20, a mounting table 22 for mounting a plurality of wafers W is provided.
  • the cross section of the processing chamber 20 is drawn in a rectangular shape.
  • the cross section of the processing chamber 20 can be used as long as the annular mounting table 22 can be disposed inside the processing chamber 20. It is not limited to the contents of, but can be set arbitrarily.
  • the mounting table 22 is formed in an annular shape like the processing chamber 20, and is arranged concentrically with the processing chamber 20.
  • a plurality of wafers W are arranged on the same circumference along the circumferential direction of the mounting table 22.
  • FIG. 1 for example, a state in which 14 wafers W are mounted on the mounting table 22 is illustrated.
  • the number of wafers W and the size of the mounting table 22 can be arbitrarily set. is there.
  • a lower surface of the mounting table 22 is provided with a drive mechanism 23 that rotates the mounting table 22 in the horizontal direction about the central axis of the mounting table 22 as a rotation axis.
  • the drive mechanism 23 is composed of, for example, a rotatable roller.
  • the mounting table 22 incorporates lifting pins (not shown) so that the wafer W can be transferred to and from a wafer transfer mechanism 40 described later.
  • an exhaust mechanism 24 is connected to the processing chamber 20 via an exhaust pipe 25, and the inside of the process chamber 20 can be decompressed.
  • the exhaust pipe 25 is provided with an adjustment valve 26 that adjusts the exhaust amount by the exhaust mechanism 24.
  • the gate valve 27 is closed in a normal state, and the wafer W can be transferred between the vacuum transfer chamber 21 and the processing chamber 20 by opening the gate valve 27.
  • FIG. 3 a state in which the gate valves 27 are provided at three equal intervals is illustrated, but the arrangement and the number of the gate valves 27 can be arbitrarily set.
  • FIG. 3 a state in which the gate valves 27 are provided at three equal intervals is illustrated, but the arrangement and the number of the gate valves 27 can be arbitrarily set.
  • a gas supply mechanism 30 for supplying a predetermined processing gas into the processing chamber 20 is connected to the ceiling surface of the processing chamber 20 via a gas supply pipe 31, for example.
  • the gas supply pipe 31 is provided with a flow rate adjusting mechanism 32 for adjusting the supply amount of the processing gas.
  • FIG. 2 for example, a state where the gas supply pipe 31 is connected to only one place on the ceiling surface of the processing chamber 20 is illustrated.
  • the processing gas is uniformly supplied into the processing chamber 20, From the viewpoint of performing wafer processing, it is preferable to provide gas supply pipes 31 at a plurality of locations in the processing chamber 20 as in the case of the exhaust pipe 25.
  • connection location of the gas supply pipe 31 is not limited to the ceiling surface of the processing chamber 20, and may be the side surface of the processing chamber 20.
  • a plasma source for introducing plasma for assisting film formation on the wafer W into the processing chamber 20 may be disposed on the upper surface or side surface of the processing chamber 20. Therefore, the arrangement of devices outside the processing chamber 20 can be arbitrarily set according to the contents of processing performed in the wafer processing system 1.
  • the load lock chamber 5 is disposed below the processing chamber 20, for example, as shown in FIG. In other words, it is disposed across the lower portion of the processing chamber 20 in plan view.
  • the load lock chamber 5 connects the vacuum transfer chamber 21 and the transfer chamber 11.
  • a gate valve (not shown) is provided between the load lock chamber 5 and the transfer chamber 11 and between the load lock chamber 5 and the vacuum transfer chamber 21, and the gate valve is opened when the wafer W is transferred. By operating, the wafer W can pass through the load lock chamber 5.
  • the vacuum transfer chamber 21 has an upper portion connected to the processing chamber 20 via a gate valve 27 and a lower portion connected to the load lock chamber 5 via a gate valve (not shown). Therefore, the vacuum transfer chamber 21 extends downward from, for example, the bottom surface of the processing chamber 20, and the bottom surface is configured to have a height that is approximately the same as the bottom surface of the load lock chamber 5.
  • 2 illustrates a state in which the load lock chamber 5 is provided below the processing chamber 20, but the load lock chamber 5 is provided above the processing chamber 20, for example, as shown in FIG. May be. In other words, the load lock chamber 5 may be disposed across the processing chamber 20 in plan view.
  • an exhaust mechanism (not shown) is connected to the vacuum transfer chamber 21, and the inside can be decompressed by this exhaust mechanism.
  • a wafer transfer mechanism 40 that transfers the wafer W between the load lock chamber 5 and the processing chamber 20 is provided.
  • the wafer transfer mechanism 40 includes a plurality of articulated transfer arms 41 that can rotate and extend.
  • Each transfer arm 41 is supported, for example, by a support member 42 that extends in the vertical direction at the center of the vacuum transfer chamber 21. Further, each transfer arm 41 is configured to be movable up and down along the support member 42 by an elevator mechanism (not shown), and can transfer the wafer W between the load lock chamber 5 and the processing chamber 20.
  • an elevator mechanism not shown
  • FIG. 1 for example, a state in which three transfer arms 41 are provided is illustrated, but the number of transfer arms 41 can be arbitrarily set.
  • the configuration of the wafer transfer mechanism 40 is not limited to the contents of the present embodiment, and any structure or format can be used as long as the wafer W can be transferred between the load lock chamber 5 and the processing chamber 20. Can be set arbitrarily.
  • the control device 4 is a computer, for example, and has a program storage unit (not shown).
  • the program storage unit stores a program for controlling the processing of the wafer W in the wafer processing system 1.
  • the program is recorded on a computer-readable storage medium such as a computer-readable hard disk (HD), flexible disk (FD), compact disk (CD), magnetic optical desk (MO), or memory card. Or installed in the control device 4 from the storage medium.
  • a computer-readable storage medium such as a computer-readable hard disk (HD), flexible disk (FD), compact disk (CD), magnetic optical desk (MO), or memory card.
  • the wafer processing system 1 according to the present embodiment is configured as described above. Next, wafer processing performed in the wafer processing system 1 will be described.
  • a plurality of unprocessed wafers W are taken out from the cassette C of the cassette station 2 by the wafer transfer arm 12 and sequentially transferred into the load lock chamber 5. Thereafter, the inside of the load lock chamber 5 is evacuated, and the inside is reduced to a predetermined pressure.
  • a gate valve (not shown) between the load lock chamber 5 and the vacuum transfer chamber 21 whose interior is previously maintained in a reduced pressure state is opened, and the wafer W in the load lock chamber 5 is transferred to the wafer transfer mechanism.
  • the 40 transfer arms 41 sequentially carry in the processing chamber 20 that has been previously maintained in a reduced pressure state via the vacuum transfer chamber 21.
  • the wafers W transferred into the processing chamber 20 are sequentially mounted on the mounting table 22 via lifting pins (not shown).
  • the gate valve 27 is closed, and the processing of the wafers W is executed by the control device 4.
  • the inside of the processing chamber 20 is depressurized to a predetermined pressure by the exhaust mechanism 24.
  • the exhaust is quickly performed. That is, in the conventional cylindrical processing chamber 211, it is necessary to exhaust the space A in FIG.
  • the space A increases as the number of wafers W arranged in the processing chamber 211 increases.
  • the processing chamber 211 required for processing per wafer W is increased.
  • the required processing volume also gradually increased in proportion to the square of the radius of the space A. Therefore, the volume of the processing chamber 211 does not increase linearly, but gradually increases, for example, as shown by a line P in FIG. Therefore, as the number of wafers W increases, there is a problem that the time for exhausting the inside of the processing chamber 211 increases.
  • the horizontal axis represents the number of wafers W installed, and the vertical axis represents the volume in the processing chamber.
  • FIG. 5 is for the case where the diameter of the wafer W is 300 mm.
  • the processing chamber 20 of the present embodiment when the number of wafers W installed in the processing chamber 20 is increased, the processing chamber 20 shown in FIG. 2 is maintained with the width S of the processing chamber 20 kept constant. It is sufficient to enlarge only the diameter R of the. In other words, even if the number of wafers W installed in the processing chamber 20 is increased, the volume of the space B that is a necessary processing volume in the processing chamber 20, for example, the space B surrounded by the oblique lines in FIG. Therefore, for example, when the number of wafers W installed is increased by one, the volume of the processing chamber 20 only needs to be increased by the space B for one wafer, and the diameter of the space A as in the conventional processing chamber 211 is sufficient.
  • the processing chamber 20 of the present embodiment When the inside of the processing chamber 20 is depressurized to a predetermined pressure, a predetermined processing gas is supplied from the gas supply mechanism 30 and a film forming process is performed on the wafer W.
  • the required processing volume is smaller than that of the conventional processing chamber 211, so that the flow rate of the processing gas supplied to process one wafer W is also reduced.
  • the running cost of the wafer processing system 1 can be reduced.
  • the gate valve 27 is opened. Next, the processed wafers W are sequentially transferred from the processing chamber 20 to the vacuum transfer chamber 21 by the transfer arm 41 of the wafer transfer mechanism 40.
  • the wafers W are sequentially accommodated in the cassette C of the cassette station 2 through the load lock chamber 5.
  • the cassette C is transported to the outside of the cassette station 2 and a new cassette C accommodating unprocessed wafers W is transported to the cassette station 2. Then, the unprocessed wafers W are sequentially transferred to the processing chamber 20, and this series of processes is repeated.
  • the processing chamber 20 is formed in an annular shape, and the wafer W is disposed concentrically in the processing chamber 20. Therefore, the wafer W is accommodated in the conventional cylindrical processing chamber 211. A space A that gradually increases as the number of sheets increases does not occur. Therefore, even if the number of wafers processed in the processing chamber 20 is increased, an increase in the volume of the processing chamber can be minimized.
  • the increase in the footprint of the wafer processing system 1 is only the increase in the processing chamber 20. Can be suppressed. That is, the increase in the footprint with respect to the number of processed wafers W in the wafer processing system 1 of the present embodiment is the size of the cassette station 2 and the load lock chamber 5 which are transfer systems provided outside the vacuum transfer chamber 21. If there is no change, it is generally linear. Therefore, according to the present embodiment, the number of processed wafers W per the same footprint can be improved as compared with the conventional case.
  • the present embodiment shown in FIG. 7 The footprint F of the wafer processing system of the embodiment (shown by a one-dot chain line in FIG. 7) is substantially the same as the cassette station 201, load lock chamber 214, and vacuum transfer chamber of the conventional batch processing system 200 as shown in FIG. It is within an area that covers 212.
  • the footprint of the wafer processing system 1 according to the present embodiment is compared with the conventional batch processing system 200 when, for example, the wafer processing system 1 processes 12 wafers W in a batch manner. It has been confirmed that the footprint can be reduced by about 30%.
  • the load lock chamber 5 is provided so as to straddle the upper or lower portion of the processing chamber 20, so that the portion where the load lock chamber 5 and the processing chamber 20 overlap in plan view. Even the footprint can be reduced.
  • the vacuum transfer chamber 21 is disposed inside the annular processing chamber 20, but from the viewpoint of not increasing the required processing volume of the processing chamber 20, the processing chamber 20 is formed in an annular shape. Therefore, the vacuum transfer chamber 21 is not necessarily provided inside the processing chamber 20. In such a case, the gate valve 27 may be provided outside the processing chamber 20.
  • the transfer arm 41 can access the gate valve 27 no matter where the gate valve 27 is provided inside the processing chamber 20.
  • the arrangement of the gate valve 27 can be freely set. Therefore, it is preferable to provide the vacuum transfer chamber 21 inside the processing chamber 20.
  • the wafer transfer mechanism 40 in the center of the vacuum transfer chamber 21, the distance from each transfer arm 41 to the processing chamber 20 becomes equal, and a transfer delay due to a difference in transfer distance does not occur. The management of the transport time of W can be facilitated, and the number of transports per unit time can be increased as the number of transport arms 41 is increased.
  • the wafer processing system 1 having one processing chamber 20 has been described, but a plurality of processing chambers 20 may be provided.
  • the processing chambers 20 may be arranged on both sides of the cassette station 2 with the cassette station 2 interposed therebetween. By doing so, an increase in footprint can be minimized.
  • the transfer chamber 11 is provided in common to the two processing chambers 20 and the cassette station 2 is configured so that the cassette C is disposed on the side of the transfer chamber 11. The same as described above.
  • FIG. 10 shows a conventional batch processing system 200 in which processing chambers 211 are arranged on both sides of the cassette station 2, and the region indicated by the alternate long and short dash line in FIG. 10 is the footprint of the wafer processing system 1 shown in FIG. F.
  • the processing chamber 20 according to the present embodiment it is possible to minimize an increase in footprint due to the number of processed wafers W.
  • the load lock chamber 5 is disposed only above or below the processing chamber 20.
  • the load lock chamber 5 is disposed above and below the processing chamber 20.
  • Two load lock chambers 5a and 5b may be provided so as to straddle both above and below the processing chamber 20.
  • the vacuum transfer chamber 21 is configured to have such a height that the wafer W can be transferred to both the load lock chambers 5a and 5b.
  • the transfer speed between the vacuum transfer chamber 21 and the cassette C may be limited by the load lock chamber 5, but the load lock chambers 5a and 5b are arranged in multiple stages in the vertical direction as shown in FIG. By doing so, it can be solved that the load lock room becomes a bottleneck.
  • the processing chambers 20 may be arranged in multiple stages in the vertical direction as shown in FIG. 12, for example.
  • the vacuum transfer chamber 21 is preferably configured to have a height corresponding to the number of stages of the processing chamber 20 provided in the vertical direction.
  • the present invention can be applied to a single wafer processing system in which the inside of the processing chamber 20 is divided for each space B shown in FIG. 6 and the processing of the wafer W is performed for each space B individually.
  • the present invention can also be applied to a wafer processing system in which a plurality of wafers W are simultaneously processed in a space.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 複数の基板に対して処理を施す基板処理システムは、複数枚の基板を収容して所定の処理を施す円環状の処理チャンバと、複数枚の基板を収容するカセットを載置するカセット載置部と、当該処理チャンバと当該カセット載置部との間で基板を搬送する基板搬送機構と、を有し、当該処理チャンバ内には、複数の基板が平面視において同心円状に配置される。

Description

基板処理システム
(関連出願の相互参照)
 本願は、2014年3月31日に日本国に出願された特願2014-073450号に基づき、優先権を主張し、その内容をここに援用する。
 本発明は、複数の基板に所定の処理を施す基板処理システムに関する。
 例えば半導体デバイスなどの製造プロセスにおいては、基板としての半導体ウェハ(以下、「ウェハ」という。)に対してイオン注入処理、エッチング処理、成膜処理などの各種処理が行われる。ウェハに対して成膜処理を行う、いわゆるALD(Atomic Layer Deposition)やMLD(Molecular Layer Deposition)と呼ばれる処理は、例えば真空に排気された処理チャンバ内で複数のウェハに対して処理を行うバッチ処理システムで行われる。
 このようなバッチ処理システムとしては、例えば特許文献1に開示されるようなシステムが用いられる。例えば図13に示すように、このバッチ処理システム200は、各ウェハ処理の面内均一性向上やウェハW間の処理の均一性の向上を図るために、複数のウェハWを同心円状に載置する、例えば円形の載置台210と、当該載置台210を収容する円筒形状の処理チャンバ211を備えている。
 処理チャンバ211には、真空搬送室212が隣接して設けられ、大気側に配置されたカセットステーション201のカセットCに収容されたウェハWは、大気側に配置された搬送アーム213と、真空搬送室212に隣接するロードロック室214を介して、真空搬送室212に設けられた搬送アーム215により処理チャンバ211内に搬送される。
日本国特開2010-245449号公報
 ところで、ウェハWを同心円状に載置する載置台210においては、図13の処理チャンバ211内に破線で示すように、その中心にウェハWが載置されない空間Aが生じる。そして、載置台210に載置するウェハWの枚数、換言すれば、処理チャンバ211内に収容するウェハWの枚数が増えるほど、この空間Aは漸次増加してゆく。したがって、図13に示すような、ウェハWを同心円状に載置して処理する処理チャンバ211においては、ウェハWの処理枚数を増加させるほど、ウェハW1枚当たりの処理に要する処理チャンバ211の容積(以下、この容積を「必要処理容積」ということがある。)が増加してしまう。そうすると、ウェハWを1枚処理するために排気する空間の容積が増加するため、処理チャンバ211内を所定の真空度まで排気するための時間が増加し、その結果、ウェハ処理のスループットが低下してしまうという問題がある。
 そのため、ウェハW1枚あたりの処理チャンバ211の容積が過剰に大きくならないように、通常は、処理チャンバ211で処理するウェハWの枚数を6枚程度とし、そのように構成した処理チャンバ211が真空搬送室212に隣接して複数台設けられる。
 しかしながら、複数の処理チャンバ211を、真空搬送室212を介して設置すると、真空搬送室212そのものが大きくなり、バッチ処理システム200全体としてのフットプリントが増大してしまうという問題も生じてしまう。
 本発明は、かかる点に鑑みてなされたものであり、複数の基板に所定の処理を施す基板処理システムにおいて、処理チャンバで処理するウェハWの枚数の増加に伴う、処理チャンバの容積の増加を最小限にすることを目的としている。
 前記の目的を達成するため、本発明は、複数枚の基板を収容する円環状の処理チャンバと、複数枚の基板を収容するカセットを載置するカセット載置部と、前記処理チャンバと前記カセット載置部との間で基板を搬送する基板搬送機構と、を有し、前記処理チャンバ内には、前記複数の基板が平面視において同心円状に配置される。
 本発明によれば、処理チャンバが円環状に形成され、基板が当該処理チャンバ内で同心円状に配置されるので、従来の円筒形状の処理チャンバにおいて、基板の収容枚数の増加と共に漸次的に増加する、既述した空間Aが生じることがない。したがって、処理チャンバで処理する基板の枚数を増加させても、処理チャンバの容積の増加を最小限にすることができる。
 本発明によれば、複数の基板に所定の処理を施す基板処理システムにおいて、処理チャンバで処理するウェハの枚数の増加に伴う、処理チャンバの容積の増加を最小限に抑えることができる。
本実施の形態にかかるウェハ処理システムの構成の概略を示す平面図である。 本実施の形態にかかるウェハ処理システムの構成の概略を示す縦断面図である。 処理チャンバの構成の概略を示す説明図である。 他の実施の形態にかかるウェハ処理システムの構成の概略を示す縦断面図である。 処理チャンバでのウェハの処理枚数と処理チャンバの容積との関係を示すグラフである。 処理チャンバにおける必要処理容積についての説明図である。 ウェハ処理システムのフットプリントを示す説明図である。 従来のバッチ処理システムのフットプリントを示す説明図である。 他の実施の形態にかかるウェハ処理システムの構成の概略を示す平面図である。 従来のバッチ処理システムの構成の概略を示す平面図である。 他の実施の形態にかかるウェハ処理システムの構成の概略を示す縦断面図である。 他の実施の形態にかかるウェハ処理システムの構成の概略を示す縦断面図である。 従来のバッチ処理システムの構成の概略を示す平面図である。
 以下、本発明の実施の形態の一例について説明する。本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。図1は、本実施の形態にかかる基板処理システムとしてのウェハ処理システム1の構成の概略を示す平面図である。図2は、本実施の形態にかかるウェハ処理システム1の構成の概略を示す縦断面図である。なお、本実施の形態のウェハWとしては、例えば半導体ウェハが用いられ、ウェハ処理システム1ではウェハに対して成膜処理を行う、いわゆるALDが行われる場合を例にして説明する。
 ウェハ処理システム1は、図1に示すように複数のウェハWをカセット単位で搬入出するカセットステーション2と、例えば複数のウェハWをバッチ式に処理する処理ステーション3と、処理ステーション3におけるウェハWの処理の制御を行う制御装置4とを有している。カセットステーション2と処理ステーション3とは、ロードロック室5を介して一体に接続された構成となっている。
 カセットステーション2は、カセット載置部10と、カセット載置部10に隣接して設けられた搬送室11を備えている。カセット載置部10には、複数のウェハWを収容可能なカセットCをX方向(図1中の左右方向)に複数、例えば3つ並べて載置できる。搬送室11には、ウェハ搬送アーム12が設けられている。ウェハ搬送アーム12は、上下方向、左右方向及び鉛直軸周り(θ方向)に移動自在であり、カセット載置部10のカセットCと、ロードロック室5との間でウェハWを搬送できる。なお、図1では搬送室11にウェハ搬送アーム12を1基配置した状態を描図しているが、ウェハ搬送アーム12の配置や設置数などは本実施の形態の内容に限定されるものではなく、任意に設定できる。
 処理ステーション3は、複数のウェハWをバッチ式に処理する略円環状の処理チャンバ20と、円環状の処理チャンバ20に囲まれた内側の空間に、処理チャンバ20と隣接して設けられた真空搬送室21を備えている。例えば図2に示すように、処理チャンバ20の断面の幅Sは、ウェハWを水平に収容できるように、ウェハWの直径よりも大きく構成されている。処理チャンバ20の内部には、複数のウェハWを載置する載置台22が設けられている。なお、図2では、処理チャンバ20の断面を矩形状に描図しているが、処理チャンバ20の断面形状は、その内部に円環状の載置台22を配置できるものであれば本実施の形態の内容に限定されるものではなく、任意に設定が可能である。
 載置台22は、例えば図1に示すように、処理チャンバ20と同様に円環状に形成されており、処理チャンバ20と同心円状に配置されている。載置台22には、複数のウェハWが当該載置台22の周方向に沿って同一円周上に配置される。図1では、載置台22上に例えば14枚のウェハWが載置された状態を描図しているが、ウェハWの設置枚数や載置台22の大きさについては、任意に設定が可能である。
 載置台22の例えば下面には、載置台22を当該載置台22の中心軸を回転軸として水平方向に回転させる駆動機構23が設けられている。駆動機構23としては、例えば回動自在なローラなどにより構成されている。また、載置台22には、図示しない昇降ピンが内蔵されており、後述するウェハ搬送機構40との間でウェハWの受け渡しができるように構成されている。
 処理チャンバ20には、例えば図2に示すように、排気機構24が排気管25を介して接続されており、この排気機構24により内部を減圧可能に構成されている。排気管25には、排気機構24による排気量を調整する調整弁26が設けられている。処理チャンバ20の真空搬送室21と対向する側面には、換言すれば、処理チャンバ20と真空搬送室21との間には、例えば図3に示すように、ゲートバルブ27が複数箇所に設けられている。このゲートバルブ27は、通常状態では閉じた状態になっており、開操作することで、真空搬送室21と処理チャンバ20との間でウェハWの搬送が可能となる。なお、図3では、ゲートバルブ27が3か所に等間隔で設けられている状態を描図しているが、ゲートバルブ27の配置や設置数については任意に設定できる。なお、図2では、例えば処理チャンバ20の側面の一箇所にのみ排気管25が接続された状態を描図しているが、処理チャンバ20内を均一に排気して偏流を防止するという観点からは、処理チャンバ20の複数箇所に排気管25を設けることが好ましい。
 また、処理チャンバ20の例えば天井面には、当該処理チャンバ20の内部に所定の処理ガスを供給するガス供給機構30が、ガス供給管31を介して接続されている。ガス供給管31には、処理ガスの供給量を調整する流量調整機構32が設けられている。なお、図2では、例えば処理チャンバ20の天井面の一箇所にのみガス供給管31が接続された状態を描図しているが、処理チャンバ20内に均一に処理ガスを供給し、均一なウェハ処理を行うという観点からは、排気管25の場合と同様に、処理チャンバ20の複数箇所にガス供給管31を設けることが好ましい。また、ガス供給管31の接続箇所は、処理チャンバ20の天井面に限られるものでもなく、処理チャンバ20の側面などであってもよい。特に、処理チャンバ20の上面や側面には、ウェハWへの成膜をアシストするためのプラズマを処理チャンバ20内に導入するためのプラズマ源が配置される場合がある。したがって、処理チャンバ20外部における機器の配置については、ウェハ処理システム1で行う処理の内容などに応じて任意に設定できる。
 ロードロック室5は、例えば図2に示すように、処理チャンバ20の下方に配置されている。換言すれば、平面視において処理チャンバ20の下方を跨いで配置されている。ロードロック室5は、真空搬送室21と搬送室11とを接続している。ロードロック室5と搬送室11との間、及びロードロック室5と真空搬送室21との間には、図示しないゲートバルブが設けられており、ウェハWの搬送の際に当該ゲートバルブを開操作することで、ウェハWがロードロック室5を通過可能となる。
 真空搬送室21は、上部がゲートバルブ27を介して処理チャンバ20と接続されており、下部が図示しないゲートバルブを介してロードロック室5と接続されている。したがって、真空搬送室21は、例えば処理チャンバ20の底面より下方に延伸しており、例えば底面がロードロック室5の底面と同程度の高さに構成されている。なお、図2では、処理チャンバ20の下方にロードロック室5が設けられている状態を描図しているが、例えば図4に示すように、処理チャンバ20の上方にロードロック室5を設けてもよい。換言すれば、ロードロック室5を平面視において処理チャンバ20の上方を跨いで配置してもよい。
 真空搬送室21には、処理チャンバ20と同様に排気機構(図示せず)が接続されており、この排気機構により内部を減圧可能に構成されている。真空搬送室21の内部には、ロードロック室5と処理チャンバ20との間でウェハWを搬送するウェハ搬送機構40が設けられている。
 ウェハ搬送機構40は、旋回及び伸縮自在な多関節の搬送アーム41を複数備えている。各搬送アーム41は、例えば真空搬送室21の中心部に鉛直方向に延伸して設けられた支持部材42により支持されている。また、各搬送アーム41は図示しない昇降機構により、支持部材42に沿って昇降自在に構成されロードロック室5と処理チャンバ20との間でウェハWを搬送できる。なお、図1では、例えば3つの搬送アーム41が設けられた状態を描図しているが、搬送アーム41の設置数については任意に設定が可能である。また、ウェハ搬送機構40の構成についても本実施の形態の内容に限定されるものではなく、ロードロック室5と処理チャンバ20との間でウェハWを搬送できるものであれば、その構造や形式は任意に設定できる。
 制御装置4は、例えばコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、ウェハ処理システム1におけるウェハWの処理を制御するプログラムが格納されている。なお、前記プログラムは、例えばコンピュータ読み取り可能なハードディスク(HD)、フレキシブルディスク(FD)、コンパクトディスク(CD)、マグネットオプティカルデスク(MO)、メモリーカードなどのコンピュータに読み取り可能な記憶媒体に記録されていたものであって、その記憶媒体から制御装置4にインストールされたものであってもよい。
 本実施の形態にかかるウェハ処理システム1は以上のように構成されている。次に、このウェハ処理システム1で行われるウェハ処理について説明する。
 ウェハWの処理を行う際には、先ず、複数の未処理のウェハWがウェハ搬送アーム12によってカセットステーション2のカセットCから取り出され、ロードロック室5内に順次搬送される。その後、ロードロック室5内を排気して、内部を所定の圧力まで減圧する。
 次いで、ロードロック室5と、内部が予め減圧状態に維持されている真空搬送室21との間のゲートバルブ(図示せず)を開けて、ロードロック室5内のウェハWを、ウェハ搬送機構40の搬送アーム41により順次真空搬送室21を介して、予め減圧状態に維持された処理チャンバ20内に搬入する。
 処理チャンバ20内に搬送されたウェハWは、昇降ピン(図示せず)を介して順次載置台22上に載置される。
 処理チャンバ20内に全てのウェハWが搬入されると、ゲートバルブ27が閉じられ、制御装置4によりウェハWの処理が実行される。ウェハWの処理にあたっては、排気機構24により処理チャンバ20内が所定の圧力まで減圧される。この際、処理チャンバ20は円環状に形成されているので、速やかに排気が行われる。即ち、従来の円筒形の処理チャンバ211においては、図13の空間Aの部分についても排気を行う必要があった。そして、この空間Aは、処理チャンバ211に配置するウェハWの枚数の増加に伴い増加するが、ウェハWの増加と共に空間Aの直径が増加するため、ウェハW1枚当たりの処理に要する処理チャンバ211の容積(必要処理容積)も、空間Aの半径の二乗に比例して漸次的に増加してしまっていた。そのため、処理チャンバ211の容積は線形に増加するのではなく、例えば図5の線Pに示すように、漸次的に増加するものとなっていた。したがって、ウェハWの増加に伴い、処理チャンバ211内を排気する時間が増加してしまうという問題があった。なお、図5の横軸は、ウェハWの設置枚数、縦軸は処理チャンバ内の容積である。また、図5は、ウェハWの直径が300mmである場合についてのものである。
 その一方、本実施の形態の処理チャンバ20においては、処理チャンバ20内に設置するウェハWの枚数を増やす場合、処理チャンバ20の幅Sを一定に保った状態で、図2に示す処理チャンバ20の直径Rのみを拡大すれば足りる。換言すれば、処理チャンバ20内に設置するウェハWの枚数を増やしても、処理チャンバ20における必要処理容積である、例えば図6の斜線で囲まれた空間Bの容積は一定のままである。そのため、例えばウェハWの設置枚数を1枚増やした場合、処理チャンバ20の容積はウェハ1枚分の空間B分だけ増加させれば足り、従来の処理チャンバ211のように、空間Aの直径の変化に伴う処理チャンバ211の容積の増加は生じない。その結果、ウェハWの枚数の増加に対する処理チャンバ20の容積の増加は、図5の線Qに示すように線形なものとなる。したがって、処理チャンバ20内に設置するウェハWの枚数を増やしても、従来の処理チャンバ211と比較して、排気時間を大幅に短縮することができる。より具体的には、例えば図5に示すように、12枚のウェハWを配置可能な処理チャンバ20を構成した場合、従来の処理チャンバ211では、同一の容積で概ねウェハW8枚しか配置できず、ウェハ1枚当たりに要する排気時間が長くなってしまう。
 処理チャンバ20内が所定の圧力まで減圧されると、ガス供給機構30から所定の処理ガスが供給されて、ウェハWに対して成膜処理が行われる。この際、上述のように本実施の形態の処理チャンバ20においては、従来の処理チャンバ211よりも必要処理容積が小さいため、ウェハW1枚あたりを処理するために供給する処理ガスの流量も低減することができ、ウェハ処理システム1のランニングコストを低減することができる。処理チャンバ20内での成膜処理が終了すると、ゲートバルブ27が開けられる。次いで、ウェハ搬送機構40の搬送アーム41により、処理済のウェハWが処理チャンバ20から真空搬送室21に順次搬出される。その後ウェハWは、ロードロック室5を介してカセットステーション2のカセットC内に順次収容される。そして、全てのウェハWがカセットCに収容されると、カセットCがカセットステーション2の外部に搬送されると共に、未処理のウェハWを収容した新たなカセットCがカセットステーション2に搬送される。そして、この未処理のウェハWが順次処理チャンバ20に搬送され、この一連の処理が繰り返し行われる。
 以上の実施の形態によれば、処理チャンバ20が円環状に形成され、ウェハWが当該処理チャンバ20内で同心円状に配置されるので、従来の円筒形状の処理チャンバ211において、ウェハWの収容枚数の増加と共に漸次的に増加した空間Aが生じることがない。したがって、処理チャンバ20で処理するウェハの枚数を増加させても、処理チャンバの容積の増加を最小限に抑えることができる。
 また、従来のバッチ処理システム200においては、処理チャンバ211の設置数を増やした場合、搬送アーム215の増加に伴い処理チャンバ211の外部に設けられた真空搬送室212を拡大する必要が生じていた。そのため、処理チャンバ211の増加に伴うフットプリントの増加以外に、真空搬送室212を拡大することに伴うフットプリントの増加も生じてしまっていた。
 これに対して本実施の形態においては、円環状の処理チャンバ20の内側の空間に真空搬送室21を設けているので、ウェハ処理システム1のフットプリントの増加は、処理チャンバ20の増加分のみに抑えることができる。即ち、本実施の形態のウェハ処理システム1におけるウェハWの処理枚数に対するフットプリントの増加は、真空搬送室21の外部に設けられる搬送系である、カセットステーション2やロードロック室5の大きさに変化がなければ、概ね線形なものとなる。したがって、本実施の形態によれば、同一のフットプリント当たりのウェハWの処理枚数を従来と比較して向上させることができる。
 なお、従来のバッチ処理システム200と本実施の形態にかかるウェハ処理システム1において、カセットステーション2、201やロードロック室5、214の構成が概ね同じであるとすると、図7に示す本実施の形態のウェハ処理システムのフットプリントF(図7中の一点鎖線で示す)は、例えば図8に示すように、従来のバッチ処理システム200の、概ねカセットステーション201、ロードロック室214及び真空搬送室212を覆う程度の領域に収まったものとなる。本発明者によれば、本実施の形態にかかるウェハ処理システム1のフットプリントは、例えばウェハ処理システム1で12枚のウェハWをバッチ式に処理する場合、従来のバッチ処理システム200と比較してフットプリントが約3割削減できることが確認されている。
 また、本実施の形態にかかるウェハ処理システム1では、ロードロック室5を処理チャンバ20の上方または下方を跨いで設けているので、平面視においてロードロック室5と処理チャンバ20とが重なる部分についてもフットプリントを削減することができる。
 なお、以上の実施の形態では、真空搬送室21を円環状の処理チャンバ20の内側に配置したが、処理チャンバ20の必要処理容積を増加させないという観点からは、処理チャンバ20を円環状に形成すれば足りるため、真空搬送室21は必ずしも処理チャンバ20の内側に設ける必要はない。かかる場合、ゲートバルブ27は、処理チャンバ20の外側に設けるようにしてもよい。
 但し、真空搬送室21を処理チャンバ20の内側に設けた場合、ゲートバルブ27を処理チャンバ20の内側のどの位置に設けても搬送アーム41はゲートバルブ27にアクセス可能である。換言すれば、真空搬送室21を処理チャンバ20の内側に設けた場合、ゲートバルブ27の配置は自由に設定できる。したがって、真空搬送室21を処理チャンバ20の内側に設けることが好ましい。特に、ウェハ搬送機構40を真空搬送室21の中心部に配置することで、各搬送アーム41から処理チャンバ20への距離が等しくなり、搬送距離の違いによる搬送遅れが生じることがなくなるため、ウェハWの搬送時間の管理が容易となり、また、搬送アーム41の設置数を増やすほど単位時間あたりの搬送枚数を増やすことができる。
 以上の実施の形態では、1つの処理チャンバ20を有するウェハ処理システム1について説明したが、処理チャンバ20は複数設けてもよい。例えば処理チャンバ20を2つ設ける場合、図9に示すように、カセットステーション2を挟んで、換言すればカセットステーション2の両側に処理チャンバ20を配置してもよい。こうすることで、フットプリントの増加を最小限に抑えることができる。なお、図9では、搬送室11を2つの処理チャンバ20に対して共通で設け、カセットCを搬送室11側方に配置するようにカセットステーション2を構成しているが、その他の構成については上述の内容と同様である。
 その一方、従来のバッチ処理システム200においてカセットステーション2の両側に処理チャンバ211を配置すると、大幅なフットプリントの増加を招いてしまう。一例として、図10は、カセットステーション2の両側に処理チャンバ211を配置した従来のバッチ処理システム200であり、図10中に示す一点鎖線の領域は、図9に示すウェハ処理システム1のフットプリントFである。このように、本実施の形態にかかる処理チャンバ20を用いることで、ウェハWの処理枚数に伴うフットプリントの増加を最小限に抑えることができる。
 以上の実施の形態では、ロードロック室5を、処理チャンバ20の上方、または下方の一方のみに配置していたが、例えば図11に示すように、処理チャンバ20の上方及び下方に配置して、処理チャンバ20の上方及び下方の両方を跨ぐように2つのロードロック室5a、5bを設けてもよい。かかる場合、真空搬送室21は、ロードロック室5a、5bの双方とウェハWの搬送が行えるような高さに構成されることが好ましい。一般に、真空搬送室21とカセットCとの間の搬送速度は、ロードロック室5で律速される場合があるが、図11に示すように、ロードロック室5a、5bを上下方向に多段に配置することで、ロードロック室がボトルネックとなることを解消できる。
 また、図11では、ロードロック室5を多段に設ける場合について示したが、処理チャンバ20についても、例えば図12に示すように、上下方向に多段に配置するようにしてもよい。かかる場合についても、真空搬送室21は上下方向に設けられる処理チャンバ20の段数に応じた高さに構成されることが好ましい。このように、処理チャンバ20を上下方向に多段に設けることで、ウェハ処理システム1のフットプリントを増加させることなく、ウェハ処理システム1におけるウェハW処理のスループットを向上させることができる。
 以上の実施の形態では、複数のウェハWを処理チャンバ20内で一括して処理するバッチ式の処理を行う場合を例として説明したが、本実施の形態にかかる処理チャンバの適用は、バッチ処理のみに限定されない。例えば、図6に示す空間B毎に処理チャンバ20内が区切られ、各空間B毎に個別にウェハWの処理が行われるような枚葉式のウェハ処理システムにおいても適用が可能である。また、2つ以上の空間Bをつなげた空間で2枚以上のウェハWが同時に処理されるような場合、換言すれば、例えば隣接する空間Bを複数つなげて一つの空間として区切り、当該区切られた空間で複数枚のウェハWに同時に処理が行われる方式のウェハ処理システムにおいても適用が可能である。
 以上、添付図面を参照しながら本発明の好適な実施の形態について説明したが、本発明はかかる例に限定されない。当業者であれば、請求の範囲に記載された思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。本発明はこの例に限らず種々の態様を採りうるものである。また、本発明は、処理装置で行われる処理が成膜処理以外の、例えばエッチング処理などの他の処理にも適用できる。
  1  ウェハ処理システム
  2  カセットステーション
  3  処理ステーション
  4  制御装置
  5  ロードロック室
  10 カセット載置部
  11 搬送室
  12 ウェハ搬送アーム
  20 処理チャンバ
  21 真空搬送室
  22 載置台
  23 駆動機構
  24 排気機構
  27 ゲートバルブ
  30 ガス供給機構
  40 ウェハ搬送機構
  C  カセット

Claims (10)

  1. 複数の基板に対して処理を施す基板処理システムであって、
    複数枚の基板を収容して所定の処理を施す円環状の処理チャンバと、
    複数枚の基板を収容するカセットを載置するカセット載置部と、
    前記処理チャンバと前記カセット載置部との間で基板を搬送する基板搬送機構と、を有し、
    前記処理チャンバ内には、前記複数の基板が平面視において同心円状に配置されることを特徴とする、基板処理システム。
  2. 請求項1に記載の基板処理システムにおいて、
    前記基板搬送機構は、前記円環状の処理チャンバの中心部の空間に配置され、
    処理チャンバにおける前記基板搬送機構と対向する面にはゲートバルブが設けられている。
  3. 請求項2に記載の基板処理システムにおいて、
    前記円環状の処理チャンバの中心部の空間には、当該処理チャンバに隣接して真空搬送室が設けられ、
    前記基板搬送機構は、前記真空搬送室内に配置されている。
  4. 請求項3に記載の基板処理システムにおいて、
    前記真空搬送室と前記カセット載置部は、ロードロック室を介して接続されている。
  5. 請求項4に記載の基板処理システムにおいて、
    前記ロードロック室は、前記処理チャンバの上方、前記処理チャンバの下方、又は前記処理チャンバの上方及び下方の両方に配置されている。
  6. 請求項1に記載の基板処理システムにおいて、
    前記処理チャンバ内には、前記複数の基板を載置する円環状の載置台と、前記載置台を前記処理チャンバ内で回転させる駆動機構が設けられている。
  7. 請求項2に記載の基板処理システムにおいて、
    前記処理チャンバ内には、前記複数の基板を載置する円環状の載置台と、前記載置台を前記処理チャンバ内で回転させる駆動機構が設けられている。
  8. 請求項3に記載の基板処理システムにおいて、
    前記処理チャンバ内には、前記複数の基板を載置する円環状の載置台と、前記載置台を前記処理チャンバ内で回転させる駆動機構が設けられている。
  9. 請求項4に記載の基板処理システムにおいて、
    前記処理チャンバ内には、前記複数の基板を載置する円環状の載置台と、前記載置台を前記処理チャンバ内で回転させる駆動機構が設けられている。
  10. 請求項5に記載の基板処理システムにおいて、
    前記処理チャンバ内には、前記複数の基板を載置する円環状の載置台と、前記載置台を前記処理チャンバ内で回転させる駆動機構が設けられている。
     
PCT/JP2015/055818 2014-03-31 2015-02-27 基板処理システム WO2015151676A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020167026835A KR101866112B1 (ko) 2014-03-31 2015-02-27 기판 처리 시스템
US15/128,804 US10170347B2 (en) 2014-03-31 2015-02-27 Substrate processing system
CN201580017535.2A CN106165082B (zh) 2014-03-31 2015-02-27 基板处理系统

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014073450A JP6271322B2 (ja) 2014-03-31 2014-03-31 基板処理システム
JP2014-073450 2014-03-31

Publications (1)

Publication Number Publication Date
WO2015151676A1 true WO2015151676A1 (ja) 2015-10-08

Family

ID=54240009

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/055818 WO2015151676A1 (ja) 2014-03-31 2015-02-27 基板処理システム

Country Status (5)

Country Link
US (1) US10170347B2 (ja)
JP (1) JP6271322B2 (ja)
KR (1) KR101866112B1 (ja)
CN (1) CN106165082B (ja)
WO (1) WO2015151676A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105803424A (zh) * 2016-03-24 2016-07-27 广东省中科宏微半导体设备有限公司 薄膜生长腔室和薄膜生长设备

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
KR101970780B1 (ko) * 2017-04-13 2019-04-22 삼성디스플레이 주식회사 기판 처리 시스템 및 기판 반송 방법
JP6896682B2 (ja) * 2018-09-04 2021-06-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10998209B2 (en) * 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
CN113314448B (zh) * 2021-05-13 2022-07-22 长江存储科技有限责任公司 半导体传输设备及其控制方法
KR102622159B1 (ko) * 2021-07-14 2024-01-09 한국생산기술연구원 원자층 복합 증착 챔버

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01142081A (ja) * 1987-10-17 1989-06-02 Leybold Ag サブストレートを被覆するための装置
JPH05179428A (ja) * 1991-05-23 1993-07-20 Matsushita Electric Ind Co Ltd 薄膜形成装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5838121A (en) * 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
JP2000150836A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
TW418429B (en) * 1998-11-09 2001-01-11 Tokyo Electron Ltd Processing apparatus
US6244811B1 (en) * 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
WO2001049894A1 (en) * 2000-01-03 2001-07-12 Skion Corporation Multi wafer introduction/single wafer conveyor mode processing system and method of processing wafers using the same
JP2007242648A (ja) * 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
JP5261085B2 (ja) * 2008-09-05 2013-08-14 東京エレクトロン株式会社 基板載置機構、基板処理装置、基板載置機構の制御方法及び記憶媒体
KR20100077696A (ko) * 2008-12-29 2010-07-08 주식회사 케이씨텍 프로세스 모듈 및 이를 구비하는 원자층 증착장치
JP5181100B2 (ja) 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2010287745A (ja) * 2009-06-11 2010-12-24 Tokyo Electron Ltd 搬送モジュール
EP2659507B1 (en) * 2010-12-29 2022-09-14 Evatec AG Vacuum treatment apparatus
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01142081A (ja) * 1987-10-17 1989-06-02 Leybold Ag サブストレートを被覆するための装置
JPH05179428A (ja) * 1991-05-23 1993-07-20 Matsushita Electric Ind Co Ltd 薄膜形成装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105803424A (zh) * 2016-03-24 2016-07-27 广东省中科宏微半导体设备有限公司 薄膜生长腔室和薄膜生长设备

Also Published As

Publication number Publication date
KR101866112B1 (ko) 2018-06-08
CN106165082A (zh) 2016-11-23
US20170110349A1 (en) 2017-04-20
KR20160127797A (ko) 2016-11-04
JP6271322B2 (ja) 2018-01-31
JP2015198097A (ja) 2015-11-09
US10170347B2 (en) 2019-01-01
CN106165082B (zh) 2019-03-22

Similar Documents

Publication Publication Date Title
JP6271322B2 (ja) 基板処理システム
US11282721B2 (en) Vertical heat treatment apparatus
KR102502793B1 (ko) 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴
JP6398761B2 (ja) 基板処理装置
JP5565242B2 (ja) 縦型熱処理装置
JP5589878B2 (ja) 成膜装置
JP5093078B2 (ja) 成膜装置
JP2009062604A (ja) 真空処理システムおよび基板搬送方法
KR20090094054A (ko) 기판 처리 장치, 기판 처리 방법 및, 컴퓨터 판독 가능 기억 매체
US20140126980A1 (en) Substrate processing apparatus
KR20220094158A (ko) 반송 장치
JP4417734B2 (ja) インライン式真空処理装置
JP4472005B2 (ja) 真空処理装置及び真空処理方法
KR102366478B1 (ko) 릴리프 밸브 및 기판 처리 장치
JP2010251503A (ja) 真空装置及びトレイのセット
US20230133714A1 (en) Apparatus for treating substrate and method for treating substrate
KR101069537B1 (ko) 기판 제조 장치
JP5895974B2 (ja) 縦型熱処理装置
KR20050015316A (ko) 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
JP2017210643A (ja) 真空排気方法
KR20200002069A (ko) 멀티 카세트 로드락 챔버
JP2014060275A (ja) 加熱装置、基板処理装置及び半導体製造方法
JP2006077279A (ja) 真空処理装置
JP2014062331A (ja) 半導体素子の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15773382

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 15128804

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20167026835

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15773382

Country of ref document: EP

Kind code of ref document: A1