JP2012204698A - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP2012204698A
JP2012204698A JP2011069016A JP2011069016A JP2012204698A JP 2012204698 A JP2012204698 A JP 2012204698A JP 2011069016 A JP2011069016 A JP 2011069016A JP 2011069016 A JP2011069016 A JP 2011069016A JP 2012204698 A JP2012204698 A JP 2012204698A
Authority
JP
Japan
Prior art keywords
substrate
transfer
chamber
atmospheric
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011069016A
Other languages
English (en)
Other versions
JP5883232B2 (ja
Inventor
Norihisa Kobayashi
仙尚 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2011069016A priority Critical patent/JP5883232B2/ja
Priority to KR1020137024382A priority patent/KR101814856B1/ko
Priority to US14/004,953 priority patent/US9147591B2/en
Priority to PCT/JP2012/057578 priority patent/WO2012133218A1/ja
Priority to TW101110399A priority patent/TWI552247B/zh
Publication of JP2012204698A publication Critical patent/JP2012204698A/ja
Application granted granted Critical
Publication of JP5883232B2 publication Critical patent/JP5883232B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Abstract

【課題】占有スペースの削減、装置全体としての動作不能時間の短縮を可能にし、基板処理のスループットを向上させることができる基板処理装置を提供する。
【解決手段】基板を減圧雰囲気下で処理する複数の処理室が周囲に設けられており、該処理室との間で基板を搬入出する搬送機構を内部に有する複数の真空搬送室と、各真空搬送室に夫々設けられたロードロック室と、外部から供給された基板を一の前記ロードロック室へ搬送する第1大気搬送機構と、該第1大気搬送機構から基板を受け取り、受け取った該基板を他の前記ロードロック室へ搬送する第2大気搬送機構とを備え、前記第2大気搬送機構を前記一のロードロックが設けられている真空搬送室の上側又は下側に配し、前記複数の真空搬送室を、前記第2大気搬送機構による基板の搬送方向に沿って直列的に配する。
【選択図】図1

Description

本発明は、基板を減圧雰囲気下で処理する複数の処理室を備えたマルチチャンバ方式の基板処理装置に関する。
半導体ウェハに対して、成膜処理、エッチング処理、酸化拡散処理、アニール処理、改質処理等の基板処理を行う複数の真空処理装置を真空搬送室の周囲に設け、該真空搬送室を介して各真空処理室間でウェハを搬送し、所要の基板処理を連続的に行うように構成したマルチチャンバ方式の基板処理装置が実用化されている。このように、複数の真空処理装置をクラスタ化することによって、基板処理のスループットを向上させることができる。
特許文献1には、クラスタ化した2つのマルチチャンバ装置を、ゲートバルブを介して直列的に連結し、各クラスタの真空搬送室間でウェハの受け渡しを行う受け渡し室を設けたタンデム方式の基板処理装置が開示されている。第1のクラスタにはロードロック室が設けられており、ウェハはロードロック室を介して第1のクラスタの真空搬送室に搬入される。該真空搬送室に搬入されたウェハは、第1のクラスタを構成する各真空処理装置で順次基板処理が施され、第1のクラスタにおける処理が終了すると、基板は受け渡し室を介して第2のクラスタへ搬入される。第2のクラスタにおいても第1のクラスタと同様、順次基板処理が施される。基板処理が終了すると、処理済みの基板は、往路と同じ経路を逆順に辿って搬出される。
特許文献2には、減圧雰囲気下で基板の受け渡しが必要な真空処理室のみを真空搬送室に連結してクラスタ化し、大気雰囲気下で基板の受け渡しが可能な真空処理室は大気搬送室の周囲にそれぞれロードロック室を介して連結するように構成した基板処理装置が開示されている。また、大気搬送機構の搬送方向に沿って、2つのクラスタを並設し、外部から供給されたウェハを各クラスタのロードロック室へ搬送するように構成した基板処理装置が開示されている。
特開2004−349503号公報 特開2007−149973号公報
しかしながら、特許文献1に係る基板処理装置においては、ロードロック室及び各クラスタ間でのウェハの受け渡しによって、ウェハ搬送のスループットが低下するという問題があった。真空搬送機構が律速になっている場合、クラスタを増設しても基板処理のスループットを向上させることができない。
また、特許文献1に係る基板処理装置においては、ロードロック室が設けられている前段の真空搬送室が故障した場合、後段のクラスタが正常に動作可能であるにも拘わらず、基板処理を継続できなくなるという問題があった。
また、特許文献2に係る基板処理装置は、ロードポートを通じて供給されたウェハを各クラスタのロードロック室へ搬送する大気搬送機構の搬送方向に沿って、2つのクラスタを並設する構成であるため、大気搬送機構が搬送方向に長大化するという問題があった。大気搬送機構が長大化すると、大気搬送機構におけるスループットが低下するおそれがある。
また、特許文献2に係る基板処理装置においては、大気搬送機構は、ロードロック室を介して、各クラスタと直接的にウェハの受け渡しを行っているため、各ロードロック室で同時にウェハの受け渡しが求められた場合、個別にウェハの受け渡しを行うことができず、スループットが低下するおそれがあった。
本発明は斯かる事情に鑑みてなされたものであり、占有スペースの削減、装置全体としての動作不能時間の短縮を可能にし、基板処理のスループットを向上させることができる基板処理装置を提供することを目的とする。
本発明に係る基板処理装置は、基板を減圧雰囲気下で処理する複数の処理室が周囲に設けられており、該処理室との間で基板を搬入出する搬送機構を内部に有する複数の真空搬送室と、各真空搬送室に夫々設けられており、室内の雰囲気を大気雰囲気及び減圧雰囲気の間で切り替えることによって、該真空搬送室に対する基板の受け渡しを行うロードロック室と、外部から供給された基板を一の前記ロードロック室へ搬送する第1大気搬送機構と、該第1大気搬送機構から基板を受け取り、受け取った該基板を他の前記ロードロック室へ搬送する第2大気搬送機構とを備え、前記第2大気搬送機構は、前記一のロードロックが設けられている真空搬送室の上側又は下側に配されており、前記複数の真空搬送室は、前記第2大気搬送機構による基板の搬送方向に沿って直列的に配されていることを特徴とする。
本発明にあっては、外部から第1大気搬送機構に供給された基板は、一の前記ロードロック室へ搬送され、該ロードロック室を介して真空搬送室へ搬入される。該真空搬送室に搬入された基板は、前記真空搬送室の周囲に設けられた処理室で各種処理が施され、往路と同じルートを経て、外部へ搬出される。一方、第1大気搬送機構は基板を第2大気搬送機構へ引き渡すことができ、第2大気搬送機構は、第1大気搬送機構から基板を受け取り、受け取った該基板を他の前記ロードロック室へ搬送する。搬送された基板は、前記他のロードロック室を介して真空搬送室へ搬入される。該真空搬送室に搬入された基板は、前記真空搬送室の周囲に設けられた処理室で各種処理が施され、往路と同じルートを経て、外部へ搬出される。
従って、真空搬送室間での基板の受け渡しによって、基板処理のスループットが低下することは無い。
また、第1大気搬送機構は、他のロードロック室との基板の受け渡しを、第2大気搬送機構を介して行っているため、第2大気搬送機構がいわばバッファの役目を果たす。例えば、複数のロードロック室で基板の受け渡しが必要な状態になっても、第2大気搬送機構が第1大気搬送機構から事前に基板を受け取っていれば、第1大気搬送機構は一のロードロック室との間で基板の搬入出を行い、他方で、第2大気搬送機構は他のロードロック室との間で基板の搬入出を行うことができ、装置全体としてスループットの低下が抑えられる。
更に、各真空搬送室は直列的に連結していないため独立性が高く、一の真空搬送室の故障が他の真空搬送室の動作を阻害することは無い。
更にまた、第2大気搬送機構は、処理室の下側又は上側に配されているため、基板処理装置の占有スペースが削減される。
更にまた、複数の真空搬送室は前記第2大気搬送機構による基板の搬送方向に沿って直列的に配されているため、第1大気搬送機構の搬送方向に沿って真空搬送室を並設する場合に比べて、基板処理装置の占有スペースが削減される。
本発明に係る基板処理装置は、前記他のロードロック室は、前記第2大気搬送機構との間で基板を搬入出するための第1開口部と、前記真空搬送室との間で基板を搬入出するための第2開口部とを有し、更に、基板を昇降させる昇降機構を有し、前記第1開口部又は第2開口部を介して基板を搬入出する搬送ロボットを備えることを特徴とする。
本発明にあっては、複数の真空搬送室は、鉛直方向略同一の高さに設けられているため、各真空搬送室を略同一の設備環境で動作させることが可能である。
本発明に係る基板処理装置は、前記複数の真空搬送室は、鉛直方向略同一の高さに設けられていることを特徴とする。
本発明にあっては、第2大気搬送機構によるウェハの搬送経路と、真空搬送室におけるウェハの搬送経路との鉛直方向高さが異なる場合であっても、搬送ロボットが基板を昇降させ、前記第2大気搬送機構及び真空搬送室間で基板を搬送させることが可能である。
本発明に係る基板処理装置は、前記第2大気搬送機構を収容した大気搬送室と、該大気搬送室へ清浄気体を送風する送風部とを備えることを特徴とする。
本発明にあっては、第2大気搬送機構を収容した大気搬送室へ清浄気体を送風させることが可能である。
本発明に係る基板処理装置は、前記第2大気搬送機構は、平面視で重なり合うように複数設けられていることを特徴とする。
本発明にあっては、複数の第2大気搬送機構は平面視で重なり合うように廃されているため、第2大気搬送機構を水平方向に並置する場合に比べて、基板処理装置の占有スペースが削減される。
本発明によれば、占有スペースの削減、装置全体としての動作不能時間の短縮を可能にし、基板処理のスループットを向上させることができる。
基板処理装置の一構成例を模式的に示した平断面図である。 基板処理装置の一構成例を模式的に示した側断面図である。 第2大気搬送機構及び第2ロードロック装置の動作を模式的に示した説明図である。 変形例1に係る基板処理装置の一構成例を模式的に示した側断面図である。 変形例2に係る基板処理装置の一構成例を模式的に示した側断面図である。 変形例3に係る基板処理装置の一構成例を模式的に示した側断面図である。
以下、本発明をその実施の形態を示す図面に基づいて詳述する。
図1は、基板処理装置の一構成例を模式的に示した平断面図、図2は、基板処理装置の一構成例を模式的に示した側断面図、図3は、第2大気搬送機構40及び第2ロードロック装置5の動作を模式的に示した説明図である。本発明の実施の形態に係る基板処理装置は、第1大気搬送装置1と、第1ロードロック装置2と、第1クラスタ3と、第2大気搬送装置4と、第2ロードロック装置5と、第2クラスタ6とを備える。
第1大気搬送装置1は、横長略直方体の第1大気搬送室12を有する。第1大気搬送室12の長辺側の一側壁には、外部を走行する図示しない搬送車との間でウェハWの受け渡しを行うロードポート11が設けられている。図示しない搬送車は、未処理の複数のウェハWを収容したカセットCを基板処理装置へ搬送する。ロードポート11は、ウェハWを収容したカセットCが載置される載置台と、カセットCを第1大気搬送室12に接続し、該カセットCを開閉する機構とを有する。前記一側壁に対向する第1大気搬送室12の側壁には、第1ロードロック装置2を介して第1クラスタ3が接続され、また第2大気搬送装置4及び第2ロードロック装置5を介して第2クラスタ6が接続されている。第1大気搬送室12の底部には、ロードポート11に載置されたカセットCからウェハWを取り出し、取り出されたウェハWを第1ロードロック装置2及び第2大気搬送装置4へ搬送する第1大気搬送機構13が設けられている。第1大気搬送機構13は、第1大気搬送室12の底部に、その長手方向に沿って敷設された案内レール13aと、該案内レール13aに沿って移動可能な大気ロボット13bとで構成されている。大気ロボット13bは、ウェハWを保持して搬送するためのフォークが設けられた複数の伸縮可能な多関節アームを有し、多関節アームを昇降及び旋回移動させる図示しない駆動機構が設けられている。大気ロボット13bは、例えばリニアモータ機構によって案内レール13aに沿って移動し、多関節アームを伸縮、昇降、旋回移動させることによって、ロードポート11に載置されたカセットCからウェハWを取り出し、取り出したウェハWを第1ロードロック装置2及び第2大気搬送装置4へ搬送する。また、第1及び第2クラスタ3、6で処理され、搬送されたウェハWを、第1ロードロック装置2及び第2大気搬送装置4から受け取り、カセットCに戻す処理を行う。
なお、第1大気搬送室12の適宜箇所には、該第1大気搬送室12へ清浄気体を送風する第1送風部14が設けられている。
第1ロードロック装置2は、真空ポンプに接続された第1ロードロック室21を有し、真空引き又は大気開放することによって、室内の雰囲気を大気雰囲気及び減圧雰囲気の間で切り替えることができる。第1ロードロック室21の第1大気搬送装置1側及び第1クラスタ3側にはそれぞれ開閉可能なゲートG1及びゲートG2が設けられている。第1ロードロック室21の底部には、ゲートG1,G2を通じて、第1大気搬送室12と、第1クラスタ3との間でウェハWの搬入出を行う第1搬送ロボット22が設けられている。第1搬送ロボット22は、ウェハWを保持するフォークが設けられた多関節アームを有する真空ロボットである。
第1大気搬送室12から第1クラスタ3へウェハWを搬送する場合、第1ロードロック室21を大気雰囲気にしてゲートG1を開放し、第1搬送ロボット22は、ゲートG1を介して第1大気搬送室12からウェハWを第1ロードロック室21に搬入させ、ゲートG1を閉鎖する。そして、第1ロードロック室21を真空引きして減圧雰囲気とし、ゲートG2を開放する。第1搬送ロボット22は、ゲートG2を介してウェハWを第1クラスタ3の後述する第1真空搬送室31へ搬送する。第1クラスタ3からウェハWを第1大気搬送室12へ搬送する場合は、逆の手順で搬送が行われる。
なお、ここでは、第1搬送ロボット22を用いてウェハWを受け渡しする例を説明したが、第1搬送ロボット22に代えて、上下方向に昇降するステージを備えるように構成しても良い。第1大気搬送機構13及び第1真空搬送機構31aは、該ステージにウェハWを載置することにより、ウェハWを受け渡し、搬送することができる。
第1クラスタ3は、横長略直方体の第1真空搬送室31を有する。第1真空搬送室31は、その長手方向が第1大気搬送機構13の搬送方向に対して略直交するように配置されている。第1真空搬送室31の短辺側の側壁にはゲートG2が接続されている。第1真空搬送室31の長辺側の両側壁には開閉可能なゲートバルブを介して複数の第1真空処理室(PM:Process Module)32a,32b,32c,32dが接続されている。第1真空処理室32a,32b,32c,32dは、減圧雰囲気下で半導体ウェハWに対して所定の処理、例えば成膜処理、エッチング処理、酸化拡散処理、アニール処理、改質処理等の基板処理を行う装置である。第1真空搬送室31の底部には、ゲートG2を介してウェハWを搬入させ、レシピに従って各真空処理室へウェハWを搬送する第1真空搬送機構31aが設けられている。第1真空搬送機構31aは、ウェハWを保持するフォークが設けられた2本の多関節アームを有する真空ロボットである。各真空処理室で基板処理を終えた場合、第1真空搬送機構は、処理済みのウェハWをゲートG2を介して第1ロードロック室21へ搬出する。
第2大気搬送装置4は、第1真空搬送室31の下側に配された横長略直方体の第2大気搬送室41を有する。第2大気搬送室41は、その長手方向が第1大気搬送装置1の搬送方向に対して略直交するように配置されている。第2大気搬送室41の底部には、第1大気搬送機構13から基板を受け取り、受け取った該基板を第2ロードロック装置5へ搬送する第2大気搬送機構40が設けられている。第2大気搬送機構40は、第2大気搬送室41の底部にその長手方向に沿って敷設された案内レール42を有する。案内レール42には、第1大気搬送装置1から搬送されたウェハWを保持し、第2ロードロック装置5へ搬送する略X字状のウェハ保持部材43が案内レール42に沿って移動可能に設けられている。ウェハ保持部材43は、例えばリニアモータによって駆動され、案内レール42に沿って移動する。また、第2大気搬送室41の適宜箇所には、該第2大気搬送室41へ清浄気体を送風する第2送風部44が設けられている。
ウェハWを第1大気搬送装置1から第2ロードロック装置5へ移動させる場合、第2大気搬送機構40は、ウェハ保持部材43を第1大気搬送装置1側へ移動させ、図3Aに示すように、第1大気搬送機構13は、ウェハWをウェハ保持部材43に保持させる。ウェハWを保持したウェハ保持部材43は、図3Bに示すように、案内レール42に沿って、第2ロードロック装置5側へ移動する。ウェハ保持部材43に保持されているウェハWは、後述の第2ロードロック装置5に設けられた第2搬送ロボット52によって受け取られる。
ウェハWを、第2ロードロック装置5から第1大気搬送装置1へ移動させる場合、逆の手順でウェハWを搬送させれば良い。
第2ロードロック装置5は、第1ロードロック装置2と同様、真空ポンプに接続された縦長略直方体の第2ロードロック室51を有し、真空引き又は大気開放することによって、室内の雰囲気を大気雰囲気及び減圧雰囲気の間で切り替えることができる。第2ロードロック室51の第2大気搬送装置4側の下部及び第2クラスタ6側の上部にはそれぞれ開閉可能なゲートG3及びゲートG4が設けられている。第2ロードロック室51の底部には、ゲートG3及びゲートG4を通じて、第2大気搬送室41と、第2クラスタ6との間でウェハWの搬入出を行う第2搬送ロボット52が設けられている。第2搬送ロボット52は、ウェハWを保持するフォークが設けられた多関節アームを有する真空ロボットである。また、第2搬送ロボット52は、多関節アームを昇降及び旋回移動させる図示しない駆動機構を有する。
第2大気搬送室41から第2クラスタ6へウェハWを搬送する場合、図3Cに示すように、第2ロードロック室51を大気雰囲気にしてゲートG3を開放し、第2搬送ロボット52は、ゲートG3を介して第2大気搬送室41からウェハWを第2ロードロック室51に搬入させ、ゲートG3を閉鎖する。そして、第2ロードロック室51を真空引きして減圧雰囲気とする。次いで、第2搬送ロボット52は、図3Dに示すように、ウェハWを上昇させ、ゲートG4を開放する。第2搬送ロボット52は、図3Eに示すように、ゲートG4を介してウェハWを第2クラスタ6の後述する第2真空搬送室61へ搬送する。第2クラスタ6からウェハWを第2大気搬送室41へ搬送する場合は、逆の手順で搬送が行われる。
なお、ここでは、第2搬送ロボット52を用いてウェハWを受け渡しする例を説明したが、第2搬送ロボット52に代えて、上下方向に昇降するステージを備えるように構成しても良い。第2大気搬送機構40及び第2真空搬送機構61aは、該ステージにウェハWを載置することにより、ウェハWを受け渡し、搬送することができる。
第2クラスタ6は、第1クラスタ3と同様、横長略直方体の第2真空搬送室61を有する。第2真空搬送室61は、その長手方向が第1真空搬送室31の長手方向と略一致するように、直列的に配置されている。つまり、第1真空搬送室31と、第2真空搬送室61とは、第2大気搬送機構40によるウェハWの搬送方向に沿って直列的に配されている。また、第1真空搬送室31及び第2真空搬送室61は、鉛直方向の高さが略同一になるように設けられている。第2真空搬送室61の短辺側の側壁にはゲートG4が接続されている。第2真空搬送室61の長辺側の両側壁には開閉可能なゲートバルブを介して複数の第2真空処理室62a,62b,62c,62dが接続されている。第2真空処理室62a,62b,62c,62dは、減圧雰囲気下で半導体ウェハWに対して所定の基板処理を行う装置である。第2真空処理室62a,62b,62c,62dの底部には、ゲートG4を介してウェハWを搬入させ、レシピに従って各第2真空処理室62a,62b,62c,62dへウェハWを搬送する第2真空搬送機構61aが設けられている。第2真空搬送機構61aは、ウェハWを保持するフォークが設けられた2本の多関節アームを有する真空ロボットである。各第2真空処理室62a,62b,62c,62dで基板処理を終えた場合、第2真空搬送機構61aは、処理済みのウェハWをゲートG4を介して第2ロードロック室51へ搬出する。
このように構成された基板処理装置によれば、第1クラスタ3と、第2クラスタ6とが、第2大気搬送装置4の搬送方向に沿って直列的に配されており、第2大気搬送装置4が第1真空搬送室31の下側に配されているため、第2大気搬送装置4の搬送方向に各クラスタを並設する場合に比べて、占有スペースを削減することができる。
また、第2大気搬送装置4の働きによって、基板処理のスループットを向上させることができる。
第2大気搬送装置4はバッファ的機能を有している。例えば、ウェハWの搬送処理が空いている間に、ウェハWを第1大気搬送装置1から第2大気搬送装置4に引き渡し、ウェハWを第2ロードロック装置5前へ搬送させておくことができる。第1大気搬送装置1は、第1クラスタ3との間でウェハWの搬入出が必要になった場合、該搬入出の処理に専念することができ、第2クラスタ6側におけるウェハWの搬入出を第2大気搬送機構40に任せることができる。第2大気搬送装置4は、第2クラスタ6との間で未処理のウェハWと、処理済みのウェハWとを交換し、処理済みのウェハWを適当なタイミングで第1大気搬送装置1へ引き渡すことができる。
更に、第1及び第2クラスタ3,6は互いに連結されておらず、ハード的に独立しているため、第1及び第2クラスタ3,6のいずれかが故障しても他方の第1及び第2クラスタ3,6で基板処理を継続することができるため、装置全体としての動作不能時間の短縮することができる。また、第1及び第2クラスタ3,6を個別に停止させ、保守点検を行うことができる。
更に第1及び第2送風部14,44によって、第1大気搬送室12及び第2大気搬送室41内のクリーン環境を保持することができる。
なお、上述の例では、カセットCから1枚ずつウェハWを取り出す枚葉処理を例示したが、第1大気搬送機構13、第2大気搬送機構40、第1真空搬送機構31a、第2真空搬送機構61a、第1及び第2ロードロック装置2,5内の保持部、それぞれが複数枚のウェハWを保持できるように構成しても良い。
また、第1大気搬送室12及び第2大気搬送室41は、連通する略直方体形状の部材であるとして説明したが、少なくとも第1大気搬送機構13及び第2大気搬送機構40をそれぞれ収納する空間を形成することができる構成部材であれば十分であり、各搬送機構を取り囲む壁部材は必須の構成では無い。
(変形例1)
変形例1に係る基板処理装置は、第2大気搬送装置104を第1真空搬送室31の上側に設けている点が実施の形態とは異なる。以下では主に上記相異点について説明する。
図4は、変形例1に係る基板処理装置の一構成例を模式的に示した側断面図である。変形例1に係る基板処理装置は、実施の形態と同様、第1大気搬送装置1と、第1ロードロック装置2と、第1クラスタ3と、第2大気搬送装置104と、第2ロードロック装置105と、第2クラスタ6とを備える。
第2大気搬送装置104は、第1真空搬送室31の上側に配された第2大気搬送室141を有する。第2大気搬送室141の内部には、実施の形態と同様、案内レール142及びウェハW保持部を有する第2大気搬送機構140と、第2送風部144とが設けられている。
第2ロードロック装置105は、実施の形態と同様、第2ロードロック室151、及び第2搬送ロボット152を有し、第2ロードロック室151の第2大気搬送装置104側の上部及び第2クラスタ6側の下部にはそれぞれ開閉可能なゲートG3及びゲートG4が設けられている。
変形例1にあっては、実施の形態と同様、占有スペースの削減、装置全体としての動作不能時間の短縮を可能にし、基板処理のスループットを向上させることができる。
(変形例2)
変形例2に係る基板処理装置は、複数の大気搬送装置、即ち第2大気搬送装置4及び第3大気搬送装置204を備えている点が実施の形態とは異なる。以下では主に上記相異点について説明する。
図5は、変形例2に係る基板処理装置の一構成例を模式的に示した側断面図である。変形例2に係る基板処理装置は、第1大気搬送装置1と、第1ロードロック装置2と、第1クラスタ3と、第2大気搬送装置4と、第2ロードロック装置5と、第2クラスタ6に加えて、第3大気搬送装置204と、第3ロードロック装置205と、第3クラスタ207とを備える。
第3大気搬送装置204は、第2大気搬送装置4と同様の構成であり、第2大気搬送室41の更に下側に配された第3大気搬送室241を有する。第3大気搬送室241の内部には、第3案内レール242及びウェハW保持部243を有する第3大気搬送機構240と、第3送風部244とが設けられている。
第3ロードロック装置205は、基本的には、第2ロードロック装置5と同様の構成であり、第3ロードロック室251、及び第3搬送ロボット252を有し、第3ロードロック室251の第3大気搬送装置204側の下部及び第3クラスタ207側の上部にはそれぞれ開閉可能なゲートG5及びゲートG6が設けられている。
第3クラスタ207は、第1クラスタ3と同様、横長略直方体の第3真空搬送室271を有する。第3真空搬送室271は、その長手方向が第1真空搬送室31及び第2真空搬送室61の長手方向と略一致するように、直列的に配置されている。つまり、第1真空搬送室31と、第2真空搬送室61と、第3真空処理装置271とは、第3大気搬送機構240によるウェハWの搬送方向に沿って直列的に配されている。また、第1真空搬送室31乃至第3真空搬送室271は、鉛直方向の高さが略同一になるように設けられている。第3真空搬送室271の短辺側の側壁にはゲートG6が接続されている。第3真空搬送室271の長辺側の両側壁には開閉可能なゲートバルブを介して複数の第3真空処理室272a,272bが接続されている。
変形例2にあっては、3つの第1乃至第3クラスタ3,6,207を直列接続した場合においても、占有スペースの増大を抑えながら、装置全体としての動作不能時間の短縮を可能にし、基板処理のスループットを向上させることができる。
また、第2大気搬送機構40及び第3大気搬送機構240は平面視で重なり合うように配されているため、各大気搬送機構を水平方向に並置する場合に比べて、基板処理装置の占有スペースを削減することができる。
(変形例3)
図6は、変形例3に係る基板処理装置の一構成例を模式的に示した側断面図である。変形例3に係る基板処理装置は、第1真空搬送室331及び第2ロードロック装置5の構成が実施の形態とは異なる。以下では主に上記相異点について説明する。変形例3に係る基板処理装置は、第1真空搬送室331と、第2ロードロック室51とが連通しており、第1真空搬送室331と、第2ロードロック室51との間にゲートバルブG7が設けられている。第1真空搬送機構31a及び第2搬送ロボット52は、第1真空搬送室331及び第2ロードロック室51とを連通させる開口を通じて、ウェハWを搬送することができるように構成されている。
変形例3にあっては、第1クラスタ3で処理したウェハWを、第1真空搬送室331から第2ロードロック室51を介して、第2真空搬送室61へ搬送し、後段の第2クラスタ6で処理することができる。
また、第1ロードロック装置2に一のウェハWが滞在していて、他のウェハWを搬入できない場合、又は第1ロードロック装置2をメンテナンスしている場合、ウェハWを、第1大気搬送室12、第2大気搬送室41、第2ロードロック室51を経由させて、第1真空搬送室331へ搬入し、第1クラスタ3でウェハWを処理することができる。搬出する際も、同様にして、第1真空搬送室331から、第2ロードロック室51、第2大気搬送室41、第1大気搬送室12を通じて、外部へ搬出させることができる。
今回開示された実施の形態はすべての点で例示であって、制限的なものでは無いと考えられるべきである。本発明の範囲は、上記した意味では無く、特許請求の範囲によって示され、特許請求の範囲と均等の意味及び範囲内でのすべての変更が含まれることが意図される。
1 第1大気搬送装置
2 第1ロードロック装置
3 第1クラスタ
4 第2大気搬送装置
5 第2ロードロック装置
6 第2クラスタ
11 ロードポート
12 第1大気搬送室
13 第1大気搬送機構
13a 案内レール
13b 大気ロボット
14 第1送風部
21 第1ロードロック室
22 第1搬送ロボット
31 第1真空搬送室
31a 第1真空搬送機構
41 第2大気搬送室
42 案内レール
43 ウェハ保持部材
44 第2送風部
51 第2ロードロック室
52 第2搬送ロボット
61 第2真空搬送室
61a 第2真空搬送機構
W ウェハ

Claims (5)

  1. 基板を減圧雰囲気下で処理する複数の処理室が周囲に設けられており、該処理室との間で基板を搬入出する搬送機構を内部に有する複数の真空搬送室と、
    各真空搬送室に夫々設けられており、室内の雰囲気を大気雰囲気及び減圧雰囲気の間で切り替えることによって、該真空搬送室に対する基板の受け渡しを行うロードロック室と、
    外部から供給された基板を一の前記ロードロック室へ搬送する第1大気搬送機構と、
    該第1大気搬送機構から基板を受け取り、受け取った該基板を他の前記ロードロック室へ搬送する第2大気搬送機構と
    を備え、
    前記第2大気搬送機構は、
    前記一のロードロックが設けられている真空搬送室の上側又は下側に配されており、
    前記複数の真空搬送室は、
    前記第2大気搬送機構による基板の搬送方向に沿って直列的に配されている
    ことを特徴とする基板処理装置。
  2. 前記他のロードロック室は、
    前記第2大気搬送機構との間で基板を搬入出するための第1開口部と、
    前記真空搬送室との間で基板を搬入出するための第2開口部と
    を有し、
    更に、基板を昇降させる昇降機構を有し、前記第1開口部又は第2開口部を介して基板を搬入出する搬送ロボットを備える
    ことを特徴とする請求項1に記載の基板処理装置。
  3. 前記複数の真空搬送室は、鉛直方向略同一の高さに設けられている
    ことを特徴とする請求項2に記載の基板処理装置。
  4. 前記第2大気搬送機構を収容した大気搬送室と、
    該大気搬送室へ清浄気体を送風する送風部と
    を備えることを特徴とする請求項1乃至請求項3のいずれか一つに記載の基板処理装置。
  5. 前記第2大気搬送機構は、平面視で重なり合うように複数設けられている
    ことを特徴とする請求項1乃至請求項4のいずれか一つに記載の基板処理装置。
JP2011069016A 2011-03-26 2011-03-26 基板処理装置 Active JP5883232B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2011069016A JP5883232B2 (ja) 2011-03-26 2011-03-26 基板処理装置
KR1020137024382A KR101814856B1 (ko) 2011-03-26 2012-03-23 기판 처리 장치
US14/004,953 US9147591B2 (en) 2011-03-26 2012-03-23 Substrate processing apparatus
PCT/JP2012/057578 WO2012133218A1 (ja) 2011-03-26 2012-03-23 基板処理装置
TW101110399A TWI552247B (zh) 2011-03-26 2012-03-26 基板處理裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011069016A JP5883232B2 (ja) 2011-03-26 2011-03-26 基板処理装置

Publications (2)

Publication Number Publication Date
JP2012204698A true JP2012204698A (ja) 2012-10-22
JP5883232B2 JP5883232B2 (ja) 2016-03-09

Family

ID=46930940

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011069016A Active JP5883232B2 (ja) 2011-03-26 2011-03-26 基板処理装置

Country Status (5)

Country Link
US (1) US9147591B2 (ja)
JP (1) JP5883232B2 (ja)
KR (1) KR101814856B1 (ja)
TW (1) TWI552247B (ja)
WO (1) WO2012133218A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015053413A (ja) * 2013-09-09 2015-03-19 シンフォニアテクノロジー株式会社 Efem
KR101932777B1 (ko) 2012-12-11 2018-12-26 가부시키가이샤 스크린 세미컨덕터 솔루션즈 기판 처리 장치 및 기판 처리 방법
WO2019246122A1 (en) * 2018-06-18 2019-12-26 Lam Research Corporation Reduced footprint wafer handling platform
WO2021049368A1 (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 基板処理装置及び基板処理装置制御方法
JP2021086987A (ja) * 2019-11-29 2021-06-03 東京エレクトロン株式会社 基板搬送装置及び基板処理システム

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
US9245783B2 (en) * 2013-05-24 2016-01-26 Novellus Systems, Inc. Vacuum robot with linear translation carriage
JP6456768B2 (ja) * 2015-05-18 2019-01-23 株式会社ディスコ 加工装置
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
JP6846943B2 (ja) * 2017-02-10 2021-03-24 東京エレクトロン株式会社 塗布装置、および塗布方法
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
JP7246147B2 (ja) * 2017-09-29 2023-03-27 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
WO2019219371A1 (en) * 2018-05-15 2019-11-21 Evatec Ag Substrate vacuum treatment apparatus and method therefore
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
JP7133451B2 (ja) * 2018-11-30 2022-09-08 株式会社Screenホールディングス 基板処理装置
WO2020126175A1 (en) * 2018-12-19 2020-06-25 Evatec Ag Vacuum system and method to deposit a compound layer
KR102227066B1 (ko) * 2019-01-28 2021-03-15 코스텍시스템(주) 웨이퍼 이송 장치
US11721564B2 (en) * 2019-04-08 2023-08-08 Tokyo Electron Limited Substrate processing system and substrate transfer apparatus and method
JP7442349B2 (ja) * 2020-03-09 2024-03-04 東京エレクトロン株式会社 基板搬送システムおよびロードロックモジュール
JP2022083862A (ja) * 2020-11-25 2022-06-06 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2022104056A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置
KR102307687B1 (ko) * 2021-06-25 2021-10-05 (주) 티로보틱스 기판 이송 로봇을 진공 챔버 내에서 주행하기 위한 주행 로봇

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
WO2008129617A1 (ja) * 2007-04-09 2008-10-30 Hirata Corporation 基板処理システム
JP2009260087A (ja) * 2008-04-17 2009-11-05 Dainippon Screen Mfg Co Ltd 基板処理装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4764076A (en) * 1986-04-17 1988-08-16 Varian Associates, Inc. Valve incorporating wafer handling arm
US6168667B1 (en) * 1997-05-30 2001-01-02 Tokyo Electron Limited Resist-processing apparatus
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070264106A1 (en) 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4925650B2 (ja) 2005-11-28 2012-05-09 東京エレクトロン株式会社 基板処理装置
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) * 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
JP5562189B2 (ja) * 2010-09-22 2014-07-30 東京エレクトロン株式会社 基板処理装置
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
US9177842B2 (en) * 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
WO2014088918A1 (en) * 2012-12-03 2014-06-12 Applied Materials, Inc Semiconductor device processing tools and methods for patterning substrates
JP6058999B2 (ja) * 2012-12-11 2017-01-11 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
WO2008129617A1 (ja) * 2007-04-09 2008-10-30 Hirata Corporation 基板処理システム
JP2009260087A (ja) * 2008-04-17 2009-11-05 Dainippon Screen Mfg Co Ltd 基板処理装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101932777B1 (ko) 2012-12-11 2018-12-26 가부시키가이샤 스크린 세미컨덕터 솔루션즈 기판 처리 장치 및 기판 처리 방법
JP2015053413A (ja) * 2013-09-09 2015-03-19 シンフォニアテクノロジー株式会社 Efem
WO2019246122A1 (en) * 2018-06-18 2019-12-26 Lam Research Corporation Reduced footprint wafer handling platform
TWI828710B (zh) * 2018-06-18 2024-01-11 美商蘭姆研究公司 基板處理系統及基板處理方法
WO2021049368A1 (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 基板処理装置及び基板処理装置制御方法
JP2021086987A (ja) * 2019-11-29 2021-06-03 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
WO2021106799A1 (ja) * 2019-11-29 2021-06-03 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
JP7296862B2 (ja) 2019-11-29 2023-06-23 東京エレクトロン株式会社 基板搬送装置及び基板処理システム

Also Published As

Publication number Publication date
TWI552247B (zh) 2016-10-01
JP5883232B2 (ja) 2016-03-09
US20140003891A1 (en) 2014-01-02
WO2012133218A1 (ja) 2012-10-04
TW201304033A (zh) 2013-01-16
KR20140010400A (ko) 2014-01-24
KR101814856B1 (ko) 2018-01-04
US9147591B2 (en) 2015-09-29

Similar Documents

Publication Publication Date Title
JP5883232B2 (ja) 基板処理装置
TWI571953B (zh) 真空處理裝置
KR100313825B1 (ko) 웨이퍼형 공작물 핸들링 장치
KR101331288B1 (ko) 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
US7410340B2 (en) Direct tool loading
TWI676089B (zh) 側儲存倉、電子裝置處理系統、和處理基板的方法
KR101329664B1 (ko) 진공처리장치 및 진공처리장치의 운전방법
KR20170012031A (ko) 로드 로크 장치 및 기판 처리 시스템
KR102164404B1 (ko) 기판 처리 장치
JP2014093489A (ja) 基板処理装置
KR101764444B1 (ko) 모듈식 반도체 처리 시스템
WO2012108439A1 (ja) 基板中継装置,基板中継方法,基板処理装置
KR101336420B1 (ko) 진공 처리 장치
JP2018170347A (ja) ウェハー搬送装置及びウェハー搬送方法
US10328580B2 (en) Reduced footprint substrate transport vacuum platform
JP2018098387A (ja) 基板処理装置
JP2018093087A (ja) 基板処理装置
KR100965512B1 (ko) 평판표시소자 제조장치
KR100763260B1 (ko) 웨이퍼 이송장치
JP6031304B2 (ja) 基板処理装置及び基板処理方法
JP2018060823A (ja) キャリア搬送装置及びキャリア搬送方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160205

R150 Certificate of patent or registration of utility model

Ref document number: 5883232

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250