TW201241226A - Film-forming method and film-forming apparatus for forming silicon oxide film on tungsten film or tungsten oxide film - Google Patents

Film-forming method and film-forming apparatus for forming silicon oxide film on tungsten film or tungsten oxide film Download PDF

Info

Publication number
TW201241226A
TW201241226A TW100148503A TW100148503A TW201241226A TW 201241226 A TW201241226 A TW 201241226A TW 100148503 A TW100148503 A TW 100148503A TW 100148503 A TW100148503 A TW 100148503A TW 201241226 A TW201241226 A TW 201241226A
Authority
TW
Taiwan
Prior art keywords
film
gas
forming
tungsten
ruthenium
Prior art date
Application number
TW100148503A
Other languages
English (en)
Other versions
TWI532871B (zh
Inventor
Jun Sato
Pao-Hwa Chou
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201241226A publication Critical patent/TW201241226A/zh
Application granted granted Critical
Publication of TWI532871B publication Critical patent/TWI532871B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Description

201241226 六、發明說明: 【發明所屬之技術領域】 本發明係-齡賴或是氧化 膜之方法以及成膜裝置。 7战乳化石夕 【先前技術】 (卿)於膜科體裝置之製財,有時諸鎢膜均成氧切 賴:於專利文獻1中記載了於鎢等金屬上形成氧化 先前技術文獻 專利文獻1日本特開2006-54432號公報 【發明内容】 )“ 但是,當於鎢(W)膜或是氧化鎢(w〇鑛上形成氧 膜之情況,於細蝴,由__或是氧化鶴之 速率慢,故有直到氧化賴開始成長之轉時間會變 長的情事。由於酿釀時間長,而有膜厚相較於在_外之底 層上所形成的氧化頻會變義情事,此外,如成臈初期階 段般當處树之吸附為不充分之狀餅,由於氧化劑會直接 接觸於絲造成敬到氧化,喊氧化魏增加之情事。 本發明係提供-種即使於鶴膜或是氧化鎢膜上形成氧 化石夕臈也可縮短氧化矽膜之醞釀時間的於鎢膜或是氧化鎢 膜上形成氧切膜之松、以及可實施軸财法之成膜裝 置。 、、 本發明之第1樣態之於鎢膜或是氧化鎢膜上形成氧化 石夕膜之方法,係具備有下㈣程:⑴於被處理體上形成鶏 201241226 .並hi 1 ()該種晶層上形成氧化W之製程 鑛)之製㈣加鏡被處理體並對編1膜或是氧化 上形成種魏缝體來於該顧或是氧化鶴膜 腊Ϊ· 之第2樣態之成膜裝置係—種於鶴膜或氧化鎮 =成氧化頻之成膜裝置,係具備有:處理室= 形成有搞料H化麵之被處 構 對:處理轉餘咖峨 一者以及含減狀鐘;加熱裝置,係 排繼,係對該處理室内進行排氣;以及控制;:制 叙體供給機構、該加紐置、以及該排氣裝置;此外,該 控制器係以在該處理室内對該被處理體實行申請專利範圍 第i至8項中任一項之於鎢膜或是氧化鶴膜上形成氧化石夕膜 之方法的方式來控制該氣體供給機構、該加熱裝置、以及嗲 排氣裝置。 本發明之附加目的以及功效將陳述於下面之敘述,且 習 一部分將可從該敘述獲得彰顯或是可藉由實施該發明來學 〇 本發明之目的以及功效尤其可藉由下面所指出之手段 以及結合而被理解與掌握。 【實施方式】 以下將參照相關圖式來說明基於上述發現而完成之本 發明之一實施例。在以下之敘述中,構成元件具有實質相同 功能以及配置者將被賦予相同參照符號,只有在必要時才進 201241226 行重複的說明。 (成膜方法) 圖u係顯示本發明之—實施_之於鶴膜 化頻之方法的—例之流糊,圖m係顯示 圖A中之乂驟3 一例之流程圖,圖2a〜圖2 首先,如圖1Α中之步驟〗所示般 鎢膜或是氧化鶴膜。以氧化嫣膜而言,可於被處理St 形成氧化_、也可為於被處频上所 f之f然氧化膜。此外,在本例中,作為被處理體 導體曰曰_如⑦晶圓W。於此抑B圓w之魏板丨上 本例之鎢膜2(圖2A)。 取 其-人’如圖1A中之步驟2所示般,於鎢膜2上形成種 晶層3(圖2B)。於本例中,係以下述方式形成了種晶層3。 首先,將形成有鶴膜2之硬晶圓W搬入成膜裝置之處 理室内。其次,提高處理室内之溫度’對形成祕膜2之石夕 晶圓W進行加熱’而對經加熱之鎢膜2纟面供給胺基石夕烷 系氣體。藉此,於鎢膜2之表面上形成種晶層3。 在胺基石夕烧系氣體之例子方面可舉出BAS(丁基胺基 矽烧)、BTBAS(雙特丁基胺基矽烧)、DMAS^曱基胺基矽 炫)、BDMAS(雙二甲基胺基石夕烧)、TDMAS(三二曱基胺基 矽烷)、DEAS(二乙基胺基矽烷)、BDEAS(雙二乙基胺基矽 烷)、DPAS(二丙基胺基矽烷)、DIpAS(二異丙基胺基矽烷) 等。於本例中係使用了 DIPAS。 步驟2之處理條件之一例如下: 6 201241226 DIPAS 流量:500sccm 處理時間:5min 處理溫度:25°C 處理壓力:532Pa(4Torr) 步驟2之製程在本說明書中以下稱為前流程。 步驟2係用以使得矽原料容易吸附於鎢膜2之製程。 此外二於本說明書中雖記載在步驟2中形成種晶層3,但實 際上幾乎並無成臈。種晶層3之厚度較佳為單原子層等級之 厚度程度。舉出具體的種晶層3厚度則為0.1η㈣上〇 3nm 以下。 拉具夂,如圖1A中之步驟3所示般,於種晶層 氧化物膜,於本例中係形成氧化矽膜4(圖2C) 一 步驟3之—例係示於圖1B。於本例中,在氧化石夕膜4 細交互供給含毅㈣料氣體與含有使 付石夕氧化之減劑的氣體—邊進行成膜之所謂的 彻(Atomic Layer Dep〇siti〇n)法或是 Μω(繼咖趾 Layer Deposition)法。在氧化劑方面可舉出 ==電="性化之活性種。於本射係使心 電漿所生成之Ο自由基。 2 首先,如步驟31所示般,對處理室内供給惰性氣體例 如氮⑽氣體來沖洗胺基魏系氣體。 Μ體例 其次如步驟32所示般,將石夕原料氣體供給於處理室内 ,於種曰日層3上軸辦。切顧氣體之 驟2所使用之胺基魏系氣體以外,尚可 =了 乂 院系氣體。在不含胺基之魏錢體扣可舉;含有基3 7 201241226 、SiH4、SiH6、Sl2H4、Si2H6、以 SimH2m+2(其中,m 係 3 以 上之自絲)之式子所表示之硬氫化物、以及以(其中 ’ η為3以上之自然數)之式子所表示之石夕氮化物中至少一 者的氣體。
此外,於本例中,胺基矽烷系氣體係使用例如DIpAS 〇 步驟32之處理條件的一例如下: DIPAS 流量:500sccm 處理時間:0.1 min 處理溫度:25它 處理壓力:532Pa(4Torr) 其次,如步驟33所示般,對處理室内供給惰性氣體例 如氮氣體來沖洗矽原料氣體。 —其次,如步驟34所示般,將含氧化劑之氣體供給於處 理至内將步驟32所形成之㈣加以氧化來形成氧化石夕膜 4。在步驟34巾作為氧化劑可舉出q2、〇3、H2〇、或是該 等經電漿所活性化之活性種。在本例中係使用由〇2電毅所 生成之0自由基。 其次,如步驟35所示般,對處理室内供給惰性氣體例 如氮氣體來沖洗含氧化劑之氣體。 其次’如步驟36所示般’判斷反覆次數是否為設定次 數。 當未達設定次數之情況(NO)係回到步驟32,從步驟32 反覆至步驟35。 8 201241226 理結束當達到嫩數之情況⑽),如圖1A所示般成為處 (酿釀時間) 圖3係顯示沉積時間與矽層膜厚之關係。圖3所示結 =底層為氧化石夕(Si〇2)之情況,不論底層為氧化石夕或是鎢 或,化鶴皆顯示_趨勢。其理由在於,藉由前流程(亦 即月女基魏綠财賴分解)所得之種晶層3形成於底層 上之故。矽層終究吸附於種晶層3上而成膜。 於本例所使用之前流程中的處理條件如下: DIPAS 流量:500sccm 處理時間:5min 處理溫度:400艺 處理壓力:53.2Pa(0.4Torr) 同樣用以形成本例所使用之石夕層的處理條件如下·· 單矽烷流量:500sccm 沉積時間:30min/45min/60miii 處理溫度:500°C 處理壓力:53.2Pa(0.4Ton·) 石夕層之膜厚係以沉積時間分別為3〇mm、45min、以及 60min時的3點來測定。 圖3中之線I係有前流程之情況,線π係無前流程之 情況下的結果。線I、II乃將所測定之3 _厚以最小平方 法來做直線近似而得之直線,式子如丁。 線I : y = 17.572χ 〜2〇855 ⑴ 線 II : y = 17.605χ — 34 929 9 201241226 如圖3所示般’相較於無前流程’當有前流程之情況 之矽層膜厚增加之趨勢變得明顯。 當上述(1)、(2)式設定為y=〇(亦即將矽層膜厚設定為 之時計算線I、II與沉積時間之交點係示於圖4。此外’ 圖4係將圖3中之虛線框A内加以放大之放大圖。 如圖4所示般,當有前流程之時,矽層之沉積係離處 理開始約1.2min(x与1.189)開始發生。相對地,當無前流程 之矽層之時,矽層之沉積係離處理開始約2.0min(x与1.984) 開始發生。 如此般,藉由對底層進行胺基矽烷系氣體之前流程, 可將酿釀時間從約2.0min縮短至約1.2min。 (氧化矽膜之SEM觀察) 其次’顯示以SEM觀察氧化矽膜之結果。 圖5A以及5B係使用上述一實施形態之於鎢膜或是氧 化鎢膜上形成氧化梦膜之方法來形成氧化石夕膜4之情況,圖 5A係顯示SEM照片,圖5B係顯示膜厚之圖。圖6a以及 6B係比較例,乃為無前流程之情況。氧化石夕膜4皆以成膜 之際的反覆次數定為20循環來進行賴。此外,雙方皆於 m之表社職㈣的氧傾(獨姻5。此氧化鶴膜 ,亦;無==接觸而自然形成之自然氧化膜。當然 ”丨小舣’依據上述一實施形態,於 =上隔者膜厚Unm之氧化鎢 计入種晶層3之氧化膜厚版氧化< 201241226 才子此’如圖6A以及圖6B所示般,依據益 之比較例,於鎢膜2上隔著膜厚i 5n 程 膜厚施m之氧化雜4。 之魏触5僅形成 況 如此般,依據上述—實施形態,相較於 ,醒辗時間會縮短,即使同樣為2〇循環,可於二J 形成約30%膜厚為厚之氧化矽膜4。 、’’’、上 此外,依據上述-實施形態,氧化鶴膜5之 1.3nm,而比較例之氧化鎢膜5之膜厚則增加至丨。馬 由此可知,依據上述一實施形態,尚可一同得到之 點為:於鎢膜2上形成氧化矽膜4之際也可抑制界面之· 鎢膜5的增膜。此被認為乃由於在上述一實施形態因種曰曰 層3形成於鶴膜2之表面上,而可抑制氧化劑直接於= 膜2、氧化鶴膜5之故。 " 圖7A以及7B係於石夕基板1上形成氧化石夕膜4之情況 ,圖7A為SEM照片,圖7B係顯示膜厚之圖。於本例中, 氧化矽膜4乃在處理條件相同、反覆次數也同為2〇循環之 晴况下成膜。此外,於石夕基板1之表面上形成有膜厚lnm 之自然氧化膜(Si02)6。 如圖7A以及7B所示般,此情況下,於矽基板丨上隔 著自然氧化膜6而形成膜厚4.1nm之氧化石夕膜4。 由上可知,依據上述一實施形態尚可得到以下之優點 圖8A〜8C係顯示半導體積體電路裝置内之構造體(例 如閘極)之截面圖。 201241226 如圖8A所示般’於閘極中有在多晶矽層7上積層著鎢 ,2之所s胃的多晶石夕金屬(p〇lymetal)構造之閘極。當於此多 =矽金屬構造之閘極側壁上形成氧化矽膜4的情況,於無前 流程的情況T,氧化頻4在多晶铺7上之膜厚與在^膜 &之臈厚的差會變大(圖8Β)。例如,如圖6β所示般,在 無前流程的比較例,氧化頻4之臈厚在鶴膜2上為3 〇細 。因此,氧化矽膜4之膜厚差異變大。 相對於此’如圖5Β所示般,依據上述一實施开义態 夕石=4謂厚在顧2上為3 —。因此氧切膜*在 ΐ二^謂厚與在鶴膜2上之膜厚的差相較於比較例 使於in’θ依據上述一實施形態,可縮短醒釀時間,即 或疋反_環數少的情況,也可 膜i更厚之氧化頻4,除了此優點以外,當於露^ 之造體上形成氧化頻4 了減> 氧化補之膜厚的差異,此為另一優點。 鎢腺W ’於喊氧化頻4之際,也可抑解面之氧化 。此乃由於’依據上述一實施形態係於二 ^43°3 氧化劑擴散的障壁:因此階段成為防止 接觸麵2難以直接 (成臈方法之其他例) 其次,說明於鶴膜上形成氧化物膜之方法的其他例。 12 201241226 圖9A〜9C係顯示圖1B中之步驟3的其他例之流程圖 (第1例) 如圖9A所示般’第1例係將圖1B所示步驟32、33 與步驟34、35加以更替之例。如此般,亦可於沖洗胺基石夕 烷系氣體(步驟31)之後供給氧化劑(步驟34)。 (第2例) 如圖9B所示般,第2例係省略沖洗胺基矽烷系氣體之 製程,於供給胺基石夕烧系氣體之後經過既定處理時間後,再 供給矽原料氣體之例。如此般,沖洗胺基矽烷系氣體之製程 也可省略。 (第3例) 如圖9C所示般,第3例係採用一邊同時供給含矽之矽 原料氣體與含有使得矽氧化之氧化劑的氣體一邊形成氧化 矽膜4之所謂的cvDfhemical Vapor Dep〇siti〇n)法來成膜 的例子。如此般,於氧化矽膜4之成膜上也可利用CVD法 〇 (成膜裝置) 其次,說明可實施上述一實施形態之於鎢膜或是氧化 鎢膜上形成氧化矽膜之方法的成膜裝置之一例。 圖10係示意顯示可實施一實施形態之於鎢膜或是氧化 鶴臈上形成氧化頻之方法的細裝置之—例之截面圖。 +如圖10所示般,成膜裝置100係具有下端呈開口之有 天^板的_體狀處理室1(H。處理室⑼之全體係例如由 石英所形成。於翻室101狀天花祕設有;5英製天花板 13 201241226 部’例如不鏽鋼成形為圓筒 體狀之岐s 103倾由〇型環等密封構件1〇4來連 咬管103係支撐著處理室⑼之下端。财管103下 方可對處理室1G1内插人石英製晶圓舟1G5(可多段 處理體之複數片例如50〜励片之半導體晶圓,於本 ,中為梦晶圓W)。晶圓舟1〇5係具有複數根支柱,拜 形j於支柱106之溝槽來支撑著複數片石夕晶圓w。3 晶圓舟105係經由石英製保溫筒107而載置於工作臺 上。工作臺⑽係被讀在貫賴如轉峨蓋部1〇9( 如之下端開口部)之旋轉轴丨丨。上。於旋轉轴( 之貝通料有例如磁性流體密封件⑴,將旋轉軸ιι〇 力口以氣密地密封並以可旋轉的方式支辟,蓋部⑽之周 =與岐s 103之下端部之間係介設有例如〇型環所構成 構件112。藉此,處理室1G1内之密封性受到保持。 ^ Ji1 〇係被裝設於例如由舟升降器等升降機構(未圖示) 1nQ二著之臂部113的前端。藉此,晶圓舟105以及蓋部 文到—體性升降而相對於處理室101内進行插入、脫 離0 成縣置100係具有:處理氣體供給麟1M,係對處 至丄01内供給處理用氣體;惰性氣體供給機構115 ’係對 處理室101内供給惰性氣體。 ^處理氣體供給機構114係包含有:胺基⑦财、氣體供 =117、石夕原料㈣供給源118、含氧化劑之氣體供給源 ,胺基矽烷系氣體之一例為二異丙基胺基矽烷(DIPAS) 石夕原料氣體之—例為二異丙基絲挪(DIPAS),含氧化 201241226 劑之氣體之一例為氧(〇2)氣體。此外,當胺基矽烷系氣體與 矽原料氣體為相同之情況,亦可共用胺基矽烷系氣體供給源 117以及石夕原料氣體供給源118而僅設置其中一者。 惰性氣體供給機構115係包含有惰性氣體供給源120 。惰性氣體係利用於沖洗氣體等。惰性氣體之一例為氮 氣體。 胺基石夕烧系氣體供給源117係經由流量控制器i2la以 及開閉閥122a而連接於分散喷嘴123。分散噴嘴123係由 石英官所構成,將岐管1〇3側壁朝内側貫通而往上方彎曲來 垂直延伸j於分散喷嘴123之垂直部分,複數氣體流出孔 124係隔著既定間隔來形成。胺基矽烷系氣體係從各氣體流 出孔124朝水平方向而往處理室1〇1内大致均勻地流出。 此外,矽原料氣體供給源118也經由流量控制器121b 以及開閉閥122b而例如連接於分散喷嘴丨23。 含氧化劑之氣體供給源119係經由流量控制器121c以 及閥122c而連接於分散噴嘴125。分散喷嘴125係由 石英管所構成,將岐管1〇3側壁朝内侧貫通而往上方彎曲來 垂直延伸」於分散噴嘴125之垂直部分,複數氣體流出孔 126係隔著既定間隔來形成。含有氨之氣體餘各氣體流出 孔126沿f平方向朝處理室1〇1内大致均勻地流出。 惰性氣體供給源12〇係經由流量控制器⑵纽及開閉 閥l22d而連接於噴嘴128。噴嘴128係貫通岐管1〇3側壁 從/、剷Μ使得h性軋體沿水平方向來朝處理室内流出 〇 15 201241226 室1〇1内之相對於分散喷嘴123以及125在相 129,t讀設有用崎處理室⑼内進行魏之排氣口 趨=129係將處理室101側上T方向削除而形 田長狀。於處理室⑼對應於減口 129之部分係以包 =士π」29的方式利㈣接而裝鮮截面成形為〔字形 ⑴_範口盍體構件130。排氣口蓋體構件13〇係沿著處理室 貝’壁往上方延伸,而將氣體出〇 131設定在處理室⑼ 方。=氣體❿131係連接著包含真空栗等之排氣機構 。排_構132藉由對處理室1G1内進行排氣來達成處 理使用過之處職體的職,並將處理室⑼内之壓 為對應於處理之處理屋力。 ° 於處理室101之外周設有筒體狀之加熱裝置133。加熱 又,133係將供給於處理室1〇1内之氣體加以活性化,並對 收容在處理室1G1内之被處理體(本例祕晶圓W)進行加熱 〇 成膜裝置100之各部的控制係藉由例如微處理器(電腦 )所構成之控制器150來進行。控制器15〇係連接著由操作 者為了管縣職置100 _行指令輸人操料之鍵盤或 是對於成膜裝置100之運轉狀況以視覺化方式顯示之顯示 器等所構成之使用者介面151。 於控制器150連接者s己憶部152。記憶部152係儲存有 藉由控制器150之控制來實現在成膜裝置1〇〇所實行之各種 處理的控制程式、用以因應於處理條件而在成膜裝置1〇〇 之各構成部實行處理之程式(亦即配方)。配方係儲存於例如 記憶部152中之記憶媒體。記憶媒體可為硬碟、半導體記憔 201241226 體’也可為CD_R〇M、DVD、㈣記缝村攜式物。此 外,亦可從其他裝置例如經由專用配線來適宜地傳輸配方。 配方可視必要㈣基於來自使用者介面151之指示等從纪 憶部152讀取,以控制器150 |實行遵照所讀取之配方的處 理,成膜裝置⑽在控制器15G之控制下實施所希望之處理 〇 於本例中,在控制器150之控制下實行上述一實施形 態之於鶴膜献氧化舰上形成氧化頻之綠,例如依序 實行圖1Α、圖1Β、圖9Α〜目9C所示步驟之處理。 上述-,施形態之於鶴臈或是氧化鎢膜上形成氧化石夕 膜之方法可藉由圖10所示成膜裝置1〇〇來實施。 以上’依照-實施形態說明了本發明,惟本發明並不 限定於上述-實郷態,可作各種的變形。此外,本發明之 實施形態並非以上述一實施形態為唯一實施形態。 例如,氧化劑可取代氧氣體而改用Η2〇韓或臭氧㈣ 氣體,當為臭氧氣體之航,亦可於含氧化劑之氣體供給源 119具備用以產生臭氧氣體之臭氧產生器。 此外’亦可藉由電絲將〇2、〇3、Η2〇加以活性化, 而使得此等經活性化之活性種流出至抑圓w等被處理體 上。於此情況,亦可將於處理室1〇丨内部產生電漿之電漿產 生機構設置於例如處理室101之内部。 此外,於上述實施形態,在矽原料氣體方面說明了胺 基矽烷系氣體,而於種晶層3上形成矽層之際也可使用矽烷 系氣體。§中,關於以SimH2m+2(其中,m為3以上之自然 數)之式子所表示之錢化物以及以SinH2n(其中,n為3以 17 201241226 上之自餘)之式子所絲切氫化物,η 自然f之式子所表示之錢心以 擇二雜(s_、四魏(Si4Hi〇)、五 _4)、七魏版叫中至少—者;又以s5inHy中二 為3以上之自然數)之式子所表示之石夕氨化物可選擇環三石夕 、環四魏(_8)、環五魏剛。)、環六石夕燒 ⑶办2)、環七矽烧⑸邱4)中至少一者。 此外’上述-實施形態係顯示了將本發明適用在搭載 複數石夕晶圓W來整批地進行成膜之批次式成膜裝置的例子 ’惟不限定於此,也可適用於針對每—片晶圓進行成膜之 片式成膜裝置。 ' 此外,在被處理體方面不限定於半導體晶圓,本發明 也可適用於LCD玻璃基板等其他基板。 依據本發明,可提供一種即使於鎢膜或是氧化鎢膜上 形成氧化矽膜也可縮短氧化矽膜之醞釀時間的於鎢膜或是 氧化鎢膜上形成氧化矽膜之方法、以及可實施該成膜方法之 成膜裝置。 ' 此外’本發明可在不超脫其要旨之範圍内進行各種變 形。 本申請案係以2010年12月27曰對日本特許廳所提出 之曰本專利申請號第2010-290565號為基礎主張優先權利 益’其揭示内容全體被參照包含於本說明書中。 【圖式簡單說明】 伴隨之圖式將併入並構成說明書的一部份,其舉出了 201241226 發明實施例,並藉由連同上面所提到的一般說明以及下面會 提到的關於實施例之詳細敘述以解釋本發明之原理。 圖1A係顯示本發明之一實施形態之於鎢膜或是氧化 鶴膜上形成氧化石夕膜之方法的一例之流程圖,圖丨B係顯示 圖1A中之步驟3之一例的流程圖。 ,圖2A〜圖2C係示意顯示圖1A以及圖1B所示順序中 之被處理體狀態的截面圖。 圖3係顯示沉積時間與矽層膜厚之關係圖。 圖4係放大顯相3巾之虛線框A内之放大圖。 之圖圖5八係顯示圖式代用照片_),圖犯係顯示膜厚 之圖圖6A係顯示圖式代用照片(SEM),圖紐係顯示膜厚 之圖圖7A係顯示圖式代用照片剛,圖%係顯示膜厚 閘極)之截面二。係‘、、、員不半導積體電路裝置内之構造體( 圖1〇係/示意驟3,他例之流程圖。 鶴膜上形魏切敗實猶彡態之於顧或是氧化 圖 ’的成膜裝置之一例的戴面| 【主要元件符號說明】 矽基板 鎢膜 種晶層 201241226 4 5 6 7 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 117 118 119 120 氧化矽膜 氧化鎢膜 自然氧化膜 多晶矽層 成膜裝置 處理室 天花板 岐管 密封構件 晶圓舟 支柱 保溫筒 工作臺 蓋部 旋轉軸 磁性流體密封件 密封構件 臂部 處理氣體供給機構 惰性氣體供給機構 胺基矽烷系氣體供給源 矽原料氣體供給源 含氧化劑之氣體供給源 惰性氣體供給源 201241226 121a〜121d 流量控制器 122a〜122d 開閉閥 123 分散喷嘴 124 氣體流出孔 125 分散喷嘴 126 氣體流出孔 128 喷嘴 129 排氣口 130 排氣口蓋體構件 131 氣體出口 132 排氣機構 133 加熱裝置 150 控制器 151 使用者介面 152 記憶部 W 碎晶圓 21

Claims (1)

  1. 201241226 七 、申請專利範圍: 1.種於鶴膜或氧化鶴膜上形成氧化$夕膜之方法,係 具備有下述製程: (1) 於被處理體上形成鶴膜或是氧化鶴膜之 (2) 於該鶴臈或是氧化賴上形成種晶狀製程;以及 (3) 於該種晶層上形成氧化石夕膜之製程; «亥(2)之裝私係力口熱該被處理體並對該嫣膜或是氧化鶴 膜之表面供給胺基魏系氣體來於該鶴膜或是氧化鶴膜上 形成種晶層之製程。 一 2.如申請專利範圍帛1項之於鎢膜或氧化鶴膜上形成 氧化石夕膜之;5Γ法’其巾該絲#财、氣體顧自含有脇( 丁基胺基_⑦)、BTBAS(雙特了基絲#⑨)、DMAS(二甲 基胺基矽烷)、BDMAS(雙二曱基胺基矽烷)、TDMAS(三二 甲基胺基矽烷)、DEAS(二乙基胺基矽烷)、BDEAS(雙二乙 基細基石夕烧)、DPAS(二丙基胺基石夕炫)、以及dipas(二異丙 基胺基梦烧)中至少一者的氣體。 3. 如申請專概圍第1項之於賴或氧麟膜上形成 氧化矽膜之方法’其中該氧化矽膜係一邊交互地供給含石夕之 石夕原料氣體與含有使得矽氧化之氧化劑的氣體、一邊進行成 膜所得者。 4. 如申請專利範圍第1項之於鎢膜或氧化鎢膜上形成 氧化矽膜之方法’其中該氧化矽膜係一邊同時供給含矽之矽 原料氣體與含有使得矽氧化之氧化劑的氣體、一邊進行成膜 所得者。 ' 22 201241226 5.如申明專利範圍帛4項之於鶴膜或氧化嫣膜上形成 -化石夕膜之方法’其巾财原料氣體伽基魏系氣體或是 不含胺基之矽烷系氣體。 —6.如申料繼圍第5項之於賴或氧祕膜上形成 氧化石夕膜之方法’其中該胺基魏純體純自含有BAS( 丁基胺基魏)、btbas(雙特了基絲魏)、DMAS(二甲 基胺基矽烷)、BDMAS(雙二甲基胺基矽烧) 、TDMAS(三二 甲基胺基>5攸)、DEAS(二乙基胺基碎⑹、BDEAS(雙二乙 基胺基梦燒)、DPAS(q基胺齡烧)、以及DIpAS(二異丙 基胺基矽烷)中至少一者的氣體; 該不含胺基之矽烷系氣體係選自含有SiH2、SiH4、SiH6 Si2%、Si2H0、以SimH2m+2(其中,m為3以上之自然數) 之式子所表示之矽氫化物、以及以SinH2n(其中,n為3以上 之自然數)之式子所表示之矽氫化物中至少一者的氣體。 7.如申請專利範圍第6項之於鶴膜或氧化鶴膜上形成 氧化石夕膜之方法,其中該以SimH2m+2(其中,m為3以上之 自然數)之式子所表示之矽氫化物係選自三矽烷(Si3H8)、四 石夕烧(Si4H10)、五矽烷(si5H12)、六矽烷(Si6H14)、七矽烷(Si7H16) 中至少一者; 該以SinH2n(其中,n為3以上之自然數)之式子所表示 之矽氫化物係選自環三矽烷(Si3H6)、環四矽烷(Si4H8)、環五 矽烷(¾¾)、環六矽烷(Si6H12)、環七矽烷(Si7H14)中至少一 者。 23 201241226 8. 如㈣專概_〗項之於倾或氧祕膜上形成 氧化石夕膜之方法,其中該被處理體為半導體晶圓,該成膜方 法係被使用於半導體裝置之製程上。 9. -種成職置’雜鎢膜或氧化顧上形成氧化石夕 膜,具備有: .處理至’魏容賴有t辑賴是氧傾膜之被處理 體; 、氣體供給機構,係對該處理室内供給胺基石夕院系氣體 以及矽原料氣體之至少一者以及含氧化劑之氣體; 加熱裝置,係加熱該處理室内; 排氣裝置,係對該處理室内進行排氣;以及 控制器,係控制該氣體供給機構、該加熱裝置、以及 該排氣裝置; 此外,該控制器係以在該處理室内對該被處理體實 如申睛專利範圍第丨項之於频或是氧化麵上形成氧化 石夕膜之方法的方式來控制該氣體供給機構、該加 及該排氣裝置。 …、裝罝以 24
TW100148503A 2010-12-27 2011-12-26 於鎢膜或氧化鎢膜上形成氧化矽膜之方法以及成膜裝置 TWI532871B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010290565A JP2012138500A (ja) 2010-12-27 2010-12-27 タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
TW201241226A true TW201241226A (en) 2012-10-16
TWI532871B TWI532871B (zh) 2016-05-11

Family

ID=46317396

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100148503A TWI532871B (zh) 2010-12-27 2011-12-26 於鎢膜或氧化鎢膜上形成氧化矽膜之方法以及成膜裝置

Country Status (5)

Country Link
US (2) US20120164327A1 (zh)
JP (1) JP2012138500A (zh)
KR (3) KR20120074207A (zh)
CN (1) CN102534615A (zh)
TW (1) TWI532871B (zh)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5588856B2 (ja) * 2010-12-27 2014-09-10 東京エレクトロン株式会社 カーボン膜上への酸化物膜の成膜方法及び成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
JP6030455B2 (ja) * 2013-01-16 2016-11-24 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6211941B2 (ja) * 2014-01-28 2017-10-11 東京エレクトロン株式会社 成膜方法および成膜装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
CN105779943A (zh) * 2016-05-05 2016-07-20 厦门建霖工业有限公司 一种物理气相沉积氟硅烷制备疏水膜的方法
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6175541B2 (ja) * 2016-06-03 2017-08-02 東京エレクトロン株式会社 シード層の形成方法、シリコン膜の成膜方法および成膜装置
KR102337153B1 (ko) 2016-06-28 2021-12-07 어플라이드 머티어리얼스, 인코포레이티드 3d nand 메모리 디바이스들을 위한 cvd 기반 산화물-금속 다중 구조물
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP2018046430A (ja) 2016-09-15 2018-03-22 ソニー株式会社 情報処理装置および方法、並びにプログラム
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
JP6840051B2 (ja) * 2017-08-02 2021-03-10 東京エレクトロン株式会社 タングステン膜上へシリコン酸化膜を形成する方法および装置
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6895352B2 (ja) * 2017-09-12 2021-06-30 東京エレクトロン株式会社 被加工物を処理する方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11075076B2 (en) 2017-12-01 2021-07-27 Tokyo Electron Limited Method for manufacturing a semiconductor device and film deposition apparatus
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11230474B2 (en) 2018-10-11 2022-01-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020132904A (ja) * 2019-02-13 2020-08-31 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831816A (ja) * 1994-07-13 1996-02-02 Sony Corp 有機Siソースを用いた成膜方法、同成膜装置、及び半導体装置の製造方法
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US6515350B1 (en) * 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
JP4196517B2 (ja) * 2000-03-28 2008-12-17 三菱電機株式会社 半導体素子製造方法
AU2003259950A1 (en) * 2002-08-18 2004-03-03 Aviza Technology, Inc. Low termperature deposition of silicon oxides and oxynitrides
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
WO2007083651A1 (ja) * 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5490753B2 (ja) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 トレンチの埋め込み方法および成膜システム
JP5675331B2 (ja) * 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法

Also Published As

Publication number Publication date
KR20150122108A (ko) 2015-10-30
KR20120074207A (ko) 2012-07-05
KR101759157B1 (ko) 2017-07-18
JP2012138500A (ja) 2012-07-19
US20120164327A1 (en) 2012-06-28
US20140199839A1 (en) 2014-07-17
CN102534615A (zh) 2012-07-04
TWI532871B (zh) 2016-05-11
KR20170057870A (ko) 2017-05-25

Similar Documents

Publication Publication Date Title
TW201241226A (en) Film-forming method and film-forming apparatus for forming silicon oxide film on tungsten film or tungsten oxide film
TWI509737B (zh) 溝槽的埋入方法及成膜裝置
TWI336108B (en) Method and apparatus for forming silicon oxide film
TWI524425B (zh) 氮化矽膜的成膜方法及成膜裝置
KR101813312B1 (ko) 실리콘막의 성막 방법, 박막의 성막 방법 및 단면 형상 제어 방법
TWI533402B (zh) 渠溝之充填方法及半導體積體電路裝置之製造方法
TW200949946A (en) Film formation method and apparatus for semiconductor process
KR101609182B1 (ko) 커패시터의 제조 방법, 커패시터 및, 그에 이용되는 유전체막의 형성 방법
TWI449108B (zh) 薄膜形成方法及薄膜形成設備
TWI505362B (zh) 碳膜上之氧化物成膜方法及成膜裝置
TW201135841A (en) Method of manufacturing semiconductor device, substrate processing apparatus and semiconductor device
JP6710089B2 (ja) タングステン膜の成膜方法
TW201205670A (en) Silicon film formation method and silicon film formation apparatus
TW201230196A (en) Method for forming nitride film
KR102640002B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기록매체, 및 프로그램
JP2021106242A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
TW201235501A (en) Method of forming titanium nitride film, apparatus for forming titanium nitride film, and program
TW201209919A (en) Film formation method and film formation apparatus
JP6754493B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2013147708A (ja) TiSiN膜の成膜方法および記憶媒体
KR102388169B1 (ko) RuSi막의 형성 방법 및 성막 장치
US9460913B2 (en) Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
JP5568342B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理システム
WO2013105389A1 (ja) TiSiN膜の成膜方法および記憶媒体
JP7357733B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム