TW200535942A - System and method pertaining to semiconductor dies - Google Patents

System and method pertaining to semiconductor dies Download PDF

Info

Publication number
TW200535942A
TW200535942A TW094105396A TW94105396A TW200535942A TW 200535942 A TW200535942 A TW 200535942A TW 094105396 A TW094105396 A TW 094105396A TW 94105396 A TW94105396 A TW 94105396A TW 200535942 A TW200535942 A TW 200535942A
Authority
TW
Taiwan
Prior art keywords
conductive
test signal
test
semiconductor die
redistribution layer
Prior art date
Application number
TW094105396A
Other languages
English (en)
Other versions
TWI363183B (en
Inventor
Brian S Schieck
Howard Lee Marks
Original Assignee
Nvidia Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nvidia Corp filed Critical Nvidia Corp
Publication of TW200535942A publication Critical patent/TW200535942A/zh
Application granted granted Critical
Publication of TWI363183B publication Critical patent/TWI363183B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2884Testing of integrated circuits [IC] using dedicated test connectors, test elements or test circuits on the IC under test
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/94Laser ablative material removal

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

200535942 九、發明說明: 【發明所屬之技術領域】 發明領域 本發明係有關積體電路的領域。更具言之,本發明的 5實施例係提-種可接取半導體晶教之内部電信號的裝置和 方法。本發明係揭露一覆晶半導體晶粒内部信號接取系统 和方法。 【先前技術】 發明背景 10 對積體電路設計和製造之一重要項目係為一積體電路 的測試和瑕疵檢測。典型的測試包括分析其内部的電信 號。該等信號分析可用來檢查電路性能或進行積體電路的 故障分析。 有一種積體電路包含一主動區(例如電晶體)製設在一 15基材内。具吕之,遠主動區會被設在靠近該積體電路的了貝 面處。傳統用來接取一積體電路内部信號的方法通常包括 直接在該積體電路頂面利用一聚焦離子來(FIB)來接取該等 信號。但是,傳統的方式在使用該FIB來測試倒裝晶片時會 有一些困難。 20 倒裝晶片是一種積體電路,其中該晶片的主動區會被 正面朝下地“翻覆倒轉”。取代正面朝上而由該晶片卜 緣以導線搭接於該封裝體的引線,該倒裝晶片、S γ 面可被用來互接,其典型係藉金屬禪料凸體令主動、區表 凸體會被谭接於該封裳體基材上或該 :成。這些 峪板本身上,並以 200535942 膠劑由底下來填塞。該倒裝晶片可使用大量具有比導線更 短距離的互接物,而能大大地減少電感。 由於倒装晶片(覆晶元件)會因對該晶片頂面的通路受 限而較難以榀查電路性能或分析晶片上的信號瑕疲。該 晶片的頂面係固裝於封裝體基材上,因此該m件的主 動區較,以由頂面進人。而鑽孔貫穿底面的晶片基材來進 /後日日元件之主動區的方法會較困難。通常,該晶片美 材會比該積體電路的主動區更厚許多。例如,—晶片紐 可有7〇〇μΐΏ厚,而一主動區僅約為ΙΟμηι。欲探測一倒裝晶 二之主動區的線路,該™必須鑽出一適當尺寸之孔貫穿該 曰曰片基材。由於該基材較厚,故鑽孔貫穿需要相當的努力。 、因出製袄典型非常昂貴,故要鑽穿底面的晶粒基材 通常成本不彳氏。Β | - ,由於該基材較厚,故該FIB探測點必須 非常精準。 、 15 【發明内容】 發明概要 路鮮W系揭4種可對覆晶半導體晶片的信號提供通 路的裝置和方法。_孔會被鑽人4半導 試探測點。兮;f丨合4 /ljj 、查垃认,曰被以一導電材料回填,而將該探測點電 ;L麵分gi層。該㈣重分配層 連接於一封萝 电ο篮曰私 電連接於2 咖。賊餘㈣外部接點會 在外部接;:處 種可接取_覆晶元件之内部信號的裝置和方法乃被 20 200535942 提供。在一實施例中,本發明係提供一種半導體晶粒(即一 倒裝晶粒),其包含一測試信號重分配層(RDL)線路,一探 測點可接取該半導體晶粒中的彳§號,並會電連接於該信號 RDL,及一導電的測試信號凸體可將信號傳出該半導體晶 5 粒。該探測點係可藉將一孔鑽入該半導體晶粒中而來接 引。在一實施例中,該探測點係可藉聚焦離子束鑽孔並以 導電材料回填而來接引。該導電材料會電連接於該信號 • RDL。 在一實施例中,導電線路會被設在該信號RDL中,而 1〇使在各電子構件結構粒塊處的多數測試信號能被接取測 试。在一實施例中,該等導電線路會被佈設成一螺旋圖案, .並有導電凸指設於各位置,故對底下構件的鑽孔和導電材 料回填能對該半導體晶粒之各構件間不同程度的信號提供 通路。 在一實施例中,本發明係提供一種半導體元件,其包 含一封裝基材可將測試信號導引至一外部接點上,及一半 導體晶粒具有㈣科可被該外部接點接引,而該半導體 晶粒係電連接於該封裝騎n施射,㈣装基材 包含-第-表面設有球柵陣列,和—第二表面設有導電觸 點可與包含一導電測試信號凸體之半導體晶粒的導電凸體 “連接&,線路可將該等導電測試信號凸體和導電觸點 電連接於該外部接點。 、在另貝_中’本發明包含-種半導體測試方法。 ,準於半‘脰日日粒中之信號RDL與一探測點的孔之位置 200535942 會被決定。在一實施例中,該探測點會電連接於該半導體 晶粒中之一特定的信號線路。一通至該探測點之孔會被開 設在該半導體晶粒的第一表面中。該孔會被以導電材料回 填來連接該信號RDL和探測點。在一實施例中,該開孔及 5 回填步驟係用一FIB來進行。 在該半導體晶粒之第一表面上的導電測試信號凸體會 連接於該封裝基材的第二表面上之一導電構件,其中該導 電凸體會電連接於該信號RDL。測試信號會在該封裝基材 的外部接點處被測量。於一實施例中,該測試信號係為當 10 半導體晶粒在操作時的内部信號。在一實施例中,該測量 係以自動測試設備來進行。 圖式簡單說明 所附圖式係併入本說明書中而構成本說明書的一部 份,乃示出本發明之各實施例,且能與所述内容一起用來 15 解釋本發明的原理。應可瞭解該等圖式並未依比例繪製, 除非有特別地明示。 第1A圖為本發明一實施例之半導體晶粒的截面圖,其 中包含一測試信號重分配層(RDL)線路。 第1B圖為本發明一實施例之半導體晶粒的截面圖,其 20 中包含有導電回填物。 第1C圖為本發明一實施例之含有導電回填物的半導體 晶粒之截面放大圖。 第2A圖為本發明一實施例之含有外部接點的半導體封 裝基材之截面圖。 200535942 第2B圖為本發明一實施例之覆晶半導體元件的截面 圖。 第3A圖為本發明一實施例之半導體元件的信號RDLi 頂視圖。 5 第3B圖為本發明一實施例之半導體元件的信號RDL之 部份放大頂視圖。 第4圖為本發明一實施例之半導體元件導電線路層的 頂視圖,其中包含一探測點。 第5圖為本發明一實施例之半導體製法的流程圖。 10 第6圖為本發明一實施例之半導體測試法的流程圖。 第7圖為可實施本發明之電腦系統實施例的方塊圖。 【實施方式】 較佳實施例之詳細說明 現將對本發明之各實施例詳細說明,該各例係被示於 5所附圖式中。雖本發明係配合各實施例來說明,但請瞭解 本發明並非僅限於該等實施例。相反地,本發明乃涵蓋如 申請專利範圍所界定之本發明的精神和範疇可含括之各種 變化修正及等效實施。又,在本發明的以下詳細說明中, 各種特定細節會被描述以供徹底瞭解本發明。但,專業人 2〇 士輕易可知本發明亦能不用該等特定細節來實施。在某些 N /口下,習知的方法、程序、構件、和電路等並未被詳細 說明,以免不必要地模糊本發明的概念。 應請瞭解本發明能以多種實施例來實施。在一實施例 中,本%明可被應用於能支持各種圖像用途包括電玩遊戲 200535942 #之處n統中。例如,本發明能被應用於m且中來 進灯例如遊戲抬、個人電腦、個人數位助理、手機或任何 數目之可作電玩遊戲的平台等之圖形操作處理。亦請瞭解 私玩遊戲用途僅為舉例,而本發明並不限於該等應用範 5 圍。 第1A圖為本發明一實施例之半導體晶粒160的截面 圖。4半導體日日8粗_包含晶粒基材1GG,其上設有主動區 φ 104。該主動區104含有該半導體晶粒的電路,包括電晶體 等。應請瞭解該主動區1〇4可使用多種半導體製造技術來形 10 成,包括光微影法等。 半導體晶粒160更包含導電線路層108設在主動區104 上。該等導電線路層108含有導電線路(例如130)可電連接主 - 動區104的電路。該等導電線路可用來傳輸主動區1〇4之各 - 電路間的信號。在一實施例中,該等導電線路層108乃包含 15 至少一測試探測點13 6可供接取該等導電線路的信號。在一 ^ 實施例中,該探測點136可為導電線路130上的任何點。在 另一實施例中,該主動區104包含至少一探測點。雖在說明 中係指該導電線路層108的探測點,惟本發明的實施例亦可 包括該主動區104中的探測點。 20 上述導電線路層108係為信號重分配層(RDL)112。該信 號RDL 112包含導電測試信號重分配層線路114等,可電連 接於該導電線路層108的探測點。依本發明之一實施例的信 號RDL係被示於第3A與3B圖中。 有多數的導電凸體116被製設在信號RDL 112上,而可 10 200535942 將導電線路層108電連接於一封裝物基材的導電線路。該等 導電凸體116包括導電的測試信號凸體118。該等測試信號 凸體m係被製設在信號RDL m上。在_實施例中,該測 試信號凸體118會經由信號RDL 112的測試信號重分配層線 5路114來電連接於導電線路層108的導電線路。例如,該測 試信號凸體118係電連接於測試信號重分配層線路114。該 信號RD L 112可包含任何數目的測試信號重分配層線路,而 不限於第1A圖所示的實施例。·j試信號重分配層線路! H 係可電連接於導電線路13〇之一測試探測點,以供接取一測 10試信號(例如在導電線路130上之該晶粒160的内部信號)。 第1B圖為本發明一實施例之半導體晶粒16〇的截面 圖,其中包含有導電回填物120。為了接引該導電線路層108 之導電線路130的探測點136,故一孔會被鑽入該半導體晶 粒160内至該探測點136處。在一實施例中,該孔係使用一 15 ♦焦離子束(FIB)來鑽設。該孔會被以導電材料回填而形成 可作為測試通路的導電回填物12〇。該導電回填物12〇會電 連接於測試信號重分配層線路114,其係電連接於測試信號 凸體118。從一探測點透過回填物12〇所接取的信號會經由 忒測试彳§號凸體Π8送出該半導體晶粒丨6〇。應請瞭解該回 2〇填物120能被電連接於各種導電測試信號凸體,包括導電凸 體116等’以便將信號輸出該半導體晶粒16〇。在一實施例 中,該探測點136並不會接地。 第1C圖係本發明一實施例之半導體晶粒的放大截面 圖,其中含有導電回填物。如第1C圖所示,該導電線路層 200535942 108含有各導電線路130等。在一實施例中,★玄等、+ 130會被舰132巾料糖_接。該# 電連接於被含設在主動區104之電路中的電 電晶體等)。 Θ 10 15 20 賴點m係電連接於導電線路13〇。應請瞭 測點136亦可為導電祕13G。即是,―,信號可藉^ Π電線路130來引取。在-實施例中,-探測點灣 為-導電線路13〇的-部份,其可由該半導體晶粒刚的第 一表面(例如“頂,,面)來接引,Μ會鬆^導電線路所妨 礙。在-實施例中,該測試點136為導電線路咖的一部份, 而可提供較大的表面積以便電連接(例如,該電路會被加寬 及/或一 ΠΒ接墊會加設於導電線路13〇上)。 一孔會被鑽人該半導體晶粒16_達到探測點⑼處。 該孔嗣會被以導電材料(如鶴、料)來回填,而形成導電回 填物m。該轉物1财將線則观連接於職信號重分 配層線路114 ’其係電連接於導電測試信號凸體118。經由 ㈣從—探測點所接取的信號將可由測試信號凸體 輸出该半導體晶粒160。 弟2Α圖為本發明一實施例之半導體封裝物基材⑽的 面圖丄其包含-外部接點148。該封裝物基材14〇的底面 2含-球柵陣列(BGA)142。該編142可供該基材刚 “、接於外Dp元件,包括一印刷電路板(PCB)。 ,#·、導體封裝物基材140更包含導電線路層144,其設 纹路146。该導電線路層144可包括任何數目的導電 12 200535942 線路’而不限於第2A圖所示的實施例。導電線路146會電連 接於導電觸點15〇和外部接點148。在一實施例中,該外部 接點14 8係能以多種測試相關設備(例如自動測試設備 (=TE),示波器,邏輯分析器,微微探針等)來接引。該導 5電觸點150係可電連接於第1B及1C圖的測試信號凸體 118應叫瞭解該導電線路層144亦可設有導電觸點等來供 電連接於第1Β及1C圖中的其它導電凸體116。 • 第2Β圖為本發明一實施例之覆晶半導體元件2〇〇的截 面圖。該覆晶半導體元件200乃包含第汨圖之具有導電回填 1〇物120的半導體晶粒160及第2Α圖中的半導體封裝物基材 140。該半導體晶粒16〇會被“翻轉”),因此該等導電凸體 116包括測試信號凸體H8將會面對導電線路層114。尤其 疋,測試信號凸體118會電連接於導電觸點15〇。在一實施 •例中,半導體晶粒160會固接於該封裝物基材14〇。在一實 15施例中,該半導體晶粒160係將測試信號凸體118焊接於導 • =觸點150,及將導電凸體116等焊接於導電線路層144的其 它導電觸點(未示出),而來固接於封裝物基材14〇上。在一 貫施例中,於該半導體晶粒160和封裝物基材14〇之間的空 隙會被填滿樹脂膠劑。 2〇 該外部接點148會形成發自導電線路層⑽内探測點 (例如Wc圖中的之信號的通路。藉著在半導體晶粒 160上製成導制試線路114,及在封裝物基材14G上製成導 電線路M6,則其將可不必貫穿該晶粗基材1〇〇和主動區1〇4 鑽設-孔來測量該信號。在其它實施例中,f電觸點15〇會 13 200535942 包連接於—BGA以接取來自一探測點的信號。 第3A圖為本發明一實施例之半導體元件的信號RDL #的頂視圖。在一實施例中,該信號RDL· 300係被製成如 IB、1C和2B圖中的信號RDL 112。該信號舰3〇〇 叹有夕數的導電線路,包括測試信號重分配層線路Μ時。 如圖所示,該等測試信號重分配層線路3〗〇係佈設成一螺旋 圖案。在所示圖案中,言曼有十個測試信號重分配層線路 • 加。惟請瞭解,該信號RDL30G可包含任何數目的測試信 Μ就^分配層線路31〇。一導電線路31〇會電連接於導電測試 〇在例中,該等線路310會被製成可接取多 數m式信號,俾能在不同的電子構件結構粒塊處測試。例 ^叫時導體晶粒可包含多數個別的主動構件導接於許 多層系結構中,而形成眾多不同的功能元件(例如記憶組、 1暫存器、加法器、運算邏輯單元等)。藉著接取在一個別構 15件層中或-“較高,,層系功能元件層中的内部信號,則將 • 彳達到不同程度的粒塊測試。例如,在該加法器的輸入端 ^5虎並在其輸出端接取一信號,即能在一功能元 件層(即違加法旬進行測試。該測試亦可接取一包含於該加 法為内之邏輯閘的輸入端及輸出端的信號,而來在一邏輯 構件層處進仃。該測試又亦可藉接取該邏輯問内所含之一 電晶體的源極和汲極處之信號,而在該主動構件層處進行。 第3BSI係為本發明一實施例之信號RDL 300的部份放 大頂視圖330。如圖所不,該測試信號重分配層線路別會 有‘電凸才曰34〇等與之電連接。在一實施例中,該等測試信 14 200535942 5
號重分配層線路310和導電凸指340係呈圖案佈設,盆中久 線路之間的間隔和線路寬度會被最小化,而不致造成; 干擾,故能對該半導體晶粒之更多表 政。太一與#hi 面積上的^號提供通 340等合、,職信號4分配層線_和導電凸指 矛導m置,而使對各構件和導電線路的開孔 7笔回填料能夠對該半導體晶粒之各構件之間的不同程 又之k 5虎提供通路。信號RDL 300亦包含導電凸體⑽等, 其中會包含一測試信號凸體。 10 15
第4圖為本發明一實施例之半導體晶粒的導電線路層 400之頂,其巾含有各測試探_傷〜在一實施 例中,該導電、線路層400係設在一信號RDL底下。該線路層 400含有導電線路41崎4·。該導電線路4lGa會電連接於 探測點42Ga ’而線路雜則連接於探測點420b。 >;線路層4〇〇更含有通道43〇,而導電材料“ο會貫設其 中。在一實施例中,該導電材料440會電連接於在一底下導 电線路層中的導電線路。於另一實施例中,該導電材料440 則會電連接於該半導體晶粒之主動區内的電子構件(例如 甩晶體)。該導電材料440亦會連接於探測點420c。 20 該等探測點420a〜c係分別可接取傳經導電線路 41〇a、410b及導電材料44〇的信號。在一實施例中,為接引 各探測點420a〜c,有一孔會被鑽入該半導體晶粒中而至一 杉疋的探測點420a〜c處,以形成對該信號以]:^之一測試通 道。在一實施例中,該孔係使用一FIB來開設。該孔會被以 ‘包材料回填而形成一導電回填物。 15 200535942 第圖為本發明一實施例之半導體製造方法500的流程 圖隹Θ霍的纟驟係被揭示於該製法500中,但該等步驟僅 為舉例〜’本發明的實施例亦可適用來進行第5圖中所 示之變化步驟或不同的其它步驟。為容易瞭解起見,該方 法500會配合第ic圖來說明。 500的步驟5〇5時,電子構件會被製設在一個 半‘體上。於—實施例巾,該等電子構件會被設在主 動區HM巾。應請瞭解料電子構件可包括任何使用於一晶 粒中的電子構件,包括 10 15 20 、 〇秸冤日日體寻。又該寻電子構件能使用 任何半導體構件製造技術,例如光微影法來製成。 在步驟51G時,—測試墊會被製設在該晶粒内,而能藉 開孔並回填導電材料來接引。在—實_中,該探測點136 會被形成,並電連接於導電線路13()。應請瞭解該測試整可 被設在-導電線路層(例如1〇8)或主動區(例如ι〇句中,並電 連接於-電子構件。應請瞭解該測試塾可使用多種半導體 製造技術來製成。 在步驟仍時’-信號肌會包含測試信號重分配層線 路而被沈積在該半導體晶粒上。在—實施例中該等導電 線路係餘成能接取多數測試信號。以便在不同電子構件 的結構粒塊處測試,因此個別構件,或構件群組,或功能 元件中的不同《將能被接取。在-實施例中,該等導電 線路會被製成螺旋圖案(例如第3A圖的31〇)。在一實施例 中,有多數的導電凸指(例如第3B_3,會由該等導電線 路伸出’因此該半導體晶粒會有較大的面積能被接引。該 16 200535942 信號RDL可使用任何的半導體製造技術來製成。 5 10 15 20 在步驟53G時,-導電測試信號凸體會被製設來供傳輪 信號至-封裝物基材上的外部接點(例如一外接測試塾)。該 測試信號凸體係設在該半導體晶粒的第一表面上,並電連 接於該信號祖。在-實施例中,如第⑽所示之導電測 ,號凸體118會電連接於錢RDL 112導電線路⑴。在一 只%例中’該半導體晶粒是為一倒裝片晶粒,而可連接於 =封裝物基材,以使料_試信號凸舰電連接於該封 2物基材之—測試信號接取構件,而該測試信號接取構件 接$接於該外部接點。在步驟535時,該信號會在該外部 備,^被純。於—實施财,該信號會被以自動測試設 示波杰、邏輯分析器、微微探針等來接取。 第6圖為本發明—實施例之半導體測試法的流程圖。雖 ,方法_中__定的步驟,但料步驟僅為舉例。 第r圖Atr實施㈣可用來實施不同的其它步驟,或 600合配1變化步驟。為能供容㈣解起見,該方法 曰配口弟1C、2B及3A圖來說明。 之測試的步驟605時―對準於一半導體晶粒内 认5虎重分配層線路(如第1A圖的1M,或第3A圖的 及~測試探剛辱(士 ) 將該測試作^ )之孔的位置會被決定。為 點,—在^^分配層線路114電連接於—所需的探測 -愈一]點上的孔位置會被衫。例如第3A圖所示, W綠路相交的隸置會被決定。在-實施例中, 。〜則點會電連接於該半導體晶粒中之-特定信號線路。 17 200535942 在一實施例中,該信號RDL含有多數的測試信號重分配層 線路佈設成一螺旋圖案。在一實施例中,該信號以〇乙更包 含多數的導電凸指由該多數的測試信號重分配層線路伸 出,而使該半導體晶粒能有較大的面積可被接引。例如,
5該信號RDL能夠涵蓋一晶粒的中央區域,而非如典型的 咖只會涵蓋周邊部份的配電及/或接地接點。藉著涵蓋 -半導體晶粒的大量面積,故依本發明一實施例的信號 RDL將可供接取該半導體晶粒上較大表面積中的信號。 在步驟_時,-孔會被鑽至該半導體晶粒第一表面中 10的才木測點處。在一實施例中,該孔係用一πβ來開設。如第 1C圖所示…孔會貫穿該信號rdl並交叉測試信號重分配 層線路114而下達探測點136。 在步驟615時,該孔會被以導電材料回填來連接信號 咖的測試信號重分配層線路和該探測點。在_實^ 15中’該導電材料係使用—阳來回填。但請瞭解任何的導命 材料皆可使用(例如鎢、鉑等)。 ^ 20 在步驟620時’於該半導體晶粒之第一表面上的導電測 凸體會被電連接於該封裝物基材之第二表面上的導 籌件。_試信號凸體會電連接於信號狐的測試信號 :配層線路。如第2B圖所示,該半導體晶粒會被固裝: =勿基材140上,而使測試信號凸體H8電連接於導電觸 二=::::118會經由測試信號重分配層線路 路_連接於:=Γ 18 200535942 步驟625時,該等測試信號會在該封裝物基材的外部 黑处破測量。於—實施例中,該等測試信號會以各種測 ^設備來測量。在—實施射,該測試信號係為該半導體 晶粒在操作時的内部信號。 一 應 等 請瞭解本發明的元件可被併設於含括在不同電子系 ^之各《晶構件中。例如,—本發明的覆晶元件可被 含設在各種處理資訊的好純内,包括顿枱、圖形系 統、通訊系統、手機、電腦系統、及個人數位助理(如ρ 〇 A) 第7圖係為包腦系統700的方塊圖,該電腦系統之一 貫施例中可應用本發明之各實施例。該電腦系統彻包括中 央處里單元701、主冗憶體7〇2(如隨機存取記憶體)、晶片組 7〇3具有北橋709和南橋705,可去除資料儲存裝置7〇4、輸 入裝置707、信號傳接蟑爾、及圖形次系統71〇連接於一顯 15示σσ720。4电腦系統则包含若干匯流線可導接該電腦系 統7〇0之各構件。傳輸匯流線叫例如前側匯流線)會將晶片 組703的北橋709連接於中央處理單元7〇1。傳輸匯流線 792(例一主記憶體匯流線)會將晶片組7〇3的北橋7〇9連接於 主記憶體702。傳輸匯流線793(例如先進圖形埠介面)會將晶 20片組703的北橋709連接於圖形次系統71〇。傳輸匯流線794 〜797(例如pCI匯流線)等會分別將該晶片組7〇3的南橋7〇5 連接於该可除資料儲存裝置7〇4、輸入裝置7〇7、及信號傳 接槔708。該圖形次系統71〇包含圖形處理器711及圖形緩衝 器 715。 19 200535942 該電腦系統7 0 0之各構件係可協同操作來提供圖形影 像的里現。各傳輪匯流線791〜797會傳輸資訊。中央處理 器7〇ι會處理資訊。主記憶體7〇2可儲存該中央處理器7〇1的 5 資訊和指令。該可除資料儲存裝置7〇4亦能儲存資訊和指令 (可如-大資料儲存器地操作)。該輸入裝置7〇6係為一可供 輸入資訊及/或點出或凸顯顯示器72〇上之資訊的機構。信 號傳接琿708可提供外部裝置的傳接介面(例如與一網路的 介面顯示裝置720可依據儲存於圖形緩衝器715中的資料 10 來顯示資訊。圖形處理器711會處理發自中央處理器701的 圖形指令,並對緩衝器715提供處理後的資料以 顯示器720示出。 15 20 在本發明之-實施例中,該電腦系統7〇〇之各構件係為 依據本發明之實施例的覆晶元件(例如中央處理單元則 主記憶體702、晶片組703的構件,及圖形次系統710的構 件)。在-實施例中’該電腦系統的覆晶元件包 電測試信號凸體(例如第i Α圖中的工18) H ^ 晶粒的内部測試信號,·-測試信號重分配層線㈣盈 等内部測試信號傳至該測試信號凸體,及 該半導體晶粒中的測試信號; J接取 本發明的實施例乃提供—種裝置和方 元件的内部信號。藉著在將該半導體晶粒連接於封^ 材之雨開設-孔’並以導電材料回填該孔,則二二 施例將能提供-低成本且有效率的技術來接取料内= 5虎0 20 200535942 有關本舍明之各貫施例,即可技 丨J接取一覆晶半導體晶粒 之信號的裝置及方法,乃被揭露如上。雖本發明係以特定 實施例來描述’但應可瞭解本發明並不受該等實施例所限 制,而應依以下申請專利範圍來界定。 【圖式簡單說明】 WA圖為本發明_實施例之半導體晶粒的截面圖,其 中包含一測試信號重分配層(RDL)線路。 第1B圖為本發明-實施例之半導體晶粒的截面圖,其 中包含有導電回填物。 10 第1C圖為本發明一實施例之含有導電回填物的半導體 晶粒之截面放大圖。 第2 A圖為本發明一實施例之含有外部接點的半導體封 裝基材之截面圖。 第2B圖為本發明一實施例之覆晶半導體元件的截面 15圖。 弟3 A圖為本發明一實施例之半導體元件的信號RDL之 頂視圖。 第3B圖為本發明一實施例之半導體元件的信號RDL之 部份放大頂視圖。 20 第4圖為本發明一實施例之半導體元件導電線路層的 頂視圖,其中包含一探測點。 第5圖為本發明一實施例之半導體製法的流程圖。 第6圖為本發明一實施例之半導體測試法的流程圖。 第7圖為可實施本發明之電腦系統實施例的方塊圖。 21 200535942 【主要元件符號說明】 100…基材 104···主動區 108,400…導電線路層 112,300…信號重分配層(RDL) 114,310…測試信號重分配層線路 116···導電凸體 118···測試信號凸體 120···導電回填物 130···導電線路 132,430…通道 134···電路構件 136,420a〜c···探測點 140…封裝物基材 142···球栅陣列 144···導電線路層 146,410a、b…導電線路 148···外部接點 150···導電觸點 160···半導體晶粒 200···覆晶半導體元件 320···測試凸體 330…部份放大頂視圖 340···導電凸指 22 200535942 350···導電凸體 440···導電材料 500···半導體製法 505〜535···各步驟 600···半導體測試法 605〜625···各測試步驟 700···電腦系統 701···中央處理單元 702…主記憶體 703···晶片組 704···可去除資料儲存裝置 705···南橋 707···輸入裝置 708···信號傳接埠 709…北橋 710…圖形次系統 711…圖形處理器 715…圖形緩衝器 720…顯示器 791〜797···傳輸匯流線 23

Claims (1)

  1. 200535942 十、申請專利範圍: 1. 一種半導體晶粒,包含: 一導電測試信號凸體可輸出該半導體晶粒的測試 信號; 5 一測試信號重分配層線路可將該等測試信號傳至 該導電測試信號凸體’而該測試信號重分配層線路係被 含設在一重分配層中,且會導接於該導電測試信號凸 $ 體;及 一測試探測點可接取該半導體晶粒内的測試信 10 號,並會電連接該重分配層。 2. 如申請專利範圍第1項的半導體晶粒,其中該半導體晶 粒係為一倒裝片晶粒其可連接於一封裝物基材,而使該 ’ 導電測試信號凸體電連接於該封裝物基材之一外部接 - 點。 15 3.如申請專利範圍第1項的半導體晶粒,其中該測試探測 ^ 點係可由該半導體晶粒的第一表面鑽孔來進入接引。 4.如申請專利範圍第1項的半導體晶粒,其中該測試探測 點包含一聚焦離子束(FIB)接墊,其可藉聚焦離子來鑽孔 及導電材料回填而來進入接引。 20 5.如申請專利範圍第4項的半導體晶粒,其中該ΠΒ接墊係 以該導電材料回填物來導接於該測試信號重分配層線 路。 6.如申請專利範圍第1項的半導體晶粒,其中該測試信號 重分配層線路係被設成可以接取多數的測試信號,以供 24 200535942 在不同的電子構件結構粒塊處測試。 7·如申請專利範圍第1項的半導體晶粒,其中該測試信號 重分配層線路係佈設成一螺旋圖案並具有導電凸指設 於各位置,而使鑽孔和導電材料回填能夠形成内部信號 的通道,以供在不同的電子構件結構粒塊處測試。 8· 一種半導體製造方法: 在該半導體晶粒上製成各電子構件;
    10 15
    20 在忒半導體晶粒中形成一測試探測點,其可藉鑽孔 及導電材料回填來進入接引; 在该半導體晶粒上沈積一導電的測試信號重分配 層線路於一重分配層中;及 圭製成一導電的測試信號凸體以將測試信號傳至一 =裝物基材的外部無,該_信號凸體係設在該半導 1粒的第-表面上並電連接於該信號重分配層。 ^請專利範圍第8項之方法,其巾該半導體晶粒係為 > 1、片4其可連接於該封裝物基材,而使該測試信 ^,體電連接於㈣裝物基材之—測試信號接取構 如由該測試信號接取構件係連接於該外部接點。 ^崎專利範圍第8項之方法,其中該導電線路係被設 接取以的_信號,以供測試列的電子構件結 更包含在該外部接點處 其中該測試信號重分配 如申請專利範圍第8項之方法, 接取蜊試信號。 12·如申請專利範圍第8項之方法, 25 200535942 層線路包含一螺旋圖案且有許多導電凸指由該導電線 路伸出,而使該半導體晶粒之較大面積上的不同電子構 件結構粒塊可被接引來供測試。 13. —種半導體裝置,包含: 5 一封裝物基材可導接在一外部接點處的測試信 號;及 一半導體晶粒具有測試探測點等可被該外部接點 所接引,而該半導體晶粒係電連接於該封裝物基材。
    14. 如申請專利範圍第13項之半導體裝置,其中該封裝物基 材包含: 一第一表面設有球柵陣列; 一第二表面設有導電觸點等可與該半導體晶粒的 導電凸體電連接,其中有一導電觸點能與一導電的測試 信號凸體電連接;及 一線路可將一前述的導電觸點電連接於該外部接 點。 15. 如申請專利範圍第13項之半導體裝置,其中該半導體晶 粒包含: 一導電測試信號凸體可將該半導體晶粒的内部測 20 試信號輸出至該封裝物基材,該測試信號凸體係設在該 半導體晶粒的第一表面上,並電連接於該信號重分配 層; 一重分配層含有一測試信號重分配層線路可將該 等内部信號導接至測試信號凸體,且該信號重分配層會 26 200535942 導接該測試信號凸體, 一測試探測點可接取該半導體晶粒内的測試信 號,並會電連接於該信號重分配層; 一測試通道可將該探測點電連接於信號重分配層。 5 16.如申請專利範圍第15項之半導體裝置,其中該測試探測 點包含一聚焦離子束(FIB)接墊,其可藉聚焦離子來鑽孔 及導電材料回填而來進入接引。 17. 如申請專利範圍第15項之半導體裝置,其中該測試信號 重分配層線路係呈圖案佈設,且其線路寬度和各線路間 10 隔會被最小化而不會造成信號干擾。 18. 如申請專利範圍第1項之半導體裝置,其中該外部接點 係能以自動測試設備來接引。 19. 一種半導體測試方法,包含: 決定一孔的位置,其係對準於一半導體晶粒中的測 15 試信號重分配層線路與一測試探測點; 在該半導體晶粒的第一表面中鑽設一孔至該探測 點; 以導電材料回填該孔來連接該信號重分配層與該 探測點; 20 將該半導體晶粒第一表面上的測試信號導電凸體 電連接該封裝物基材之一第二表面上的導電構件,其中 該導電凸體會電連接於該測試信號重分配層線路;及 在該封裝物基材之一外部接點處來測量測試信號。 20. 如申請專利範圍第18項之方法,其中該探測點係電連接 27 200535942 於該半導體晶粒中之一特定的信號線路。 21. 如申請專利範圍第18項之方法,其中該測試信號係為當 該半導體晶粒在操作時的内部信號。 22. 如申請專利範圍第18項之方法,其中該測量係以自動測 5 試設備來進行。 23. 如申請專利範圍第18項之方法,其中該測試信號重分配 層線路係呈一螺旋圖案佈設。 24. 如申請專利範圍第23項之方法,其中該信號重分配層更 包含多數的導電凸指由該等導電線路伸出,俾使該半導 10 體晶粒有更大的面積能被接引。 25. 如申請專利範圍第18項之方法,其中該鑽孔和回填係使 用一聚焦離子束(FIB)來進行。 26. —種電子系統,包含: 一處理倒裝片元件可處理資訊,該倒裝片元件包含 15 —測試探測點可經由一測試信號重分配層線路及一封 裝物基材上之一外部接點來接取該處理倒裝片的半導 體晶粒内部信號; 一匯流線可將資訊傳輸至該處理倒裝片元件,該匯 流線係連接於該處理倒裝片元件;及 20 —記憶體可儲存該資訊,且該記憶體係連接於匯流 線。 27. 如申請專利範圍第26項之電子系統,其中該封裝物基材 包含: 一第一表面設有一球柵陣列; 28 200535942 / 一第二表面設有導電觸點等可與該半導體晶粒的 導電凸體電連接,包括一導電的測試信號凸體;及 一線路可將一前述的導電觸點電連接於該外部接 點。 5 28.如申請專利範圍第26項之電子系統,其中該半導體晶粒 包含: 一導電測試信號凸體可供輸出該半導體晶粒的内 ^ 部測試信號; 一測試信號重分配層線路可將該等内部測試信號 10 傳輸至該測試信號凸體,而該測試信號重分配層線路係 被含設在一重分配層中,且導接於該測試信號凸體; 一測試探測點可供接取該半導體晶粒的内部測試 ’ 信號,並會電連接於該重分配層。 一 29.如申請專利範圍第28之電子系統,其中該測試探測點包 15 含一聚焦離子束(FIB)接墊,其可藉聚焦離子來鑽孔及導 ^ 電材料回填而來進入接引。 30. 如申請專利範圍第26電子系統,其中該處理倒裝片元件 係可供處理遊戲枱的資訊。 31. 如申請專利範圍第26電子系統,其中該處理倒裝片元件 20 係可供處理圖像資訊。 32. 如申請專利範圍第26電子系統,其中該處理倒裝片元件 係可供處理通訊資訊。 33. 如申請專利範圍第32電子系統,其中該處理倒裝片元件 係可供處理手機的資訊。 29 200535942
    34. 如申請專利範圍第26電子系統,其中該處理倒裝片元件 係可供處理電腦系統中的資訊。 35. 如申請專利範圍第26電子系統,其中該處理倒裝片元件 係可供處理個人數位助理的資訊。 30
TW094105396A 2004-02-27 2005-02-23 Semiconductor die and device, semiconductor fabrication method and test process, and electronic system TWI363183B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/789,637 US7842948B2 (en) 2004-02-27 2004-02-27 Flip chip semiconductor die internal signal access system and method

Publications (2)

Publication Number Publication Date
TW200535942A true TW200535942A (en) 2005-11-01
TWI363183B TWI363183B (en) 2012-05-01

Family

ID=34887325

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094105396A TWI363183B (en) 2004-02-27 2005-02-23 Semiconductor die and device, semiconductor fabrication method and test process, and electronic system

Country Status (5)

Country Link
US (3) US7842948B2 (zh)
CN (1) CN100490142C (zh)
SG (1) SG150557A1 (zh)
TW (1) TWI363183B (zh)
WO (1) WO2005088715A1 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
US7279887B1 (en) * 2004-08-06 2007-10-09 Nvidia Corporation In-process system level test before surface mount
TWI251861B (en) * 2005-06-16 2006-03-21 Etron Technology Inc Re-entrant Routing method and circuit structure
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7589548B2 (en) * 2007-02-22 2009-09-15 Teradyne, Inc. Design-for-test micro probe
US8877565B2 (en) * 2007-06-28 2014-11-04 Intel Corporation Method of forming a multilayer substrate core structure using sequential microvia laser drilling and substrate core structure formed according to the method
US8271252B2 (en) * 2007-11-08 2012-09-18 Nvidia Corporation Automatic verification of device models
US8510616B2 (en) * 2008-02-14 2013-08-13 Nvidia Corporation Scalable scan-based test architecture with reduced test time and test power
US8745200B2 (en) * 2008-05-06 2014-06-03 Nvidia Corporation Testing operation of processors setup to operate in different modes
US8943457B2 (en) * 2008-11-24 2015-01-27 Nvidia Corporation Simulating scan tests with reduced resources
US8110926B2 (en) * 2009-01-30 2012-02-07 Broadcom Corporation Redistribution layer power grid
US9704766B2 (en) * 2011-04-28 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interposers of 3-dimensional integrated circuit package systems and methods of designing the same
US9082764B2 (en) * 2012-03-05 2015-07-14 Corning Incorporated Three-dimensional integrated circuit which incorporates a glass interposer and method for fabricating the same
US9658281B2 (en) * 2013-10-25 2017-05-23 Taiwan Semiconductor Manufacturing Company Limited Alignment testing for tiered semiconductor structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
KR101697603B1 (ko) * 2014-12-08 2017-01-19 삼성전자주식회사 반도체 패키지
US10032756B2 (en) * 2015-05-21 2018-07-24 Mediatek Inc. Semiconductor package assembly with facing active surfaces of first and second semiconductor die and method for forming the same
US20160343685A1 (en) * 2015-05-21 2016-11-24 Mediatek Inc. Semiconductor package assembly and method for forming the same
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) * 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN112904180B (zh) * 2021-01-22 2022-04-19 长鑫存储技术有限公司 芯片测试板及芯片测试方法
TWI754586B (zh) * 2021-05-04 2022-02-01 矽品精密工業股份有限公司 電子封裝件及其製法

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2795755A (en) 1956-05-31 1957-06-11 Test M Mfg Co Inc U Electronic tube testers
US3870953A (en) 1972-08-01 1975-03-11 Roger Boatman & Associates Inc In circuit electronic component tester
US4517729A (en) * 1981-07-27 1985-05-21 American Microsystems, Incorporated Method for fabricating MOS device with self-aligned contacts
US5247689A (en) 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4700293A (en) 1985-05-14 1987-10-13 The United States Of America As Represented By The Secretary Of The Air Force Maintenance port system incorporating software development package
US6753253B1 (en) * 1986-06-18 2004-06-22 Hitachi, Ltd. Method of making wiring and logic corrections on a semiconductor device by use of focused ion beams
US5258648A (en) 1991-06-27 1993-11-02 Motorola, Inc. Composite flip chip semiconductor device with an interposer having test contacts formed along its periphery
JP2774881B2 (ja) 1991-07-26 1998-07-09 シャープ株式会社 ガンマ補正回路
US5262719A (en) * 1991-09-19 1993-11-16 International Business Machines Corporation Test structure for multi-layer, thin-film modules
US5257223A (en) 1991-11-13 1993-10-26 Hewlett-Packard Company Flip-flop circuit with controllable copying between slave and scan latches
US5409568A (en) 1992-08-04 1995-04-25 Vasche; Gregory S. Method of fabricating a microelectronic vacuum triode structure
DE4305442C2 (de) 1993-02-23 1999-08-05 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Erzeugen eines Testvektors
US5428622A (en) 1993-03-05 1995-06-27 Cyrix Corporation Testing architecture with independent scan paths
US5784112A (en) * 1993-07-02 1998-07-21 Canon Kabushiki Kaisha Encoding apparatus
US5880592A (en) 1993-07-15 1999-03-09 Micron Technology, Inc. Modular design for an IC testing burn-in oven
US5579510A (en) 1993-07-21 1996-11-26 Synopsys, Inc. Method and structure for use in static timing verification of synchronous circuits
US5753529A (en) 1994-05-05 1998-05-19 Siliconix Incorporated Surface mount and flip chip technology for total integrated circuit isolation
US5767578A (en) 1994-10-12 1998-06-16 Siliconix Incorporated Surface mount and flip chip technology with diamond film passivation for total integated circuit isolation
US5629240A (en) * 1994-12-09 1997-05-13 Sun Microsystems, Inc. Method for direct attachment of an on-chip bypass capacitor in an integrated circuit
EP1335422B1 (en) * 1995-03-24 2013-01-16 Shinko Electric Industries Co., Ltd. Process for making a chip sized semiconductor device
US5996099A (en) 1995-04-11 1999-11-30 Schlumberger Industries Method and apparatus for automatically testing electronic components in parallel utilizing different timing signals for each electronic component
FR2733323B1 (fr) 1995-04-19 1997-05-30 Schlumberger Ind Sa Procede et equipement de test automatique en parallele de composants electroniques
DE19515591C2 (de) 1995-04-28 1997-05-22 Schroeder Hans Ulrich Dipl Ing Anordnung zur Formierung von vertikalen Kontakten zwischen zwei Leitbahnen in mikroelektronischen Schaltungen mit mehr als zwei Metallisierungslagen
US6133744A (en) * 1995-04-28 2000-10-17 Nec Corporation Apparatus for testing semiconductor wafer
US5635718A (en) 1996-01-16 1997-06-03 Minnesota Mining And Manufacturing Company Multi-module radiation detecting device and fabrication method
US5966021A (en) 1996-04-03 1999-10-12 Pycon, Inc. Apparatus for testing an integrated circuit in an oven during burn-in
US5907562A (en) 1996-07-31 1999-05-25 Nokia Mobile Phones Limited Testable integrated circuit with reduced power dissipation
US5913034A (en) 1996-08-27 1999-06-15 Compaq Computer Corp. Administrator station for a computer system
US6085346A (en) 1996-09-03 2000-07-04 Credence Systems Corporation Method and apparatus for built-in self test of integrated circuits
US5818252A (en) 1996-09-19 1998-10-06 Vivid Semiconductor, Inc. Reduced output test configuration for tape automated bonding
US6011748A (en) 1996-10-03 2000-01-04 Credence Systems Corporation Method and apparatus for built-in self test of integrated circuits providing for separate row and column addresses
US6056784A (en) 1996-10-04 2000-05-02 Synopsys, Inc. Circuit synthesis verification method and apparatus
US6057698A (en) 1996-11-12 2000-05-02 Samsung Electronics Co., Ltd. Test system for variable selection of IC devices for testing
US6307162B1 (en) 1996-12-09 2001-10-23 International Business Machines Corporation Integrated circuit wiring
US6245587B1 (en) 1997-02-25 2001-06-12 International Business Machines Corporation Method for making semiconductor devices having backside probing capability
US5821549A (en) 1997-03-03 1998-10-13 Schlumberger Technologies, Inc. Through-the-substrate investigation of flip-chip IC's
US5807763A (en) * 1997-05-05 1998-09-15 International Business Machines Corporation Electric field test of integrated circuit component
US5909050A (en) * 1997-09-15 1999-06-01 Microchip Technology Incorporated Combination inductive coil and integrated circuit semiconductor chip in a single lead frame package and method therefor
US6097087A (en) * 1997-10-31 2000-08-01 Micron Technology, Inc. Semiconductor package including flex circuit, interconnects and dense array external contacts
US6581189B1 (en) 1998-01-14 2003-06-17 Advanced Micro Devices, Inc. Computer implemented method and program for automating flip-chip bump layout in integrated circuit package design
US6075427A (en) * 1998-01-23 2000-06-13 Lucent Technologies Inc. MCM with high Q overlapping resonator
US5988485A (en) 1998-03-17 1999-11-23 Advanced Micro Devices, Inc. Flux cleaning for flip chip technology using environmentally friendly solvents
US6103549A (en) 1998-03-17 2000-08-15 Advanced Micro Devices, Inc. No clean flux for flip chip assembly
US6247165B1 (en) 1998-03-31 2001-06-12 Synopsys, Inc. System and process of extracting gate-level descriptions from simulation tables for formal verification
US6519729B1 (en) 1998-06-27 2003-02-11 Texas Instruments Incorporated Reduced power testing with equally divided scan paths
US6128727A (en) 1998-08-21 2000-10-03 Advanced Micro Devices, Inc. Self modifying code to test all possible addressing modes
US6114892A (en) 1998-08-31 2000-09-05 Adaptec, Inc. Low power scan test cell and method for making the same
US6081429A (en) 1999-01-20 2000-06-27 Micron Technology, Inc. Test interposer for use with ball grid array packages assemblies and ball grid array packages including same and methods
US6297654B1 (en) 1999-07-14 2001-10-02 Cerprobe Corporation Test socket and method for testing an IC device in a dead bug orientation
US6246252B1 (en) * 1999-07-30 2001-06-12 Sun Microsystems, Inc. Efficient debug package design
JP4428489B2 (ja) 1999-08-23 2010-03-10 パナソニック株式会社 集積回路装置及びそのテスト方法
GB9920077D0 (en) 1999-08-24 1999-10-27 Sgs Thomson Microelectronics Scan latch circuit
US6511901B1 (en) 1999-11-05 2003-01-28 Atmel Corporation Metal redistribution layer having solderable pads and wire bondable pads
US6380555B1 (en) * 1999-12-24 2002-04-30 Micron Technology, Inc. Bumped semiconductor component having test pads, and method and system for testing bumped semiconductor components
US7404127B2 (en) 2000-01-10 2008-07-22 Texas Instruments Incorporated Circuitry with multiplexed dedicated and shared scan path cells
US6769080B2 (en) 2000-03-09 2004-07-27 Texas Instruments Incorporated Scan circuit low power adapter with counter
EP1146343B1 (en) 2000-03-09 2005-02-23 Texas Instruments Incorporated Adapting Scan-BIST architectures for low power operation
US6429532B1 (en) * 2000-05-09 2002-08-06 United Microelectronics Corp. Pad design
AU7457401A (en) * 2000-06-21 2002-01-02 Hitachi Maxell, Ltd. Semiconductor chip and semiconductor device using the semiconductor chip
US6392432B1 (en) 2000-06-26 2002-05-21 Advanced Micro Devices, Inc. Automated protection of IC devices from EOS (electro over stress) damage due to an undesired DC transient
US6420888B1 (en) 2000-09-29 2002-07-16 Schlumberger Technologies, Inc. Test system and associated interface module
JP2002148309A (ja) 2000-11-13 2002-05-22 Hitachi Ltd 半導体集積回路
US6472895B2 (en) 2000-12-06 2002-10-29 Advanced Micro Devices, Inc. Method and system for adapting burn-in boards to multiple burn-in systems
US6621112B2 (en) * 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
US7765443B1 (en) 2001-03-19 2010-07-27 Credence Systems Corporation Test systems and methods for integrated circuit devices
US6534853B2 (en) * 2001-06-05 2003-03-18 Chipmos Technologies Inc. Semiconductor wafer designed to avoid probed marks while testing
US6961937B2 (en) 2001-07-11 2005-11-01 Sun Microsystems, Inc. Registry service for use in a distributed processing framework system and methods for implementing the same
US6874107B2 (en) 2001-07-24 2005-03-29 Xilinx, Inc. Integrated testing of serializer/deserializer in FPGA
US6856007B2 (en) * 2001-08-28 2005-02-15 Tessera, Inc. High-frequency chip packages
US7020699B2 (en) 2001-09-11 2006-03-28 Sun Microsystems, Inc. Test result analyzer in a distributed processing framework system and methods for implementing the same
US6961885B2 (en) 2001-11-26 2005-11-01 Ati Technologies, Inc. System and method for testing video devices using a test fixture
US6844218B2 (en) * 2001-12-27 2005-01-18 Texas Instruments Incorporated Semiconductor wafer with grouped integrated circuit die having inter-die connections for group testing
JP3885587B2 (ja) 2002-01-16 2007-02-21 ヤマハ株式会社 演奏制御装置及び演奏制御用プログラム、並びに記録媒体
TW548414B (en) 2002-01-29 2003-08-21 Via Tech Inc Automatic integrated circuit overall machine testing system, apparatus and its method
US6590294B1 (en) * 2002-02-13 2003-07-08 Industrial Technology Research Institute Device for bump probing and method of fabrication
US6720195B2 (en) 2002-05-15 2004-04-13 Micron Technology, Inc. Methods employing elevated temperatures to enhance quality control in microelectronic component manufacture
US20040015762A1 (en) 2002-07-22 2004-01-22 Finisar Corporation Scalable system testing tools
TW567329B (en) 2002-07-30 2003-12-21 Via Tech Inc Auto system-level test apparatus and method
US6747342B1 (en) 2002-08-09 2004-06-08 Lovoltech, Inc. Flip-chip packaging
US6686615B1 (en) 2002-08-20 2004-02-03 Chipmos Technologies (Bermuda) Ltd. Flip-chip type semiconductor device for reducing signal skew
JP4131651B2 (ja) 2002-08-21 2008-08-13 富士通株式会社 スキャン機能を有する集積回路のレイアウト方法
US6750646B1 (en) 2002-10-04 2004-06-15 Nvidia Corporation Apparatus for environmental testing of a device in situ, and method thereof
US6744067B1 (en) * 2003-01-17 2004-06-01 Micron Technology, Inc. Wafer-level testing apparatus and method
JP4141857B2 (ja) * 2003-02-18 2008-08-27 日立マクセル株式会社 半導体装置
US6876215B1 (en) 2003-02-27 2005-04-05 Credence Systems Corporation Apparatus for testing semiconductor integrated circuit devices in wafer form
US7512851B2 (en) 2003-08-01 2009-03-31 Syntest Technologies, Inc. Method and apparatus for shifting at-speed scan patterns in a scan-based integrated circuit
US7444559B2 (en) 2004-01-28 2008-10-28 Micron Technology, Inc. Generation of memory test patterns for DLL calibration
KR100568733B1 (ko) * 2004-02-10 2006-04-07 삼성전자주식회사 개선된 구조적 안정성을 갖는 캐패시터와 그 제조 방법 및이를 포함하는 반도체 장치와 그 제조 방법
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
JP2005300308A (ja) 2004-04-09 2005-10-27 Oki Electric Ind Co Ltd 半導体集積回路
US7279887B1 (en) 2004-08-06 2007-10-09 Nvidia Corporation In-process system level test before surface mount
US7216050B1 (en) 2004-12-07 2007-05-08 Nvidia Corporation System and method for testing a printed circuit board assembly
US20070016834A1 (en) 2005-07-13 2007-01-18 Texas Instruments Incorporated Reducing Power Dissipation During Sequential Scan Tests
TWI270953B (en) * 2005-08-17 2007-01-11 Advanced Semiconductor Eng Substrate and testing method thereof
US7544621B2 (en) * 2005-11-01 2009-06-09 United Microelectronics Corp. Method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process and etching method
US7761751B1 (en) 2006-05-12 2010-07-20 Credence Systems Corporation Test and diagnosis of semiconductors
US20080122463A1 (en) 2006-06-30 2008-05-29 Sanjay Dabral Testing microelectronic devices using electro-optic modulator probes
US7495466B1 (en) 2006-06-30 2009-02-24 Transmeta Corporation Triple latch flip flop system and method
JP2009544012A (ja) 2006-07-10 2009-12-10 アステリオン・インコーポレイテッド 試験システムで処理を実行するシステムおよび方法
JP2008122159A (ja) 2006-11-09 2008-05-29 Toshiba Corp 半導体集積回路
US7846782B2 (en) 2007-09-28 2010-12-07 Sandisk 3D Llc Diode array and method of making thereof
JP4696227B2 (ja) 2007-12-28 2011-06-08 スパンション エルエルシー 半導体装置の製造方法
US8742796B2 (en) 2011-01-18 2014-06-03 Nvidia Corporation Low energy flip-flops

Also Published As

Publication number Publication date
CN1914726A (zh) 2007-02-14
US20050191770A1 (en) 2005-09-01
US8357931B2 (en) 2013-01-22
US8951814B2 (en) 2015-02-10
WO2005088715A1 (en) 2005-09-22
US20130221354A1 (en) 2013-08-29
CN100490142C (zh) 2009-05-20
TWI363183B (en) 2012-05-01
SG150557A1 (en) 2009-03-30
US7842948B2 (en) 2010-11-30
US20080128695A1 (en) 2008-06-05

Similar Documents

Publication Publication Date Title
TW200535942A (en) System and method pertaining to semiconductor dies
Mahajan et al. Embedded multi-die interconnect bridge (EMIB)--a high density, high bandwidth packaging interconnect
Huang et al. Wafer level system integration of the fifth generation CoWoS®-S with high performance Si interposer at 2500 mm2
JP2011128159A (ja) 信号測定方法及び装置
WO2016073790A1 (en) Integrated device package comprising silicon bridge in an encapsulation layer
US8809073B2 (en) Apparatus and methods for de-embedding through substrate vias
TWI600099B (zh) 平面延伸電導體超越基材邊緣的方法和設備
WO2007071492A1 (en) Physically highly secure multi-chip assembly
US20130168673A1 (en) Intra Die Variation Monitor Using Through-Silicon Via
TW200822250A (en) Method of chip manufacturing
US9581638B2 (en) Chip-on-wafer process control monitoring for chip-on-wafer-on-substrate packages
US20160013125A1 (en) Integrated device comprising coaxial interconnect
TW201436125A (zh) 用於固態硬碟(ssd)應用,不同密度組態及直接反及閘(nand)存取之統一印刷電路板(pcb)設計
US8312407B2 (en) Integration of open space/dummy metal at CAD for physical debug of new silicon
TWI621194B (zh) 測試介面板組件
EP2962535A2 (en) Package substrate with testing pads on fine pitch traces
US10090215B2 (en) System and method for dual-region singulation
TWI431278B (zh) 半導體測試探針卡空間變換器的製造方法
US10101381B2 (en) Space transformation methods
TW201906037A (zh) 測試介面板組件及其製造方法
WO2023283991A1 (zh) 一种接触插塞电阻值的测量方法及测试结构
Shin et al. Signal Integrity Design and Analysis of Universal Chiplet Interconnect Express (UCIe) Channel in Silicon Interposer for Advanced Package
TW514742B (en) Internal probe pad for failure analysis