TWI363183B - Semiconductor die and device, semiconductor fabrication method and test process, and electronic system - Google Patents

Semiconductor die and device, semiconductor fabrication method and test process, and electronic system Download PDF

Info

Publication number
TWI363183B
TWI363183B TW094105396A TW94105396A TWI363183B TW I363183 B TWI363183 B TW I363183B TW 094105396 A TW094105396 A TW 094105396A TW 94105396 A TW94105396 A TW 94105396A TW I363183 B TWI363183 B TW I363183B
Authority
TW
Taiwan
Prior art keywords
conductive
test signal
test
redistribution layer
semiconductor die
Prior art date
Application number
TW094105396A
Other languages
English (en)
Other versions
TW200535942A (en
Inventor
Brian S Schieck
Howard Lee Marks
Original Assignee
Nvidia Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nvidia Corp filed Critical Nvidia Corp
Publication of TW200535942A publication Critical patent/TW200535942A/zh
Application granted granted Critical
Publication of TWI363183B publication Critical patent/TWI363183B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2884Testing of integrated circuits [IC] using dedicated test connectors, test elements or test circuits on the IC under test
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/94Laser ablative material removal

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

1363183 九、發明說明: 【發明所屬之技術領域】 發明領域 本發明係有關積體電路的領域。更具言之,本發明的 5 實施例係提一種可接取半導體晶粒之内部電信號的裝置和 方法。本發明係揭露一覆晶半導體晶粒内部信號接取系統 和方法。 【先前技術】 發明背景 10 對積體電路設計和製造之一重要項目係為一積體電路 的測試和瑕疵檢測。典型的測試包括分析其内部的電信 號。該等信號分析可用來檢查電路性能或進行積體電路的 故障分析。 有一種積體電路包含一主動區(例如電晶體)製設在一 15 基材内。具言之,該主動區會被設在靠近該積體電路的頂 面處。傳統用來接取一積體電路内部信號的方法通常包括 直接在該積體電路頂面利用一聚焦離子來(FIB)來接取該等 信號。但是,傳統的方式在使用該ΠΒ來測試倒裝晶片時會 有一些困難。 20 倒裝晶片是一種積體電路,其中該晶片的主動區會被 正面朝下地“翻覆倒轉”。取代正面朝上而由該晶片的外 緣以導線搭接於該封裝體的引線,該倒裝晶片的主動區表 面可被用來互接,其典型係藉金屬焊料凸體來完成。這些 凸體會被焊接於該封裝體基材上或該電路板本身上,並以 5 ⑧ 勝劑由底下來填塞。該倒裝晶片可使用大量具有比導線更 短距二Γ物’而能大大地減少電感。 由於倒敦晶片(覆晶元件)會因對該晶片頂面的通路受 限,而較難以^ —電路性能或分析晶片上的信號瑕疫。該 片的頂面_裝於封裝體基材上,因此該覆晶元件的主 動區較難W由頂面進人。而鑽孔貫穿底面的晶片基材來進 入該覆晶元件之主知r 王動區的方法會較困難。通常’該晶片基 材曰比該積體電路的主動區更厚許多。例如,—晶片基材 可有700μηι厚,而 . 叫一主動區僅約為ΙΟμηι。欲探測一倒裝晶 片之主動區的線路’該FIB必須鑽出-適當尺寸之孔貫穿該 晶 ^由於該基材較厚,故鑽孔貫穿需要相當的努力。 因ΠΒ製程典型非常昂貴,故要鑽穿底面的晶粒基材 通常成本不低。且,由於該基材較厚,故該FIB探測點必須 非常精準。 ' 【發明内容】 發明概要 毛明係揭露-種可對覆晶半導髓“的信號提供通 路的裝置和方沐。a 一孔會被鑽入一該半導體晶粒中至一測 試探測點。1# ^ 會被以一導電材料回填,而將該探測點電 彳°坡重分配層。該信號重分配層的導電凸體會電 一封裝基材的導電觸點。該封裝基材的外部接點會 ==该導電觸點,因此該覆晶半導體晶粒的信號將可 在卜。卩接點處來接取測量。 種可接取-覆晶元件之㈣信號的裝置和方法乃被
— 只々e例甲’該I 導電材料回填而來接引 。該導電材料會電連接於該信號 一孔鑽入該半導體晶粒♦而來接 該探測點係可藉聚焦離子束鑽孔並以
1〇 在一實施例中,導電線路會被設在該信號- ^在各電子構件結構粒塊處的多數測試信號 、 貫轭例中,該等導電線路會被佈設成一螺旋圖案, =有導電凸指設於各位置,故對底下構件的鑽孔和導電材 ;回填能對該半導體晶粒之各構件間不同程度的信號提供 通路。 15人在一實施例中,本發明係提供一種半導體元件,其包 封裝基材可將測試信號導引至一外部接點上,及一半 導體曰曰粒具有探測點等可被該外部接點接引,而該半導體 係電連接於該封裝基材。在—實施例中,該封裝基材 3第表面δ又有球柵陣列,和一第二表面設有導電觸 可與包3冑電測試信號凸體之半導體晶粒的導電凸體 電連接A 、線路可將該等導電測試信號凸體和導電觸點 電連接於該外部接點。 在另-實施例中,本發明包含一種半導體測試方法。 對準於-半導體晶粒中之信號肌與—探測點的孔之位置 7 會被決定。在一實施例中,該探測點會電連接於該半 晶粒中之一特疋的k號線路。一通至該探測點之孔I、體 設在該半導體晶粒的第一表面中。該孔會被以導電材;、皮開 填來連接該信號RDL和探測點。在一實施例中,与^料回 回填步驟係用一 FIB來進行。 ”及 在該半導體晶粒之第一表面上的導電測試信號凸體 連接於該封裝基材的第二表面上之一導電構件,其中=會 電凸韙會電連接於該信號RDL。測試信號會在該封裝^ ^ 的外部接點處被測量。於一實施例中,該測試信號係 半導體晶粒在操作時的内部信號。在一實施例中,該測= 係以自動測試設備來進行。 圖式簡單說明 所附圖式係併入本說明書中而構成本說明書的一部 份,乃示出本發明之各實施例,且能與所述内容一起用來 解釋本發明的原理。應可瞭解該等圓式並未依比例繪製, 除非有特別地明示。 第1A圖為本發明一實施例之半導體晶粒的截面圖,其 中包含一測試信號重分配層(RDL)線路。 第1B圖為本發明一實施例之半導體晶粒的截面圖,其 中包含有導電回填物。 第ic圖為本發明一實施例之含有導電回填物的半導體 晶粒之截面放大圖。 第2A圓為本發明一實施例之含有外部接點的半導體封 裝基材之截面圖。 第2 B圖為本發明一實施例之覆晶半導體元件的截面 圖。 第3A圖為本發明一實施例之半導體元件的信號RDL之 頂視圖。 第3B圖為本發明一實施例之半導體元件的信號RDL之 部份放大頂視圖。 第4圖為本發明一實施例之半導體元件導電線路層的 頂視圖,其中包含一探測點。 第5圖為本發明一實施例之半導體製法的流程圖。 第6圖為本發明一實施例之半導體測試法的流程圖。 第7圖為可實施本發明之電腦系統實施例的方塊圖。 【實施方式】 較佳實施例之詳細說明 現將對本發明之各實施例詳細說明,該各例係被示於 所附圖式中。雖本發明係配合各實施例來說明,但請瞭解 本發明並非僅限於該等實施例。相反地,本發明乃涵蓋如 申請專利範圍所界定之本發明的精神和範疇可含括之各種 變化修正及等效實施。又,在本發明的以下詳細說明中, 各種特定細節會被描述以供徹底瞭解本發明。但,專業人 士輕易可知本發明亦能不用該等特定細節來實施。在某些 情沿下,習知的方法、程序、構件、和電路等並未被詳細 說明,以免不必要地模糊本發明的概念。 應請瞭解本發明能以多種實施例來實施。在一實施例 令’本發明可被應狀能支持各_㈣途包括電玩遊戲 4之處理系統中。例如,本發明能被應用於一晶片組中來 進行例如遊戲枱、個人電腦、個人數位助理、手機或任何 數目之可作電玩遊戲的平台等之圖形操作處理。亦請瞭解 電玩遊戲用途僅為舉例,而本發明並不限於該等應用範 圍。 第1A圖為本發明一實施例之半導體晶粒16〇的截面 圖。該半導體晶粒160包含晶粒基材1〇〇,其上設有主動巴 104。該主動區104含有該半導體晶粒的電路,包括電晶體 等。應請瞭解該主動區104可使用多種半導體製造技術來形 成,包括光微影法等。 半導體晶粒160更包含導電線路層1〇8設在主動區1〇4 上。該等導電線路層108含有導電線路(例如13〇)可電連接主 動區!〇4的電路。該等導電線路可用來傳輪主動區1〇4之各 電路間的信號。在一實施例中,該等導電線路層1〇8乃包含 至少一測試探測點136可供接取該等導電線路的信號。在一 實施例中,該探測點136可為導電線路13〇上的任何點。在 另-實施例中,該主動區1 〇 4包含至少—探測點。雖在說明 中係指該導電線路層1G 8的探測點,惟本發明的實施例亦可 包括該主動區104中的探測點。 上述導電線路層108係為信號重分配層(RDL)112。該信 號RDL 112包含導電測試信號重分配層線路HA等,可電連 接於該導電線路層10 8的探測點。依本發明之一實施例的信 號RDL係被示於第3A與3B圖中。 有多數的導電凸體116被製設在信號RDL 112上,而可 1363183 將導電線路層1G8f連接於—封裝祕_導電線路。該等 導電凸體116包括導電的測試信號凸體118。該等測試信號 凸體11S係被製設在信號狐m上。在一實施例中,該測 試仏號凸體118會經由信號肋乙112的測試信號重分配層線 5路114來電連接於導電線路層108的導電線路。例如,該測 試信號凸體118係電連接於測試信號重分配層線路i 14。該 信號RDL 112可包含任何數目的測試信號重分配層線路,而 不限於第1A圖所不的實施例。該測試信號重分配層線路i 14 係可電連接於導電線路13〇之—測試探測點,以供接取一測 10試信號(例如在導電線路130上之該晶粒160的内部信號)。 第1B圖為本發明一實施例之半導體晶粒16〇的截面 圖,其中包含有導電回填物120。為了接引該導電線路層1〇8 之導電線路130的探測點136,故一孔會被鑽入該半導體晶 粒160内至該探測點136處。在一實施例中,該孔係使用一 15聚焦離子束(FIB)來鑽設❶該孔會被以導電材料回填而形成 可作為測試通路的導電回填物120。該導電回填物120會電 連接於測試信號重分配層線路114,其係電連接於測試信號 凸體118。從一探測點透過回填物120所接取的信號會經由 該測試信號凸體118送出該半導體晶粒160。應請瞭解該回 20 填物120能被電連接於各種導電測試信號凸體,包括導電凸 體116等,以便將信號輸出該半導體晶粒160〇在一實施例 中,該探測點136並不會接地。 第1C圖係本發明一實施例之半導體晶粒的放大截面 圖,其中含有導電回填物。如第1C圖所示,該導電線路層 11 ⑧ 1363183 實施例中,該等導電線路 接。該等導電線路13〇亦 路中的電路構件134(如 108含有各導電線路13〇等。在—實施$ 130會被通道132中的導電材料電連接。 電連接於被含設在主動區104之電路y 電晶體等)。
而^提供較大的表_續電連接(例如,該電路會被加寬 及或一FIB接墊會加設於導電線路13〇上)。 一孔會被鑽入該半導體晶粒16〇内達到探測點136處。
叫㈣㈣從—探測點所接取的信號將可由測試信號四體 118輸出該半導體晶粒160。 第2A圖為本發明一實施例之半導體封裝物基材 140的 2〇截其包含—外部接點148。該封裝物基材14〇的底面 上匕3球栅陣列(BGA)142。該BGA 142可供該基材刚 電連接於外部元件,包括-印刷電路板(PCB)。 "玄半導體封裝物基材140更包含導電線路層144,其設 有導電線路146。該導電線路層144可包括任何數目的導電 12 ⑧ 1363183 線路’而不限於第2A圖所示的實施例。導電線路146會電連 接於導電觸點15〇和外部接點148 ◊在一實施例中,該外部 接點148係能以多種測試相關設備(例如自動測試設備 (ATE),示波器’邏輯分析器’微微探針等)來接引。該導 5電觸點150係可電連接於第1B及1C圖的測試信號凸體 U8 °應請瞭解該導電線路層144亦可設有導電觸點等來供 電連接於第1B及1C圖中的其它導電凸體116。
第2B圖為本發明一實施例之覆晶半導體元件2〇〇的截 面圖。該覆晶半導體元件200乃包含第1B圖之具有導電回填 1〇物120的半導體晶粒160及第2A圖中的半導體封裝物基材 140。該半導體晶粒160會被“翻轉”),因此該等導電凸體 U6包括測試信號凸體118將會面對導電線路層114。尤其 是,測試信號凸體118會電連接於導電觸點15〇。在一實施 例中,半導體晶粒160會固接於該封裝物基材14〇。在一實 知例中,該半導體晶粒160係將測試信號凸體118焊接於導 電觸點⑼,及將導電凸體U6等焊接於導電線路層⑷的其 它導電觸點(未示出),而來固接於封裝物基材14〇上。在一 實施例中,於該半導體晶粒⑽和封裝物基材⑽之間的空 隙會被填滿樹脂膠劑。 20 該外部接點148會形成發自導電線路層·内探_ (例如第!《中的U6)之信號的通路。藉著在半導體晶粒 160上㈣導電測試線路114’及在封裝物基材丨40上製成導 電線路146’則其將可不必貫穿該晶粗基材刚和主動區綱 鑽設-孔來測量該信號。在其它實施例中,導電觸點15〇會 ⑧ 13 電連接於一BGA以接取來自一探測點的信號。 第3Α圖為本發明一實施例之半導體元件的信號RDl 3〇〇的頂視圖。在-實施财,該信號咖则係被製成如 第1A、1B、1C和则中的信號RDLu2。該信號狐3〇〇 设有多數的導電料,包_試錢重纽層線路则等。 如圖所示,該等測試信號重分配層線路3職佈設成一螺旋 圖案。在所示圖案中,設有十個測試信號重分配層線路 31〇。惟請瞭解,該信號RDL3〇〇可包含任何數目的測試信 號重分配祕路·…導電祕31Q會電連騎導電測試 凸體320。在一實施例中,該等線路31〇會被製成可接取多 數測試信號,俾能在㈣的電子構件結構粒塊處測試。例 如’-個半導體晶粒可包含多數個別的主動構件導接於許 多_構令’而形成幕多不同的功能元件(例如記憶組、 暫存器、加法H、運算邏輯單元等)。藉著接取在—個別構 件層中或車交冑層系功能元件層巾的内部信號,則將 可達到不同程度的粒塊測試。例如,在該加法器的輸入端 接取一信號,並在其輸出端接取H即能在_功能元 件層(即該加法器)進行測試。該測試亦可接取一包含於該加 法器内之邏輯閘的輸入端及輸出端的信號,而來在一邏輯 構件層處進行。該測試又亦可藉接取該邏輯閘内所含之〆 電曰曰體的源極和汲極處之信號,而在該主動構件層處進行。 第3B圖係為本發明一實施例之信號RDL· 300的部份放 大頂視圖330。如圖所示’該測試信號重分配層線路31〇會 有導電凸指340等與之電連接。在一實施例中,該等測試信 號重分配層線路310和導電凸指34Q係呈圖案佈設,其中各 線路之間的_和線路t度會被最小化,而不致造成信號 干擾,故⑧對斜導體晶粒之更多表面積上的信號提供通 路。在一實施例+,測試信號重分配層線路310和導電凸指 34〇等會被設在許多位置,而使對各構件和導電線路的開孔 和導電回填料能夠對該半導體晶粒之各構件之間的不同程 度之彳°號知供通路。k號RDL 300亦包含導電凸體35〇等, 其中會包含一測試信號凸體。 第4圖為本發明一實施例之半導體晶粒的導電線路層 400之頂視圖,其中含有各測試探測點42〇a〜c。在一實施 例中,該導電線路層400係設在一信號RDL底下。該線路層 400含有導電線路410a和410b。該導電線路4l〇a會電連接於 探測點420a,而線路410b則連接於探測點420b。 該線路層400更含有通道430,而導電材料440會貫設其 中。在一實施例中,該導電材料440會電連接於在一底下導 電線路層中的導電線路。於另一實施例中,該導電材料440 則會電連接於該半導體晶粒之主動區内的電子構件(例如 電晶體)。該導電材料440亦會連接於探測點420c。 該等探測點420a〜c係分別可接取傳經導電線路 410a、41 Ob及導電材料440的信號。在一實施例中,為接引 各探測點420a〜c,有一孔會被鑽入該半導體晶粒中而至一 指定的探測點420a〜c處,以形成對該信號RDL之一測試通 道。在一實施例中,該孔係使用一ΠΒ來開設。該孔會被以 導電材料回填而形成一導電回填物。 第5圖為本發明一實施例之半導體製造方法5〇〇的流程 圖。雖明確的步驟係被揭示於該製法5〇〇中但該等步驟僅 為舉例。即是’本發明的實施例亦可仙來進行第5圖中所 系之變化步驟或不同的其它步驟。為容易瞭解起見,該方 法500會配合第ic圖來說明。 在該方法的步驟5〇5時,電子構件會被製設在一個 半導體晶粒上。於-實施射,該等電子構件會被設在主 動區104中。應請瞭解該等電子構件可包括任何使用於一晶 雜中的電子構件,包括電晶體等。又該等電子構件能使用 任何半導體構件製造技術,例如光微影法來製成。 在步驟510時,-測試墊會被製設在該晶粒内,而能藉 開孔並回填導f材料來接引。在-實施例中,該探測點136 會被形成’並電連接於導電線路130。應請瞭解該測試墊可 被設在一導電線路層(例如108)或主動區(例如i〇4)中,並電 速接於一電子構件。應請瞭解該測試墊可使用多種半導體 製造技術來製成。 在步驟515時,一信號RDL會包含測試信號重分配層線 路而被沈積在該半導體晶粒上。在一實施例中,該等導電 線路係被設成能接取多數測試信號。以便在不同電子構件 的結構粒塊處測試,因此個別構件,或構件群組,或功能 元件中的不同信號將能被接取。在一實施例中,該等導電 線路會被製成螺旋圖案(例如第3A圖的310)。在一實施例 中,有多數的導電凸指(例如第3B圖的340)會由該等導電緩 路伸出,因此該半導體晶粒會有較大的面積能被接引。診 在步驟62 5時,該等測試信號會在該封裝物基材的外部 接=處被測量。於-實施例中,該等測試信號會以各種測 式。X備來測量。在—實施例巾,該測試信麟為該半導體 晶粒在操作時的内部信號。 應請瞭解本發明的元件可被併設於含括在不同電子系 統内之各種覆晶構件卜例如,―本發明的覆晶元件可被 3 °又在各種處理資訊的電子系統内,包括遊戲抬、圖形系 、’先通訊系統、手機、電腦系統、及個人數位助理(如PDA) 等。 第7圖係為—電腦系統7〇〇的方塊圖,該電腦系統之一 κ施例中可應用本發明之各實施例。該電腦系統彻包括中 央處理單元701、主記憶體7〇2(如隨機存取記憶體)、晶片組 7〇3具有北橋709和南橋705,可去除資料儲存裝置7〇4、輸 入裝置707、信號傳接埠708、及圖形次系統71〇連接於一顯 示器720。該電腦系統7〇〇包含若干匯流線可導接該電腦系 統700之各構件。傳輸匯流線791(例如前側匯流線)會將晶片 組7〇3的北橋709連接於中央處理單元7〇1。傳輸匯流線 792(例一主記憶體匯流線)會將晶片組7〇3的北橋7〇9連接於 主記憶體702。傳輸匯流線793(例如先進圖形埠介面)會將晶 片組703的北橋709連接於圖形次系統71〇。傳輸匯流線794 〜797(例如PCI匯流線)等會分別將該晶月組7〇3的南橋7〇5 連接於該可除資料儲存裝置704 '輸入裝置7〇7、及信號傳 接埠708。該圖形次系統710包含圖形處理器71丨及圖形緩衝 丄妁3183 該電腦系統之各構件係可協同操作來提供圖形影 像的呈現。各傳輸匯流線791〜797會傳輸資訊。中央處理 器701會處理資訊。主記憶體7〇2可儲存該中央處理器別的 資訊和指令。該可除資料儲存裝置7〇4亦能儲存資訊和指令 (可如一大資料儲存器地操作)。該輸入裝置7〇6係為一可供 輸入資訊及/或點出或凸顯顯示器上之資訊的機構。信 號傳接埠708可提供外部裝置的傳接介面(例如與—網路的. 介面)。顯示裝置720可依據儲存於圖形緩衝器715中的資料 ίο 來顯示資訊。圖形處理器711會處理發自中央處理器7〇1的 圖形指令,並對緩衝器715提供處理後的資料以供儲存及被 顯示器720示出。 15 在本發明之一實施例中,該電腦系統7〇〇之各構件係為 依據本發明之貫施例的覆晶元件(例如令央處理單元: 主記憶體702、晶片組703的構件,及圖形次系統71〇的構 件)。在一實施例中,該電腦系統7〇〇的覆晶元件包含一導 電測試信號凸體(例如第1A圖中的118),其可導出該半導體 晶粒的内部測試信號;一測試信號重分配層線路114可將該 等内部測試信號傳至該測試信號凸體,及一探測點可接取 該半導體晶粒中的測試信號; 20 本發明的實施例乃提供_種裝置和方法來接取—覆晶 元件的内部信號。藉著在將該半導體晶粒連接於封裝物基 材之前開設一孔,並以導電材料回填該孔,則本發明的實 施例將能提供一低成本且有效率的技術來接取該等内部俨 號。 。 ⑧ 20 1363183 有關本發明之各實施例,即可接取一覆晶半導體晶粒 之信號的裝置及方法,乃被揭露如上。雖本發明係以特定 實施例來描述,但應可瞭解本發明並不受該等實施例所限 制,而應依以下申請專利範圍來界定。 5【圖式簡單說明】 第1A圖為本發明一實施例之半導體晶粒的截面圖,其 中包含一測試信號重分配層(RDL)線路。 第1B圖為本發明一實施例之半導體晶粒的截面圖,其 中包含有導電回填物。 10 第1C圖為本發明一實施例之含有導電回填物的半導體 晶粒之截面放大圖。 第2 A圖為本發明一實施例之含有外部接點的半導體封 裝基材之截面圖。 第2B圖為本發明一實施例之覆晶半導體元件的截® 15 圖。 第3A圖為本發明一實施例之半導體元件的信號RDL之 頂視圖。 第3B圖為本發明一實施例之半導體元件的信號RDL之 部份放大頂視圖。 20 第4圖為本發明一實施例之半導體元件導電線路層的 頂視圖,其中包含一探測點。 第5圖為本發明一實施例之半導體製法的流程圖。 第6圖為本發明一實施例之半導體測試法的流程圖。 第7圖為可實施本發明之電腦系統實施例的方塊圖。 21 ⑧ 1363183 【主要元件符號說明】 100…基材 104···主動區 108,400…導電線路層 112,300…信號重分配層(RDL) 114,310…測試信號重分配層線路 116···導電凸體 118···測試信號凸體 120···導電回填物 130···導電線路 132,430…通道 134···電路構件 136,420a〜c.··探測點 140···封裝物基材 142···球柵陣列 144···導電線路層 146,410a、b·..導電線路 148···外部接點 150···導電觸點 160···半導體晶粒 200···覆晶半導體元件 320…測試凸體 330···部份放大頂視圖 340…導電凸指 22 ⑧

Claims (1)

1363183 案號:94105396 100年10月11曰修正-替換頁 十、申請專利範圍: 1. 一種半導體晶粒(semiconductor die),包含: 一導電測試信號凸體,用以輸出該半導體晶粒的多 個測試信號; 一測試信號重分配層線路,用以將該等測試信號傳 至s玄導電測§弍彳§號體’其中該測試信號重分配層線路 係佈設成被含設在一重分配層中的一螺旋圖案,且該測 10 試信號重分配層線路係被設成使得在該晶粒内且沿著 該測試信號重分配層線路,在不同程度的電子構件粒度 (granularity)下,該等測試信號可被接取,該測試信號重 分配層線路可傳輸地(c〇mmunicativeiy)接於該導電測試 信號凸體;及 -測試探測點,“接取該半導體晶粒㈣該等測 試信號,並用以將一導體電連接至該重分配層。 15 2·如申請專鄕㈣1項料導體錄,其找半導體晶 粒係為-覆晶晶粒其係被構形以可連接於一封裝物基 材,而使該測試制點電連接於該封裝物基材之一外部 接點(access point)。 20 3.如申請專利範圍第1項的半導體純,其中該測試探測 點係藉由自該半導體晶粒的一第一表面錢孔而可 入接引。 4,如申請專利範圍第1項的半導體晶敍,其中該測試探測 點包含—聚焦離子東_)接塾,該聚焦離子束接塾可藉 由聚焦離子束鑽孔及導電材料回填而㈣人接弓卜其中 24 1363183 5
15
20 案號:94105396 100年10月11曰修正-替換頁 該FIB接塾係藉該導電材料.回填來可傳輸地接於該測試 信號重分配層線路。 5.如申請專利範圍第】項的半導體晶粒,其中該測試信號 重分配層線路係專用於該等測試信號。 6·如申請專利範圍第1的半導體晶粒,其中該測試信號 重分配層線路係佈設成該螺旋圖案並具有設於多個位 置的多個導電凸指,而使鑽孔和導電材料回填提供針對 夕個内部彳έ號的通道(access),以供在不同的電子構件 結構粒度下測試。 7· —種半導體製造方法: 在一半導體晶粒上形成多個電子構件; 在該半導體晶粒中形成一測試探測點,該測試探測 點係藉由鑽孔及導電材料回填來進入接引; 在該半導體晶粒上沈積一測試信號重分配層線路 於一重分配層中,該測試信號重分配層線路包含多個導 電線路;及 製成一導電的測試信號凸體以將一測試信號傳至- 一封裝物基材的一外部接點,該導電的測試信號凸體係 設在該半導體晶粒的第一表面上並電連接於該重分配 層〇 &如申請專利範圍第7項之方法,其中該半導體晶粒係為 —覆晶晶粒其係被構形以可連接於該封裝物基材,而使 該導電的測試信號凸體電連接於該封裝物基材之一測 試信號接取構件(test signal access component),該測試 25
信號接取構件錢接於該外部接點。 其中該等導電線路係被 ’以供在不同的電子構件 9.如申請專利範圍第7項之方法, 設成使得該測試信號可被接取, 結構粒度下測試。 更包含在該外部接點處 10·如申請專利範圍第7項之方法, 接取該測試信號。 其中該測試信號重分配 11·如申請專利範圍第7項之方法, 構件結構粒度可被接引來供測試。 12. —種半導體裝置,包含: 層線路包含-螺棚案且有衫導電凸指由該等導電 線路伸出’而使該半導體晶粒之較大面積上的不同電子 封敦物基材m在—外部接點處傳輸多個測 試信號;j 一半導體晶粒具有多個測試探測點可被該外部接 15 點所接引,而該半導體晶粒係電連接於該封裝物基材, 其中該半導體晶粒包括: 一導電測試信號凸體,用以將該半導體晶粒的 該等測試信號輸出至該封裝物基材,其中該導電測 試信號凸體係位於該半導截晶粒的第一表面上,並 20 電連接於一測試信號重分配層線路; —測試信號重分配層線路,用以將該等測試信 號傳輪至該導電測試信號凸體,其中該測試信號重 分配層線路係佈設成被含設在一重分配層中的一 螺知圖案’且違測6式彳5號重分配層線路係被設成使 26 1363183 ' 案號:94105396 100年10月11日修正-替換頁 5 • 得在晶粒内且沿著該測試信號重分配層線路,在不 同程度的電子構件粒度下,該等測試信號可被接 取,該測試信號重分配層線路可傳輸地接至該導電 測試信號凸體; 一測試探測點,用以接取該半導體晶粒内的該 等測試信號並用以電連接於該重分配層;及 一測試通道(test access via),用以將該測試探 測點電連接於該重分配層。 13.如申請專利範圍第12項之半導體裝置,其中該封裝物基 10 材包含: 一第一表面設有球柵陣列; 一第二表面設有多個導電觸點,用以與該半導體晶 粒的多個導電凸體電連接,其中有一導電觸點,用以與 該導電測試信號凸體電連接,·及 15 • 一線路,用以將該等導電觸點之一電連接於該外部 接點。 14:如申請專别範圍第12項之半導體裝置,其中該測試探測 點包含一聚焦離子束(FIB)接墊,其可藉聚焦離子束鑽孔 及導電材料回填而來進入接引。 20 15. 如申請專利範圍第12項之半導體裝置,其中該測試信號 重分配'層線路係呈多個圖案佈設,且於該等圖案中多値 線路寬度和多個重分配層線路之間的間隔會被最小化 而不會造成信號干擾。 16. 如申請專利範圍第丨3項之半導體裝置,其中該外部接點 27 1363183 案號·· 94105396 100年10月11日修正-替換頁 係能以自動測試設備來接引。 17. 如申請專利範圍第12項的半導體裝置,其中該測試信號 重分配層線路係佈設成該螺旋圖案並具有設於多個位 置的多個導電凸指,而使鑽孔和導電材料回填提供針對 5 多個内部信號的通道,以供在不同的電子構件結構粒度 下測試。 18. 如申請專利範圍第12項的半導體裝置,其中當該半導體 晶粒運作時,該等測試信號之一為一半導體晶粒信號。 19. 一種半導體測試方法,包含: 10 決定一鑽孔位置,其係對準於一半導體晶粒中的一 . ·.· 測試信號重分配層線路與一測試探測點; 在該半導體晶粒的一第一表面中鑽設一孔至該測 試探測點; 以導電材料回填該孔來連接該測試信號重分配層 15 線路與該測試探測點; 將該半導體晶粒之該第一表面上的一測試信號導 電凸體電連接該封裝物基材之一第二表面上的一導電 構件,其中該導電凸體係被電連接於該測試信號重分配 層線路;及 20 在該封裝物基材之一外部接點處來測量多個測試 佶號。 20. 如申請專利範圍第19項之方法,其中該測試探測點係電 連接於該半導體晶粒中之一特定的信號線路。 21. 如申請專利範圍第19項之方法,其中當該半導體晶粒在 28 1363183
10
案號:94105396 100年10月丨1曰修正-替換頁 操作時,該等測試信號之一係一内部半導體晶粒信號。 22. 如申請專利範圍第19項之方法,其中該測量係以自動測 試設備來進行。 23. 如申請專利範圍第19項之方法,其中該測試信號重分配 層線路係呈一螺旋圖案佈設。 24. 如申請專利範圍第23項之方法,其中該測試信號重分配 層線路更包含多數的由多數的導電線路伸出之導電凸 指,俾使該半導體晶粒有更大的面積能被接引。 25. 如申請專利範圍第19項之方法,其中該鑽孔和回填係使 用一聚焦離子束(FIB)來進行。 26. —種電子系統,包含: 一處理覆晶元件,係用於處理資訊,其中該處理覆 晶元件包含一測試探測點,用以經由一測試信號重分配 層線路及一封裝物基材上之一外部接點來接取該處理 覆晶元件的一半導體晶粒的一内部信號; 一匯流線,係用於將資訊傳輸至該處理覆晶元件, 該匯流線係連接於該處理覆晶元件;及 一記憶體,係用於儲存該資訊,且該記憶體係連接 於該匯流線。 20 27.如申請專利範圍第26項之電子系統,其中該封裝物基材 包含: 一第一表面設有一球柵陣列; 一第二表面設有多個導電觸點,用以與該半導體晶 粒的多個導電凸體電連接,包括一導電測試信號凸體; 29 1363183 案號:94105396 100年10月11日修正-替換頁 及 一線路,係用以將該等導電觸點之一電連接於該外 部接點。 28. 如申請專利範圍第26項之電子系統,其中該半導體晶粒 5 包含: 一導電測試信號凸體*係用以輸出該半導體晶粒的 多個内部測試信號; 一測試信號重分配層線路,係用於將該等内部測試 信號傳輸至該導電測試信號凸體,其中測試信號重分配 10 線路係被含設在一重分配層中,且該測試信號重分配層 線路係可傳輸地接於該導電測試信號凸體; 一測試探測點,係用以接取該半導體晶粒的該等内 部測試信號,並用以電連接於該重分配層。 29. 如申請專利範圍第28項之電子系統,其中該測試探測點 15 包含一聚焦離子束(FIB)接墊,其可藉由聚焦離子束鑽孔 及導電材料回填而來進入接引。 30. 如申請專利範圍第26項之電子系統,其中該處理覆晶元 件係用以處理遊戲台的資訊。 31. 如申請專利範圍第26項之電子系統,其中該處理覆晶元 20 件係用以處理圖像資訊。 31如申請專利範圍第26項之電子系統,其中該處理覆晶元 件係用以處理通訊f訊。 33.如申請專利範圍第32項之電子系統,其中該處理覆晶元 件係用以處理手機的資訊。 30 1363183 34. 如申請專利範圍第26項之電子系統 件係用以處理電腦系統中的資訊。 35. 如申請專利範圍第26項之電子系統 件係用以處理個人數位助理的資訊 案號:94105396 100年10月11曰修正-替換頁 其中談處理覆晶元 ,其中該處理覆晶元
31 1363183 案號:941053% 100年10月11曰修正-替換頁 七、指定代表圖: (一) 本案指定代表圖為:第(1A )圖。 (二) 本代表圖之元件符號簡單說明: 116···導電凸體 118···測試信號凸體 130···導電線路 136···探測點 160···半導體晶粒 100…基材 104···主動區 108···導電線路層 112···信號重分配層(RDL) 114…測試信號重分配層線路 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式:
TW094105396A 2004-02-27 2005-02-23 Semiconductor die and device, semiconductor fabrication method and test process, and electronic system TWI363183B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/789,637 US7842948B2 (en) 2004-02-27 2004-02-27 Flip chip semiconductor die internal signal access system and method

Publications (2)

Publication Number Publication Date
TW200535942A TW200535942A (en) 2005-11-01
TWI363183B true TWI363183B (en) 2012-05-01

Family

ID=34887325

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094105396A TWI363183B (en) 2004-02-27 2005-02-23 Semiconductor die and device, semiconductor fabrication method and test process, and electronic system

Country Status (5)

Country Link
US (3) US7842948B2 (zh)
CN (1) CN100490142C (zh)
SG (1) SG150557A1 (zh)
TW (1) TWI363183B (zh)
WO (1) WO2005088715A1 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
US7279887B1 (en) * 2004-08-06 2007-10-09 Nvidia Corporation In-process system level test before surface mount
TWI251861B (en) * 2005-06-16 2006-03-21 Etron Technology Inc Re-entrant Routing method and circuit structure
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7589548B2 (en) * 2007-02-22 2009-09-15 Teradyne, Inc. Design-for-test micro probe
US8877565B2 (en) * 2007-06-28 2014-11-04 Intel Corporation Method of forming a multilayer substrate core structure using sequential microvia laser drilling and substrate core structure formed according to the method
US8271252B2 (en) * 2007-11-08 2012-09-18 Nvidia Corporation Automatic verification of device models
US8510616B2 (en) * 2008-02-14 2013-08-13 Nvidia Corporation Scalable scan-based test architecture with reduced test time and test power
US8745200B2 (en) * 2008-05-06 2014-06-03 Nvidia Corporation Testing operation of processors setup to operate in different modes
US8943457B2 (en) * 2008-11-24 2015-01-27 Nvidia Corporation Simulating scan tests with reduced resources
US8110926B2 (en) * 2009-01-30 2012-02-07 Broadcom Corporation Redistribution layer power grid
US9704766B2 (en) * 2011-04-28 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interposers of 3-dimensional integrated circuit package systems and methods of designing the same
US9082764B2 (en) * 2012-03-05 2015-07-14 Corning Incorporated Three-dimensional integrated circuit which incorporates a glass interposer and method for fabricating the same
US9658281B2 (en) * 2013-10-25 2017-05-23 Taiwan Semiconductor Manufacturing Company Limited Alignment testing for tiered semiconductor structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
KR101697603B1 (ko) * 2014-12-08 2017-01-19 삼성전자주식회사 반도체 패키지
US20160343685A1 (en) * 2015-05-21 2016-11-24 Mediatek Inc. Semiconductor package assembly and method for forming the same
US10032756B2 (en) * 2015-05-21 2018-07-24 Mediatek Inc. Semiconductor package assembly with facing active surfaces of first and second semiconductor die and method for forming the same
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN112904180B (zh) * 2021-01-22 2022-04-19 长鑫存储技术有限公司 芯片测试板及芯片测试方法
TWI754586B (zh) * 2021-05-04 2022-02-01 矽品精密工業股份有限公司 電子封裝件及其製法

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2795755A (en) * 1956-05-31 1957-06-11 Test M Mfg Co Inc U Electronic tube testers
US3870953A (en) * 1972-08-01 1975-03-11 Roger Boatman & Associates Inc In circuit electronic component tester
US4517729A (en) * 1981-07-27 1985-05-21 American Microsystems, Incorporated Method for fabricating MOS device with self-aligned contacts
US5247689A (en) * 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4700293A (en) * 1985-05-14 1987-10-13 The United States Of America As Represented By The Secretary Of The Air Force Maintenance port system incorporating software development package
US6753253B1 (en) * 1986-06-18 2004-06-22 Hitachi, Ltd. Method of making wiring and logic corrections on a semiconductor device by use of focused ion beams
US5258648A (en) * 1991-06-27 1993-11-02 Motorola, Inc. Composite flip chip semiconductor device with an interposer having test contacts formed along its periphery
JP2774881B2 (ja) * 1991-07-26 1998-07-09 シャープ株式会社 ガンマ補正回路
US5262719A (en) * 1991-09-19 1993-11-16 International Business Machines Corporation Test structure for multi-layer, thin-film modules
US5257223A (en) * 1991-11-13 1993-10-26 Hewlett-Packard Company Flip-flop circuit with controllable copying between slave and scan latches
US5409568A (en) * 1992-08-04 1995-04-25 Vasche; Gregory S. Method of fabricating a microelectronic vacuum triode structure
DE4305442C2 (de) * 1993-02-23 1999-08-05 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Erzeugen eines Testvektors
US5428622A (en) * 1993-03-05 1995-06-27 Cyrix Corporation Testing architecture with independent scan paths
US5784112A (en) * 1993-07-02 1998-07-21 Canon Kabushiki Kaisha Encoding apparatus
US5880592A (en) * 1993-07-15 1999-03-09 Micron Technology, Inc. Modular design for an IC testing burn-in oven
US5579510A (en) * 1993-07-21 1996-11-26 Synopsys, Inc. Method and structure for use in static timing verification of synchronous circuits
US5753529A (en) 1994-05-05 1998-05-19 Siliconix Incorporated Surface mount and flip chip technology for total integrated circuit isolation
US5767578A (en) 1994-10-12 1998-06-16 Siliconix Incorporated Surface mount and flip chip technology with diamond film passivation for total integated circuit isolation
US5629240A (en) * 1994-12-09 1997-05-13 Sun Microsystems, Inc. Method for direct attachment of an on-chip bypass capacitor in an integrated circuit
DE69635397T2 (de) * 1995-03-24 2006-05-24 Shinko Electric Industries Co., Ltd. Halbleitervorrichtung mit Chipabmessungen und Herstellungsverfahren
US5996099A (en) * 1995-04-11 1999-11-30 Schlumberger Industries Method and apparatus for automatically testing electronic components in parallel utilizing different timing signals for each electronic component
FR2733323B1 (fr) * 1995-04-19 1997-05-30 Schlumberger Ind Sa Procede et equipement de test automatique en parallele de composants electroniques
US6133744A (en) * 1995-04-28 2000-10-17 Nec Corporation Apparatus for testing semiconductor wafer
DE19515591C2 (de) 1995-04-28 1997-05-22 Schroeder Hans Ulrich Dipl Ing Anordnung zur Formierung von vertikalen Kontakten zwischen zwei Leitbahnen in mikroelektronischen Schaltungen mit mehr als zwei Metallisierungslagen
US5635718A (en) * 1996-01-16 1997-06-03 Minnesota Mining And Manufacturing Company Multi-module radiation detecting device and fabrication method
US5966021A (en) * 1996-04-03 1999-10-12 Pycon, Inc. Apparatus for testing an integrated circuit in an oven during burn-in
US5907562A (en) * 1996-07-31 1999-05-25 Nokia Mobile Phones Limited Testable integrated circuit with reduced power dissipation
US5913034A (en) * 1996-08-27 1999-06-15 Compaq Computer Corp. Administrator station for a computer system
US6085346A (en) * 1996-09-03 2000-07-04 Credence Systems Corporation Method and apparatus for built-in self test of integrated circuits
US5818252A (en) * 1996-09-19 1998-10-06 Vivid Semiconductor, Inc. Reduced output test configuration for tape automated bonding
US6011748A (en) * 1996-10-03 2000-01-04 Credence Systems Corporation Method and apparatus for built-in self test of integrated circuits providing for separate row and column addresses
US6056784A (en) * 1996-10-04 2000-05-02 Synopsys, Inc. Circuit synthesis verification method and apparatus
US6057698A (en) * 1996-11-12 2000-05-02 Samsung Electronics Co., Ltd. Test system for variable selection of IC devices for testing
US6307162B1 (en) * 1996-12-09 2001-10-23 International Business Machines Corporation Integrated circuit wiring
US6245587B1 (en) * 1997-02-25 2001-06-12 International Business Machines Corporation Method for making semiconductor devices having backside probing capability
US5821549A (en) * 1997-03-03 1998-10-13 Schlumberger Technologies, Inc. Through-the-substrate investigation of flip-chip IC's
US5807763A (en) * 1997-05-05 1998-09-15 International Business Machines Corporation Electric field test of integrated circuit component
US5909050A (en) * 1997-09-15 1999-06-01 Microchip Technology Incorporated Combination inductive coil and integrated circuit semiconductor chip in a single lead frame package and method therefor
US6097087A (en) * 1997-10-31 2000-08-01 Micron Technology, Inc. Semiconductor package including flex circuit, interconnects and dense array external contacts
US6581189B1 (en) 1998-01-14 2003-06-17 Advanced Micro Devices, Inc. Computer implemented method and program for automating flip-chip bump layout in integrated circuit package design
US6075427A (en) * 1998-01-23 2000-06-13 Lucent Technologies Inc. MCM with high Q overlapping resonator
US5988485A (en) 1998-03-17 1999-11-23 Advanced Micro Devices, Inc. Flux cleaning for flip chip technology using environmentally friendly solvents
US6103549A (en) 1998-03-17 2000-08-15 Advanced Micro Devices, Inc. No clean flux for flip chip assembly
US6247165B1 (en) * 1998-03-31 2001-06-12 Synopsys, Inc. System and process of extracting gate-level descriptions from simulation tables for formal verification
US6519729B1 (en) * 1998-06-27 2003-02-11 Texas Instruments Incorporated Reduced power testing with equally divided scan paths
US6128727A (en) * 1998-08-21 2000-10-03 Advanced Micro Devices, Inc. Self modifying code to test all possible addressing modes
US6114892A (en) * 1998-08-31 2000-09-05 Adaptec, Inc. Low power scan test cell and method for making the same
US6081429A (en) * 1999-01-20 2000-06-27 Micron Technology, Inc. Test interposer for use with ball grid array packages assemblies and ball grid array packages including same and methods
US6297654B1 (en) * 1999-07-14 2001-10-02 Cerprobe Corporation Test socket and method for testing an IC device in a dead bug orientation
US6246252B1 (en) * 1999-07-30 2001-06-12 Sun Microsystems, Inc. Efficient debug package design
JP4428489B2 (ja) * 1999-08-23 2010-03-10 パナソニック株式会社 集積回路装置及びそのテスト方法
GB9920077D0 (en) * 1999-08-24 1999-10-27 Sgs Thomson Microelectronics Scan latch circuit
US6511901B1 (en) * 1999-11-05 2003-01-28 Atmel Corporation Metal redistribution layer having solderable pads and wire bondable pads
US6380555B1 (en) * 1999-12-24 2002-04-30 Micron Technology, Inc. Bumped semiconductor component having test pads, and method and system for testing bumped semiconductor components
US7404127B2 (en) * 2000-01-10 2008-07-22 Texas Instruments Incorporated Circuitry with multiplexed dedicated and shared scan path cells
DE60108993T2 (de) * 2000-03-09 2005-07-21 Texas Instruments Inc., Dallas Anpassung von "Scan-BIST"-Architekturen für einen Betrieb mit niedrigem Verbrauch
US6769080B2 (en) * 2000-03-09 2004-07-27 Texas Instruments Incorporated Scan circuit low power adapter with counter
US6429532B1 (en) * 2000-05-09 2002-08-06 United Microelectronics Corp. Pad design
US6838773B2 (en) * 2000-06-21 2005-01-04 Hitachi Maxell, Ltd. Semiconductor chip and semiconductor device using the semiconductor chip
US6392432B1 (en) * 2000-06-26 2002-05-21 Advanced Micro Devices, Inc. Automated protection of IC devices from EOS (electro over stress) damage due to an undesired DC transient
US6420888B1 (en) * 2000-09-29 2002-07-16 Schlumberger Technologies, Inc. Test system and associated interface module
JP2002148309A (ja) * 2000-11-13 2002-05-22 Hitachi Ltd 半導体集積回路
US6472895B2 (en) * 2000-12-06 2002-10-29 Advanced Micro Devices, Inc. Method and system for adapting burn-in boards to multiple burn-in systems
US6621112B2 (en) * 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
US7765443B1 (en) * 2001-03-19 2010-07-27 Credence Systems Corporation Test systems and methods for integrated circuit devices
US6534853B2 (en) * 2001-06-05 2003-03-18 Chipmos Technologies Inc. Semiconductor wafer designed to avoid probed marks while testing
US6961937B2 (en) * 2001-07-11 2005-11-01 Sun Microsystems, Inc. Registry service for use in a distributed processing framework system and methods for implementing the same
US6874107B2 (en) * 2001-07-24 2005-03-29 Xilinx, Inc. Integrated testing of serializer/deserializer in FPGA
US6856007B2 (en) * 2001-08-28 2005-02-15 Tessera, Inc. High-frequency chip packages
US7020699B2 (en) * 2001-09-11 2006-03-28 Sun Microsystems, Inc. Test result analyzer in a distributed processing framework system and methods for implementing the same
US6961885B2 (en) * 2001-11-26 2005-11-01 Ati Technologies, Inc. System and method for testing video devices using a test fixture
US6844218B2 (en) * 2001-12-27 2005-01-18 Texas Instruments Incorporated Semiconductor wafer with grouped integrated circuit die having inter-die connections for group testing
JP3885587B2 (ja) * 2002-01-16 2007-02-21 ヤマハ株式会社 演奏制御装置及び演奏制御用プログラム、並びに記録媒体
TW548414B (en) * 2002-01-29 2003-08-21 Via Tech Inc Automatic integrated circuit overall machine testing system, apparatus and its method
US6590294B1 (en) * 2002-02-13 2003-07-08 Industrial Technology Research Institute Device for bump probing and method of fabrication
US6720195B2 (en) * 2002-05-15 2004-04-13 Micron Technology, Inc. Methods employing elevated temperatures to enhance quality control in microelectronic component manufacture
US20040015762A1 (en) * 2002-07-22 2004-01-22 Finisar Corporation Scalable system testing tools
TW567329B (en) * 2002-07-30 2003-12-21 Via Tech Inc Auto system-level test apparatus and method
US6747342B1 (en) 2002-08-09 2004-06-08 Lovoltech, Inc. Flip-chip packaging
US6686615B1 (en) * 2002-08-20 2004-02-03 Chipmos Technologies (Bermuda) Ltd. Flip-chip type semiconductor device for reducing signal skew
JP4131651B2 (ja) * 2002-08-21 2008-08-13 富士通株式会社 スキャン機能を有する集積回路のレイアウト方法
US6750646B1 (en) * 2002-10-04 2004-06-15 Nvidia Corporation Apparatus for environmental testing of a device in situ, and method thereof
US6744067B1 (en) * 2003-01-17 2004-06-01 Micron Technology, Inc. Wafer-level testing apparatus and method
JP4141857B2 (ja) * 2003-02-18 2008-08-27 日立マクセル株式会社 半導体装置
US6876215B1 (en) * 2003-02-27 2005-04-05 Credence Systems Corporation Apparatus for testing semiconductor integrated circuit devices in wafer form
US7512851B2 (en) * 2003-08-01 2009-03-31 Syntest Technologies, Inc. Method and apparatus for shifting at-speed scan patterns in a scan-based integrated circuit
US7444559B2 (en) * 2004-01-28 2008-10-28 Micron Technology, Inc. Generation of memory test patterns for DLL calibration
KR100568733B1 (ko) * 2004-02-10 2006-04-07 삼성전자주식회사 개선된 구조적 안정성을 갖는 캐패시터와 그 제조 방법 및이를 포함하는 반도체 장치와 그 제조 방법
US7842948B2 (en) * 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
JP2005300308A (ja) * 2004-04-09 2005-10-27 Oki Electric Ind Co Ltd 半導体集積回路
US7279887B1 (en) * 2004-08-06 2007-10-09 Nvidia Corporation In-process system level test before surface mount
US7216050B1 (en) * 2004-12-07 2007-05-08 Nvidia Corporation System and method for testing a printed circuit board assembly
US20070016834A1 (en) * 2005-07-13 2007-01-18 Texas Instruments Incorporated Reducing Power Dissipation During Sequential Scan Tests
TWI270953B (en) * 2005-08-17 2007-01-11 Advanced Semiconductor Eng Substrate and testing method thereof
US7544621B2 (en) * 2005-11-01 2009-06-09 United Microelectronics Corp. Method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process and etching method
US7761751B1 (en) * 2006-05-12 2010-07-20 Credence Systems Corporation Test and diagnosis of semiconductors
US20080122463A1 (en) * 2006-06-30 2008-05-29 Sanjay Dabral Testing microelectronic devices using electro-optic modulator probes
US7495466B1 (en) 2006-06-30 2009-02-24 Transmeta Corporation Triple latch flip flop system and method
US8442795B2 (en) * 2006-07-10 2013-05-14 Bin1 Ate, Llc System and method for performing processing in a testing system
JP2008122159A (ja) * 2006-11-09 2008-05-29 Toshiba Corp 半導体集積回路
US7846782B2 (en) 2007-09-28 2010-12-07 Sandisk 3D Llc Diode array and method of making thereof
JP4696227B2 (ja) 2007-12-28 2011-06-08 スパンション エルエルシー 半導体装置の製造方法
US8742796B2 (en) 2011-01-18 2014-06-03 Nvidia Corporation Low energy flip-flops

Also Published As

Publication number Publication date
US8357931B2 (en) 2013-01-22
CN100490142C (zh) 2009-05-20
US20050191770A1 (en) 2005-09-01
TW200535942A (en) 2005-11-01
US20080128695A1 (en) 2008-06-05
CN1914726A (zh) 2007-02-14
US8951814B2 (en) 2015-02-10
US20130221354A1 (en) 2013-08-29
SG150557A1 (en) 2009-03-30
US7842948B2 (en) 2010-11-30
WO2005088715A1 (en) 2005-09-22

Similar Documents

Publication Publication Date Title
TWI363183B (en) Semiconductor die and device, semiconductor fabrication method and test process, and electronic system
EP3216055B1 (en) Integrated device package comprising silicon bridge in an encapsulation layer
US9970961B2 (en) Probe card for testing wafers with fine pitch circuit
CN104124229B (zh) 具有在嵌入式管芯上捕获导电部件的高密度互连设计的封装衬底
US8809073B2 (en) Apparatus and methods for de-embedding through substrate vias
JP2014062925A (ja) 信号測定装置
JP5412667B2 (ja) 積層lsiチップのシステム検査のための方法および検査システム
WO2014168946A1 (en) Low cost interposer comprising an oxidation layer
US11476168B2 (en) Die stack override for die testing
US11830809B2 (en) Magnetic structures in integrated circuit package supports
TW201903416A (zh) 用於極小節距積體電路測試的裝置,包括該裝置的構造和使用的方法
US20230197620A1 (en) Methods, systems, apparatus, and articles of manufacture for integrated circuit package substrates with high aspect ratio through glass vias
US20230230923A1 (en) Microelectronic die including swappable phy circuitry and semiconductor package including same
Limansyah et al. 3D image sensor SiP with TSV silicon interposer
US11990709B2 (en) High speed differential pinout arrangement including a power pin
TWI431278B (zh) 半導體測試探針卡空間變換器的製造方法
US20230415253A1 (en) Liquid metal (lm) dispensing apparatus and methods for design and operation of same
Choi An industrial perspective of 3d ic integration technology from the viewpoint of design technology
Li Failure Analysis Challenges for Chip Scale Packages (2022 Update)
US20240194548A1 (en) Apparatus and method for electroless surface finishing on glass
US20230299049A1 (en) Microelectronic structure including active base substrate with through vias between a top die and a bottom die supported on an interposer
US20240222248A1 (en) Architectures and methods for metal lamination on a glass layer
EP4155954A1 (en) Test and debug support with hbi chiplet architecture
Bieniek et al. Innovative 3D system development by multifunctional IC interposer platform-signal integrity and thermal management-solutions for high performance computing
US20230380067A1 (en) Compressed pinouts for high-speed differential pairs