JP4428489B2 - 集積回路装置及びそのテスト方法 - Google Patents

集積回路装置及びそのテスト方法 Download PDF

Info

Publication number
JP4428489B2
JP4428489B2 JP23490699A JP23490699A JP4428489B2 JP 4428489 B2 JP4428489 B2 JP 4428489B2 JP 23490699 A JP23490699 A JP 23490699A JP 23490699 A JP23490699 A JP 23490699A JP 4428489 B2 JP4428489 B2 JP 4428489B2
Authority
JP
Japan
Prior art keywords
flip
flop
signal
integrated circuit
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23490699A
Other languages
English (en)
Other versions
JP2001059856A (ja
Inventor
光保 太田
貞巳 竹岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP23490699A priority Critical patent/JP4428489B2/ja
Priority to US09/637,867 priority patent/US6625784B1/en
Priority to TW089117156A priority patent/TW475108B/zh
Publication of JP2001059856A publication Critical patent/JP2001059856A/ja
Priority to US10/281,230 priority patent/US7203913B2/en
Priority to US11/646,433 priority patent/US20070106965A1/en
Application granted granted Critical
Publication of JP4428489B2 publication Critical patent/JP4428489B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318575Power distribution; Power saving
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318502Test of Combinational circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • G01R31/318591Tools
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、スキャンテスト回路を備えた集積回路装置及びそのテスト方法に関するものである。
【0002】
【従来の技術】
従来より、システムLSIなどの集積回路装置において、内部に多数の回路を備えるとともに、この回路の試験のためにスキャンテスト回路を備えたものが知られている。
【0003】
図13は、集積回路装置の設計段階において設計しようとする回路のデータをデータベースから取り出して設計する状態を示す斜視図である。データベースには、各回路に関するデータがCORE1,CORE2,CORE3,CORE4として登録されており、これらのCOREをデータベースから取り出して、集積回路装置内に適宜配置する。これらのCOREのデータとしては、以前に利用したものを再利用する場合もあれば新規に作成する場合もある。
【0004】
そして、図13には示されていないが、集積回路装置内の各論理回路の試験を行うためのスキャンテスト回路が形成されているものがある。このスキャンテスト方式とは、集積回路装置内のフリップフロップを利用して、集積回路装置内の各要素(特にロジック回路の要素)が正常に動作するかどうかなどを検査するものであって、これらのフリップフロップを数珠つなぎにして、試験モードのときにはこれをシフトレジスタとして動作させるスキャンテスト回路を形成する方式である。そして、集積回路装置の入出力ピンを、シフト入力端子及びシフト出力端子として利用することにより、内部のフリップフロップが外部からアクセスできるようになる。また、この方式によって、内部の論理回路を組合せ回路として取り扱うことができるので、自己診断機能を実現することができる。ここで、組合せ回路とは、記憶動作を行なわない素子であるAND,OR,ゲート等の素子によって構成された回路をいう。
【0005】
図14(a)は、スキャンテスト回路を備えた従来のシステムLSIの一部を示す図である。同図に示すように、システムLSI中の内部回路である組合せ回路110と別の組合せ回路110との間には、各組合せ回路110に接続されるスキャンテスト用のフリップフロップ111A〜111Fが設けられている。なお、図14(a)においては、組合せ回路110を1つしか表示していないが、実際には、多数の組合せ回路が設けられており、各組合せ回路と組合せ回路との間には、スキャンテスト回路を構成するフリップフロップが配置されている。
【0006】
ここで、フリップフロップ111は、データ信号を取り込むためのD端子と、スキャンテスト信号を取り込むためのDT端子と、クロック信号を取り込むためのクロック端子と、動作モード設定用のNT信号を取り込むためのNT端子と、スキャンテスト信号及びデータ信号を出力するためのQ端子とを有している(なお、図示されていないが、一般的なフリップフロップには/Q端子も設けられている)。
【0007】
そして、あるフリップフロップ(例えば111A)のQ端子と、これに隣接するフリップフロップ(例えば111B)のDT端子とを順次接続し、フリップフロップ111A〜111Fが数珠繋ぎになるようにスキャンテスト回路を構成している。そして、システムLSIへのスキャンテスト信号入力端子として機能する入力ピンから入力されるスキャン・イン信号を、システムLSI内の1つのスキャンテスト回路中の先頭に配置されるフリップフロップのDT端子で受けて、スキャンテスト回路中の最終段のフリップフロップのQ端子からスキャン・アウト信号をシステムLSIの出力ピンを経て外部に出力するように構成されている。一般的に、システムLSI内には、数個から数10個程度のスキャンテスト回路が形成されている。
【0008】
システムLSIのテスト時には、システムLSIのスキャンテスト信号入力端子となっている入力ピンと、スキャンテスト出力端子となっている出力ピンとはテスターに接続され、テスターから出力されるスキャンテスト信号DTをスキャンテスト信号入力端子で受けるとともに、システムLSI内の組合せ回路を経たデータ信号D(スキャンテスト信号が組合せ回路内を通過した結果得られるデータ値)をテスター内に取り込んで、データ信号Dの値と期待値とをテスターで比較することにより、各組合せ回路110内の各要素の良否を判定できるように構成されている。
【0009】
このテスターを用いたシステムLSIのテスト時には、NT信号がテストモードに切り換わる。特に、スキャンテストのためのテストモードとしては、シフトモードとキャプチャモードとがある。
【0010】
図14(b)は、スキャンテスト時における制御状態の時間に対する遷移を示す図である。NT信号がシフトモードの間には、各フリップフロップ111A〜111Fにスキャンテスト信号DTが送り込まれる。つまり、1クロックごとにあるフリップフロップのQ端子から次段のフリップフロップのDT端子へスキャンテスト信号が順次送り込まれて、スキャンテスト回路を形成しているすべてのフリップフロップに、組合せ回路に入力しようとするテストスキャン信号が保持された状態となる。このとき、スキャンテスト回路内のフリップフロップ数に応じたクロック数(一般的には数100クロック以上)に相当する時間(つまり数100クロック以上の周期)が必要である。そして、フリップフロップ111A〜111Fにスキャンテスト信号DTが取り込まれた状態で、NT信号がキャプチャモードになると、各フリップフロップ111A〜111F内にデータ信号が取り込まれる。このときは、各フリップフロップ111A〜111Fに同時にデータ信号を取り込めばよいので、1クロックに相当する時間でキャプチャが行なわれる。このデータ信号Dは、組合せ回路110内を通過した信号であって、組合せ回路110に入力されたスキャンテスト信号DTの値に応じた出力値を持っている。そして、次のシフトモードにおいて、各フリップフロップ111A〜111Fに、次のスキャンテスト信号DTが送り込まれていくが、そのとき、同時に各フリップフロップ111A〜111Fに保持されているデータ信号Dが、出力ピンからテスターに送り出され、シフトモード動作が終了した時点では、スキャンテスト回路内のフリップフロップ111A〜111Fには、キャプチャモードで取り込んだデータ信号Dに代わってスキャンテスト信号DTが保持された状態となっている。その後、キャプチャ,シフト,キャプチャ,…を繰り返す。
【0011】
つまり、当該組合せ回路110に入力されたスキャンテスト信号DTが各組合せ回路を通過した結果得られるはずの期待値と、当該組合せ回路110から現実に出力されるデータ信号Dとを比較することにより、各組合せ回路110の良否の判定が行える。
【0012】
このスキャンテストを行なうに際しては、集積回路装置のスキャンテストを短時間で済ませるために、できるだけ多くの組合せ回路を同時に動作させる必要がある。これは、テスターのランニングコストが高価なために、テスターを使用している時間が長いと、最終的に集積回路装置のコストの上昇をきたすからである。
【0013】
そのために、スキャンテスト用の信号を各フリップフロップに送る際には、一般的には、フリップフロップ数に応じたテストパターンをその数のクロック数でシフトさせてから、1クロックでキャプチャ動作に入るという一連の流れで行なわれているのである。
【0014】
【発明が解決しようとする課題】
しかしながら、上記集積回路装置のスキャンテストのごとく、短時間で多くのCOREを動作させると、テスト時の瞬間的な消費電力(ピーク消費電力)がきわめて大きくなるおそれが生じてきている。特に、近年、きわめて多数かつ多種類の回路を1チップ内に収納したシステムLSIのような集積回路装置を形成する際には、このピーク消費電力が非常に大きくなることが予想される。
【0015】
図15は、スキャンテスト時における集積回路装置全体の消費電力の経時変化を例示する図である。同図に示すように、CORE1,CORE2,CORE3,CORE4が同時に(1クロックで)動作することによって、テスト時の消費電力が瞬間的に上昇することになる。ところが、一般的には、デバイスの実使用時における消費電力についての電源設計は行なわれるが、テスト時のピーク電力の上昇を見込んだ電源設計は行なわれていない。実使用時には集積回路装置内のすべての回路が同時に動作することはほとんどあり得ないので、実使用時のピーク電力値は一般にはそれほど大きくはない。その結果、このようなテスト時のピークの消費電力を見込んでいない集積回路装置は、スキャンテスト時に正常に動作しないか、あるいは、ダメージを受けることになる。
【0016】
本発明の目的は、テスターの使用時間の増大を抑制しつつ、集積回路装置のスキャンテストを行なう際に各回路が動作するタイミングを分散させる手段を講ずることにより、スキャンテスト時のピーク消費電力の低減を図ることにある。
【0017】
【課題を解決するための手段】
本発明の第1の集積回路装置は、内部に複数の論理回路と各論理回路間に配置された複数のフリップフロップ回路とを含む集積回路装置であって、上記各フリップフロップ回路は、スキャンテスト信号を受ける第1の入力部と、上記論理回路に接続され、上記論理回路に入力されたスキャンテスト信号に応じた論理回路の出力をデータ信号として受ける第2の入力部と、フリップフロップ回路内への入力を上記スキャンテスト信号とデータ信号とに切り換えるための制御信号を受ける第3の入力部と、クロック信号を受ける第4の入力部と、上記論理回路に接続されスキャンテスト信号を上記論理回路内に送るための第1の出力部と、データ信号及びスキャン信号を出力するための第2の出力部とを備え、上記複数のフリップフロップ回路のうちの任意のフリップフロップ回路の第2の出力部と次段のフリップフロップ回路の第1の入力部とが順次接続されて、上記複数のフリップフロップ回路を直列に接続したスキャンテスト回路が形成されていて、複数のホールド用信号により上記複数のフリップフロップ回路内の上記第1の出力部からの出力値を各々固定する機能を有し、上記複数のホールド用信号は、上記複数のフリップフロップ回路内の上記第1の出力部からの出力値の固定を順次解除していくように状態が変化し、上記複数の論理回路に上記スキャンテスト信号が順次入力される。
【0018】
これにより、スキャンテスト時におけるシフト動作において、シフト動作のクロックごとに論理回路内の状態が変化するのが阻止されるので、集積回路装置のスキャンテスト時におけるピークの消費電力を抑制することができる。
【0019】
上記第1の集積回路装置において、上記各フリップフロップ回路は、上記フリップフロップ回路の上記第1の出力部からの出力値が、上記ホールド信号を受けたときに保持している値に固定されるように構成されていてもよい。
【0020】
その場合、上記各フリップフロップ回路は、上記ホールド信号を受ける第5の入力部を備えていてもよい。
【0021】
更に、上記各フリップフロップ回路における第5の入力部は上記第3の入力部と共通化されていてもよい。
【0022】
また、上記第1の集積回路装置において、上記各フリップフロップ回路は、上記フリップフロップ回路の上記第1の出力部からの出力値が、上記ホールド信号を受けたときに保持している値に拘わらず1又は0に固定されるように構成されていてもよい。
【0023】
更に、上記第1の集積回路装置において、上記クロック信号のレベルを固定し、上記クロック信号のレベルが固定される間に、上記第1の出力部からの出力値の固定を順次解除していくように状態が変化し、上記複数の論理回路に上記スキャンテスト信号が順次入力される構成としてもよい。
【0024】
加えて、前記複数のフリップフロップ回路は複数のグループに分けられ、上記複数のホールド用信号は、上記複数のフリップフロップ回路内の上記第1の出力部からの出力値の固定をグループ単位で順次解除していくように状態が変化する構成としてもよい。
【0025】
本発明の第1の集積回路装置のテスト方法は、内部に複数の論理回路と各論理回路間に配置された複数のフリップフロップ回路とを含み、各フリップフロップ回路を順次接続してなるスキャンテスト回路を備えた集積回路装置のテスト方法であって、上記フリップフロップ回路から上記各論理回路に接続される経路への出力信号を固定するホールド動作を行うステップ(a)と、上記各フリップフロップ回路にスキャンテスト信号を順次送るとともに、上記各フリップフロップ回路からデータ信号を順次集積回路装置の外部に送り出すシフト動作を行うステップ(b)と、上記シフト動作の終了後に、上記ステップ(a)で固定された出力信号の固定を解除するホールド解除動作を行うステップ(c)とを有し、上記ステップ(c)におけるホールド解除動作は、上記複数のフリップフロップ回路に対して順次実行される方法である。
【0026】
この方法により、シフト動作時に論理回路内がスキャンテスト信号に応じて変化することに起因するピークの消費電力の増大を抑制することができる。さらに、以下のような動作を付加することにより、ホールド動作,ホールド解除動作,キャプチャ動作などにおけるピークの消費電力を低減することができる。
【0027】
更に、上記ステップ(c)の後に、上記論理回路からの出力信号をフリップフロップ回路に取り込むキャプチャ動作を行うステップ(d)を有し、上記ステップ(d)におけるキャプチャ動作は上記複数の論理回路に対して順次実行される構成としてもよい。
【0028】
上記論理回路内を複数のグループに分けて、上記ステップ(a)を、出力信号の値を固定時にフリップフロップ回路内に保持されている値に固定するように行い、上記ステップ(c)を上記各グループごとに行い、上記ステップ(a)を、第1回目のシフト動作が終了した後はステップ(c)の後でステップ(d)の前に行うことができる。
【0029】
上記論理回路内を複数のグループに分けて、上記ステップ(a)を、出力信号の値を固定時にフリップフロップ回路内に保持されている値に固定するように行い、上記ステップ(c)及び(d)を、上記複数のグループに分けられた各グループ別に、かつ、あるグループのキャプチャ動作が当該グループのホールド解除動作の後になるように行い、上記ステップ(a)を、第1回目のシフト動作が終了した後においてはステップ(d)の後に行うことができる。
【0030】
上記論理回路内を、複数のグループに分けて、上記ステップ(a)を、出力信号の値を固定時にフリップフロップ回路内に保持されている値に拘わらず1又は0に固定するように、かつ、上記各グループごとに行い、上記ステップ(c)及び(d)を、上記複数のグループに分けられた各グループ別に、かつ、あるグループのキャプチャ動作が当該グループのホールド解除動作の後になるように行い、上記ステップ(a)を、第1回目のシフト動作が終了した後においては、ステップ(d)の後に行うことができる。
【0031】
上記第1の集積回路装置のテスト方法において、上記ステップ(b)におけるシフト動作の終了後に、上記複数のフリップフロップ回路に入力されるクロック信号のレベルを固定するステップ(e)を有し、上記ステップ(c)において上記複数のフリップフロップ回路に対して順次実行されるホールド解除動作は、上記ステップ(e)において上記クロック信号のレベルが固定されている間に実行されることが好ましい。
【0032】
更に、上記第1の集積回路装置のテスト方法において、上記論理回路内のグループ分けは、テスト時におけるピークの消費電力が集積回路装置の実使用時の許容値を超えないように行われることが好ましい。
【0033】
【発明の実施の形態】
(第1の実施形態)
図1は、本発明の第1の実施形態に係る集積回路装置であるシステムLSIの一部を示す図である。同図に示すように、システムLSI中の論理回路の1つである組合せ回路10と、各組合せ回路10同士の間に配置され各組あわせ回路に接続されるフリップフロップ回路11A〜11Fとが設けられている。なお、図1においては、組合せ回路110を1つしか表示していないが、実際には、システムLSI中のフリップフロップ回路を利用して、システムLSI内の多数の要素からなる組合せ回路をテストするためのスキャンテスト回路が設けられている。このフリップフロップ回路11A〜11Fは、できるだけ実使用のために配置されているフリップフロップを用いる。
【0034】
なお、システムLSI内にはスキャンテストを適用できない回路も存在しており、それらの回路は別のテスト方法で検査を受けることになる。
【0035】
各フリップフロップ回路11は、データ信号Dを取り込むためのD端子と、スキャンテスト信号DTを取り込むためのDT端子と、クロック信号を取り込むためのクロック端子と、動作モード設定用のNT信号を取り込むためのNT端子と、データ信号Dを出力するためのQ端子と、スキャンテスト信号DTを出力するためのSO端子とを有している。そして、あるフリップフロップ回路(例えば11A)のSO端子と、これに隣接するフリップフロップ回路(例えば11B)のDT端子とを順次接続し、各フリップフロップ回路11A〜11Fが数珠繋ぎになるようにスキャンテスト回路を構成している。そして、システムLSIへのスキャンテスト信号入力端子(入力ピンの1つ)から入力されるスキャン・イン信号を、システムLSI内のスキャンテスト回路中の先頭に配置されるフリップフロップ回路のDT端子で受けて、スキャンテスト回路中の最終段のフリップフロップ回路のSO端子からスキャン・アウト信号をシステムLSIのスキャンテスト信号出力端子(出力ピンの1つ)を経て外部に出力するように構成されている。このスキャンテスト信号入力端子とスキャンテスト信号出力端子とは、システムLSIの実使用時に使用される入力ピン,出力ピンの一部を流用している。そして、システムLSIのスキャンテストのためにいくつのスキャンテスト回路を多く形成すればするほどテスト時間は短くて済むが、スキャンテスト回路を形成するための回路面積が余分に必要となり、しかも、タイミング等に影響を与える箇所が増加するという不利な点もある。したがって、このトレードオフを考慮して、システムLSIの試験項目数や回路規模に応じた適切なスキャンテスト回路数,つまりピン数が設定されている。
【0036】
システムLSIのテスト時には、システムLSIのスキャンテスト信号入力端子となっている入力ピンと、スキャンテスト信号出力端子となっている出力ピンとはテスターに接続され、テスターから出力されるスキャンテスト信号DTをスキャンテスト信号入力端子で受けるとともに、システムLSI内の組合せ回路を経たデータ信号D(スキャンテスト信号が組合せ回路内を通過した結果得られるデータ値)をテスター内に取り込んで、データ信号Dの値と期待値とをテスターで比較することにより、各組合せ回路10内の各要素の良否を判定できるように構成されている。
【0037】
スキャンテスト時においては、NT信号がシフトモードの間には、各フリップフロップ回路11A〜11Fにスキャンテスト信号DTが次々と送り込まれる。つまり、1クロックごとにあるフリップフロップ回路のSO端子から次段のフリップフロップ回路のDT端子へスキャンテスト信号が順次送られる。そして、シフトモード動作の終了時には、すべてのフリップフロップ回路に、組合せ回路に入力しようとするテストスキャン信号が保持された状態となる。このとき、システムLSIのピン数に応じたクロック数が必要である。そして、フリップフロップ回路11A〜11Fにスキャンテスト信号DTが取り込まれた状態で、NT信号がキャプチャモードになると、各フリップフロップ回路11A〜11F内にデータ信号Dが取り込まれる。このときは、各フリップフロップ回路11A〜11Fに同時にデータ信号を取り込めばよいので、1クロックに相当する時間でキャプチャが行なわれる。このデータ信号Dは、組合せ回路10内を通過した信号であって、フリップフロップ回路11から組合せ回路10に入力されたスキャンテスト信号DTの値に応じた出力値を持っている。
【0038】
つまり、当該組合せ回路10に入力されたスキャンテスト信号DTに応じた期待値と当該組合せ回路10から出力されるデータ信号Dとが食い違っている場合には、組合せ回路内に何らかの不良が存在することになる。そして、組合せ回路10内のテスト項目に応じたテストを行なうために、スキャンテスト信号のシフト,組合せ回路を通過したデータのキャプチャ,…を繰り返して、すべての必要な項目に関するスキャンテストを行なうようになされている。
【0039】
ここで、本実施形態におけるシステムLSIの特徴は、図1に示すように、組合せ回路10にデータ信号Dやスキャンテスト信号DTを送り込むためのQ端子とは別に、スキャンテスト信号DTを次のフリップフロップ回路11に送るための端子としてSO端子を設け、スキャンテストのシフトモードの間、Q端子の出力を固定して組合せ回路10内の状態がシフトモード中は変化しないように構成している点である。
【0040】
つまり、図14に示すような従来のテストスキャン回路の構成では、シフトモードの間、各フリップフロップ回路に順次送り込まれるテストスキャン信号のためにQ端子の出力が変化する。それに対し、本実施形態のスキャンテスト回路では、フリップフロップ回路10のQ端子の出力をシフトモードの間は固定しておくことで、組合せ回路10内の状態が1クロックごとに変化して消費電力が増大するのを抑制するようにしている。
【0041】
以下、Q端子の出力を固定するためのフリップフロップ回路の回路構造の具体例と、このフリップフロップ回路を用いたスキャンテスト時の動作に関する具体例とについて説明する。
【0042】
−フリップフロップ回路の構成に関する第1の具体例−
図2は、Q端子の出力を固定するための第1の具体例に係るフリップフロップ回路11xの構成を示す回路図である。同図に示すように、フリップフロップ回路11xは、データ信号D及びスキャンテスト信号DTを受け、NT信号に応じていずれかを選択するセレクタとして機能するマルチプレクサ21と、クロック信号CLKの反転信号に応じてマルチプレクサ21の出力をラッチする第1ラッチ回路22と、クロック信号CLKに応じて第1ラッチ回路22の出力をラッチする第2ラッチ回路23と、クロック信号CLK及びHOLD信号を受け、両者のAND演算を行なった結果を出力にするAND回路24と、AND回路24の出力に応じて第2ラッチ回路23の出力をラッチする第3ラッチ回路25とを備えている。そして、マルチプレクサ21の2つの信号入力部がD端子,DT端子として機能し、マルチプレクサ21の制御信号入力部がNT端子として機能し、第2ラッチ回路23の出力端子がSO端子として機能し、第3ラッチ回路25の出力端子がQ端子として機能する。
【0043】
この構成により、HOLD信号が“1”のときには、クロック信号CLKが“1(H)”又は“0(L)”のいずれであるかに拘わらず、AND回路24の出力は必ず“0”になる。したがって、出力端子がQ端子として機能する第3ラッチ回路25は、第1ラッチ回路22の出力が次々と変化していっても、HOLD信号が“1”である間は同じ入力値(“1”又は“0”)をそのまま維持する。つまり、シフトモードの間、クロック信号CLKの1パルスごとに、第1ラッチ回路22からテストスキャン信号DTが出力され、第2ラッチ回路23を経てSO端子から次のフリップフロップ回路に出力される。その間、第3ラッチ回路25の出力つまりQ端子の出力は、HOLD信号がAND回路24に入力されたときの値(1又は0)に固定されることになる。
【0044】
なお、HOLD信号に代えてNT信号をQ端子を固定するための信号として利用することもできる。つまり、HOLD信号用端子とNT端子とを共通化することができる。その場合には、次の第2の実施形態において説明するような動作が可能になる。
【0045】
−フリップフロップ回路の構成に関する第2の具体例−
図3は、Q端子の出力を固定するための第2の具体例に係るフリップフロップ回路11yの構成を示す回路図である。同図に示すように、フリップフロップ回路11yは、図14(a)に示す従来のフリップフロップと同様の構成において、Q端子をSO端子として機能させるように構成されたフリップフロップ31と、HOLD信号の反転信号とフリップフロップ31の出力と受け、両者のAND演算を行なった結果を出力するAND回路32とを備えている。図3に示すフリップフロップ回路11yにおいては、フリップフロップ31のD端子,DT端子,クロック端子NT端子がそのままフリップフロップ回路11y全体のD端子,DT端子,クロック端子,NT端子として機能し、AND回路32の出力端子がフリップフロップ回路11y全体のQ端子として機能する。
【0046】
この構成により、HOLD信号が“1”のときには、フリップフロップ31の出力が“1(H)”又は“0(L)”のいずれであるかに拘わらず、AND回路32の出力は必ず“0”になる。したがって、AND回路32の出力は、フリップフロップ31のSO端子からの出力が次々と変化していっても、HOLD信号が“1”である間は、“0”をそのまま維持する。つまり、シフトモードの間、クロック信号CLKの1パルスごとに、フリップフロップ31のSO端子からテストスキャン信号DTが出力され、次のフリップフロップ回路に出力される。その間、AND回路32の出力つまりQ端子の出力は、常に“0”に固定されることになる。
【0047】
なお、図3の構造においても、HOLD信号に代えてNT信号をQ端子を固定するための信号として利用することもできる。つまり、HOLD信号用端子とNT端子とを共通化することができる。その場合には、次の第2の実施形態において説明するような動作が可能になる。
【0048】
(第2の実施形態)
本実施形態においては、第1の実施形態の具体例で説明したフリップフロップ回路の構成を利用してスキャンテストを行なう方法について説明する。
【0049】
−スキャンテストの方法に関する第1の具体例−
図4(a),(b)は、それぞれスキャンテストの方法の第1の具体例に係るシステムLSIの一部を示す回路図、テストモードの変化を示す図である。本具体例においては、第1の具体例におけるフリップフロップ回路11x(図2参照)を用いる。
【0050】
まず、図4(a)に示すように、組合せ回路10内を3つのグループX,Y,Zに分ける。すなわち、各フリップフロップ回路11A〜11Cの入力信号によって影響を受ける組合せ回路10中の各部分がほぼ3分割されるように、以下のようにグルーピングを行なう。
【0051】
まず、フリップフロップ回路11のQ端子からの出力の影響範囲の和集合を求める。そして、影響範囲の和集合がほぼ均等になるように、組合せ回路10内のすべての要素をグループX,Y,Zに分ける。ただし、各フリップフロップ回路11A〜11Cの各グループX,Y,Zは互いにオーバーラップする要素を含んでいる。ある要素が、例えば1つのフリップフロップ回路11AのQ端子からの出力の影響と、他のフリップフロップ回路11BのQ端子の出力の影響とを受けることは当然あり得るからである。ただし、この具体例では、1つの組合せ回路10の前段側に配置されるフリップフロップ回路11A〜11Cの数も組合せ回路10内のグループX,Y,Zの数も偶然3つであるが、一般的には、これらの数が互いに一致するというわけではない。一般的には、多数のフリップフロップが数個のグループに分けられると考えてよい。
【0052】
なお、このグループ分けの方法は、上述の方法の他に、例えば組合せ回路10内における各グループの動作量がほぼ均一になるように分ける方法などがあり、この具体例の方法に限定されるものではない。
【0053】
そして、図4(b)に示すように、スキャンテストの開始時に、HOLD信号を“1”にしてフリップフロップ回路のQ端子の出力を固定にする。そして、シフトモードにおいては、HOLD信号を“1”に保って、組合せ回路10内の状態をホールドして変化させないでおく。次に、シフト動作が終了しても、すぐにキャプチャモードに移行するのではなく、HOLD信号によるホールド状態を解除するモードに移る。このとき、すべてのフリップフロップ回路のQ端子の固定を同時に解除するのではなく、グループ分けした各グループX,Y,Zに対応するフリップフロップ回路ごとに(この例では、フリップフロップ回路11C,11B,11Aの順に)、Q端子の出力の固定を解いて、各グループX,Y,Zのホールド状態を解除していく。このホールドの解除はクロック信号がHレベルのときに行なう。これにより、図2内の第3ラッチ回路25にはそのときの第1ラッチ回路22の出力つまりスキャンテスト信号DTが取り込まれる。次に、HOLD信号により、すべてのフリップフロップ回路のQ端子の出力を固定する。その後、キャプチャモードに移行して、データ信号Dをフリップフロップ回路11のD端子からフリップフロップ回路11内に取り込む。その際、キャプチャモード動作の前に、フリップフロップ回路11のQ端子を固定して組合せ回路10内の状態をホールドしているので、スキャンテスト回路内のすべてのフリップフロップ回路11A〜11Fにデータ信号が同時に取り込まれることによる消費電力の瞬間的な上昇を回避することができる。
【0054】
そして、シフトモードに移行すると、このデータ信号Dをスキャンテスト回路を通じて順次次段側のフリップフロップ回路に送り込むとともに、その後からスキャン信号をスキャンテスト回路に順に送り込んでいく。そして、システムLSIのスキャンテスト回路内の各フリップフロップ回路11内にいったん取り込まれたデータ信号Dがすべてテスターに送り出され、スキャンテスト回路内のすべてのフリップフロップ回路11に次のスキャンテスト信号DTが保持されると(つまりシフトモード動作が終了すると)、再び、各グループX,Y,Zの順にホールド解除を行ない、ホールド動作,キャプチャモード動作,…という制御を繰り返す。
【0055】
、本実施形態のスキャンテスト方法によると、シフトモード動作に移行する前に、組合せ回路10内の状態をホールドしているので、シフトモード動作のときに各フリップフロップ回路11A〜11Fに送られるスキャンテスト信号DTがシフトされていく度に組合せ回路10内の各要素が同時に変化することに起因する消費電力の上昇を抑制することができる。
【0056】
しかも、組合せ回路10内を複数のグループに分けて、ホールド解除を複数のグループごとに行なったあと、再びホールドを行なってからキャプチャ動作を行なうので、ホールドの解除とキャプチャ時におけるピーク消費電力の上昇を抑制することができる。
【0057】
一方、上述のスキャンテストの制御において、ホールド解除動作は、クロック信号がHレベルのときに行なう必要があるが、クロックがゆっくりの場合には1つのクロック信号のHレベルの間に3つのグループX,Y,Zのホールド解除を行なうことも不可能ではないし、クロックを3クロック分の期間Hレベルに固定値手、その間にホールド解除を行なってもよい、また、ホールド動作は、クロックとは無関係に行なうことができる。したがって、本実施形態のスキャンテスト方法の動作によって増大するしテスト時間は、従来のスキャンテスト方法に比べて、たかだか4クロック周期分であり、シフトモード動作に要する時間が数100クロック周期分であることを考慮すると、テスト時間の増大はほとんどないといえる。
【0058】
−スキャンテストの方法に関する第2の具体例−
図5(a),(b)は、それぞれスキャンテストの方法の第2の具体例に係るシステムLSIの一部を示す回路図、及びテストモードの変化を示す図である。本具体例においては、第1の具体例におけるフリップフロップ回路11x(図2参照)を用いる。
【0059】
まず、図5(a)に示すように、組合せ回路10内を3つのグループX,Y,Zに分ける。このグループ分けは、基本的には上述のテストスキャン方法の第1の具体例と同じ方法によるが、「あるグループ(例えばX)の状態に影響するフリップフロップ回路(前段側に接続されるフリップフロップ回路11C)のキャプチャを行なうためのクロック信号が、当該グループXの後段側に接続されるフリップフロップ回路11Fのキャプチャを行なうためのクロック信号よりも先に入らない」という制限を設ける。具体的な方法については後述する。
【0060】
本具体例の方法においては、図5(b)に示すように、フリップフロップ回路のQ端子を固定した状態でシフトモードの動作を行なった後、シフトモードの動作が終了すると、グループ分けした各グループX,Y,Zに対応するフリップフロップ回路ごとに(この例では、フリップフロップ回路11C,11B,11Aの順に)、Q端子の出力固定の解除(ホールド解除)と、キャプチャ動作とを行なう。このとき、例えば1つのクロックのHレベルのときにホールド解除を行ない、そのクロックのLレベルのときにキャプチャ動作を行なってもよいし、各グループX,Y,Zの順にホールド解除を行なってから各グループX,Y,Zの順にデータ信号Dを取り込むキャプチャ動作を行なってもよい。さらに、グループXのホールド解除を行なった後、グループYのホールド解除とグループXのキャプチャとを少しタイミングをずらせて行なうなど、多くのバリエーションを採ることができる。
【0061】
その後、再びホールド動作を行なってから、シフトモードに移行すると、このデータ信号Dをスキャンテスト回路を通じて順次次段側のフリップフロップ回路に送り込むとともに、その後からスキャン信号DTをスキャンテスト回路に順に送り込んでいく。そして、システムLSIのスキャンテスト回路内の各フリップフロップ回路11内にいったん取り込まれたデータ信号Dがすべてテスターに送り出され、スキャンテスト回路内のすべてのフリップフロップ回路11に次のスキャンテスト用のスキャンテスト信号DTが取り込まれると、再び、各グループX,Y,Zの順にホールド解除,キャプチャモード,…という制御を繰り返す。
【0062】
本具体例のスキャンテスト方法によると、第1の具体例と同様に効果を発揮することができる。また、従来のテストスキャン方法に比べて、3〜6クロック周期程度の時間が増大するが、この時間は全体の時間からみるとわずかである。
【0063】
−スキャンテストの方法に関する第3の具体例−
図6(a),(b)は、それぞれスキャンテストの方法の第3の具体例に係るシステムLSIの一部を示す回路図、テストモードの変化を示す図である。本具体例においては、第2の具体例におけるフリップフロップ回路11y(図3参照)を用いる。
【0064】
まず、図6(a)に示すように、組合せ回路10内を3つのグループX,Y,Zに分ける。このグループ分けは、基本的には上述のテストスキャン方法の第1の具体例と同じ方法によるが、「あるグループ(例えばX)の状態に影響するフリップフロップ回路(前段側に接続されるフリップフロップ回路11C)のキャプチャを行なうためのクロック信号が、当該グループXの後段側に接続されるフリップフロップ回路11Fのキャプチャを行なわせるためのクロック信号よりも先に入らない」という制限を設ける。
【0065】
一般的には、ホールド動作の場合は、基本的には組合せ回路10内の状態を変化させないので1クロックで同時に行なってもよい。しかし、Q端子の出力を0,1に固定するということは、その固定動作自体が組合せ回路10に大きな変化をもたらして、ピーク電力が実使用時の許容電力を越えることが起こらないとも限らない。そこで、本具体例の方法においては、図6(b)に示すように、このとき、すべてのフリップフロップ回路のQ端子を同時に固定するのではなく、グループ分けした各グループX,Y,Zに対応するフリップフロップ回路ごとに(この例では、フリップフロップ回路11C,11B,11Aの順に)、タイミングをずらせてQ端子の出力を固定していく。その後、シフトモードに移行して、シフトモードの動作が終了すると、上述の第2の具体例と同様の動作によって、各グループX,Y,Zのホールド解除とキャプチャとを行なっていく。
【0066】
本具体例のスキャンテスト方法によると、第2の具体例に比べてホールドを3クロックに分けて行なう分だけ余分に時間を要する。しかし、シフトモード動作に要する時間が数100クロック周期分であることを考慮すると、このホールド動作の分割により生じるテスト時間の増大はきわめてわずかである。しかも、フリップフロップ回路の構成を上記第1の実施形態の第2の具体例のフリップフロップ回路11y(図3参照)にすることで、スキャンテスト回路のための回路面積を上記第1,第2の具体例の構成よりも小さくできる利点がある。
【0067】
−HOLD信号用端子とNT端子との共通化−
すでに説明したように、図2又は図3中のHOLD信号用端子とNT端子とは共通化することができる。その場合には、以下のような動作が可能になる。ここでは、図5(a),(b)又は図6(a),(b)に示すグループ化された回路構成及び回路動作を前提として説明する。
【0068】
まず、NT信号がシフトモードになると、各フリップフロップ回路11A〜11F内にデータ信号Dが取り込まれるが、このシフト動作中は、NT信号によってホールド動作を行なわせることができる。
【0069】
そして、シフト終了時、NT信号をシフトモードから解除すると、同時にホールドが解除される。このとき、ホールドの解除動作は、各フリップフロップ回路11C,11B,11AのNT信号を順次解除することによって、図5(b)又は図6(b)に示すように、各グループX,Y,Zの順に個別に行なわれる。
【0070】
また、キャプチャ動作も各グループX,Y,Z別に行なわれる。このときには、NT信号に応じて、各フリップフロップ回路11A〜11F内にデータ信号Dが取り込まれるが、HOLD信号用端子とNT端子とが共通化されているので、NT信号はシフトモードから解除されており、ホールドは解除されている。
【0071】
そして、シフト動作に移行するとき、図2に示すフリップフロップ回路11xを用いる場合には、図5(b)に示すように、各フリップフロップ回路11C,11B,11AのNT信号を一斉にシフトモードにすることにより、各グループX,Y,Zに対して一斉にホールド動作を行なわせる。
【0072】
一方、図3に示すフリップフロップ回路11yを用いる場合には、図6(b)に示すように、各フリップフロップ回路11C,11B,11AのNT信号を順次シフトモードにすることにより、各グループX,Y,Z別にホールド動作を行なわせる。
【0073】
−本実施形態の各具体例の効果−
したがって、本実施形態のスキャンテスト方法によると、各具体例において説明したように、組合せ回路10内の状態をホールドした状態で、スキャンテスト信号のシフト動作を行なうとともに、組合せ回路10内の各要素をグループ分けして、各グループのホールド解除,キャプチャ,ホールドなどの動作をグループごとにずらせるようにしているので、瞬間的な消費電力の増大を抑制することができる。
【0074】
図7は、本実施形態のスキャンテスト方法に関する各具体例の効果を示す図である。同図に示すように、組合せ回路内の要素をグループG1〜G4にグループ分けして、このグループごとにホールド解除,キャプチャ,ホールドなどを行なうことにより、スキャンテスト時における消費電力の分散化を図ることができる。そして、システムLSIのスキャンテスト時におけるピークの消費電力が、システムLSIの実使用時における消費電力の許容値を越えるおそれを確実に解消することができる。
【0075】
(第3の実施形態)
本実施形態においては、集積回路装置であるシステムLSIの設計段階における消費電力を低減するための対策について説明する。
【0076】
−消費電力削減のためのグルーピングについての具体例−
組合せ回路やスキャンテスト回路を設計する際、データベース内に存在する組合せ回路などの設計に必要なデータを記述したコアが存在する。したがって、このデータベースのコアを利用して、組合せ回路等の設計を行なうことができる。ところが、一般的に、各コアの中はデータがループして複雑な前後関係を形成しているので、このデータから各コアのある動作の順序を適正に決定するのは困難なことが多い。
【0077】
その点、上流設計の段階では、各コアの構成要素が少なく、各コアの前後関係を求める処理が簡便かつ高速になる。例えば、図8に示すように、機能レベルにおいてはコアA,B,C間のデータの流れを示すデータフローのみがあるだけの場合が多い。
【0078】
そこで、図9に示すような手順で、スキャンテストにおける消費電力を考慮した設計を行なうのが効果的である。
【0079】
まず、ステップS1において、データベースからコアライブラリに関する記述(テスト時の消費電力や推定情報)や、システムLSIの仕様を入力して、アーキテクチャ設計を行なう。つまり、どのようなコアを用いて所望のシステムLSIを設計するためのアーキテクチャを設計する。
【0080】
このとき、ステップS1で、コアの選択やテスト手法の割り付けを行なうが、この段階で、例えば、図8に示すコアのデータフロー情報に基づいて、「コアCに先にクロック信号を入力してから、順次コアB,コアAにクロック信号を入力する。」というような情報を取り込んでおくと、後でこの情報を利用した下流側でのグルーピングが容易になる。つまり、各コアを1まとめとして扱う上流設計でコアの選択やテスト手法を割り付けておくことで、具体的な膨大な回路構造をみてグルーピングするような処理の煩雑さを回避できるのである。
【0081】
実際に、第1の実施形態において示したようなグルーピングを行なおうとすると、制御回路を組み込む必要があるのに加えて、クロック信号を所望の順序で入力させるためにクロック系統を何系統作成するか、といったことをきめ細かく決定する必要がある。それを論理設計の段階で、クロックの作成やホールドの解除手順をいきなり決めるのは、困難であることが多い。特に、システムLSIのごとく、回路要素数が膨大なデバイスにおいてはなおさらである。
【0082】
そこで、アーキテクチャ設計の段階で、電力許容値を満たすように、スキャンテストのスケジューリングを行なう。つまり、各コアのグループ分割数、チップ全体のテスト時における制御、例えば同時にテストするコアなどに関するテストスケジューリングや、制御回路の設計のための指示,指針の作成,又は制御回路の作成を行なっておく。
【0083】
そして、このようなテスト設計情報を含む設計情報を下流側に渡すことにより、ステップS2でRTL設計を行ない、ステップS3で消費電力の推定を行なう。このRTL設計の段階では、配線による各素子の接続関係までは現れていないが、例えば記憶素子と記憶素子との間の論理演算の関係が現れている。そこで、このステップS3では、図7に示すようなスキャンテスト時における消費電力のピーク値をシミュレーションにより求め、消費電力の許容値を満たすなど仕様を満足するか否かを検討することができる。
【0084】
そして、ステップS4で、論理設計を行なうと、この段階では各階路の具体的な構造が現れてくる。そして、ステップS5で、最終的に正確なシミュレーションを行なって消費電力の推定を行なうことができる。
【0085】
本具体例によると、設計の上流側(アーキテクチャ設計)において消費電力削減のためのテスト方法についての戦略を立てることで、下流側の設計において、データベース中のデータの複雑なループ関係による処理の煩雑化を回避しつつ、消費電力の削減のための設計を迅速に行なうことができる。
【0086】
例えば、ステップS2のRTL設計,ステップS3の消費電力の推定を行なった後に、消費電力の許容値を満たすことが不可能であることが判明すると、再びアーキテクチャ設計をやり直す必要が生じる。また、ステップS4の論理設計,ステップS5の消費電力の推定を行なってから、消費電力の許容値を満たす回路構成が見つからないときには、ステップS2又はステップS1の処理をやり直す必要が生じる。つまり、再設計ループの繰り返しを頻繁に行なうことになる。
【0087】
しかし、本具体例のごとく、設計の上流側で消費電力削減のためのテスト方法についての戦略を立てることで、コアの分割数やテスト制御のスケジューリングなどの基本的な事項については、ほぼ適正な設計が行なわれている。したがって、上述のような再設計ループの繰り返しを効果的に削減することができる。
【0088】
なお、本具体例では、スキャンテストを行う場合を例にとって消費電力削減のための設計方法について説明したが、本発明はかかる例に限定されるものではなく、他のテスト方法を実施する場合にも適用できることは言うまでもない。
【0089】
また、テスト時消費電力推定情報は、コアライブラリに格納されている必要はなくデータベースのどこかに格納されていればよい。
【0090】
−テストスケジューリングの具体例−
図10は、組合せ回路に相当するコアの分割を伴うテストスケジューリングの例を示す図である。同図に示すように、例えば100個のテストピンがある場合に、70番までのテストピンを使用してコア2,コア3のテストを行ないながら、とこれに並行して70番から100番までのテストピンを使用して、コア2,コア3とはテスト手法が異なるコア1のテストを行なうというようなテストスケジューリングを立てる。このとき、コア2内は3つのグループに分け、コア3内は4つのグループに分けるというようなグルーピングを行なっておく。すなわち、上述のようなコア内におけるキャプチャ・ホールド・ホールド解除の分散、他のコアとのキャプチャ・ホールド・ホールド解除の分散などのスケジューリングを行なっておくのである。
【0091】
そして、このようなグルーピングを伴ったテストスケジューリングを立てておくことにより、テスト時間の短縮を図りつつ、ピークの消費電力が許容値を超えるおそれを未然に解消して、下流側の設計を円滑に行なうことができる。
【0092】
−コアライブラリの構成要素に関する具体例−
図11は、システムLSIの設計用のデータベース内のコアライブラリ50に記述されている情報の具体例を示す図である。同図に示すように、コアライブラリ50内には、テスト時消費電力情報51、コアの分割可能数情報51,コアの分割可能性情報52などが収納されている。すなわち、テスト時のピーク消費電力に関する記述を含ませておくことで、アーキテクチャ設計時にピーク消費電力が許容値を超えないためのテスト戦略を立てることが可能になる。
【0093】
まず、コアのテスト時消費電力情報51は、コアのピーク消費電力に関する記述を含んでいる。この記述とは、コアのピークの消費電力の推定値であり、可能であれば、シフト動作時のピークの消費電力の推定値と、キャプチャ動作時のピークの消費電力の推定値と、ホールド動作時のピークの消費電力の推定値と、ホールド解除動作時のピークの消費電力の推定値とを含んでいる。すでに説明したように、シフト,キャプチャ,ホールド,ホールド解除の各動作には各種の制御パターンがあるので、これらをすべて記憶しておき、これらを比較してもっとも有利な制御パターンや、フリップフロップ回路の種類などを選択することができる。
【0094】
また、コアのテスト時消費電力情報51は、コアの最大トグル率(信号の遷移確率)、コアの回路規模(例えばゲート数)、及びコアを構成するゲートの消費電力に関する記述を含んでいる。ただし、ゲートの消費電力に関する記述はライブラリ内でなくてもよい。例えば0.25μmのゲートを使用する場合に、0.25μmのゲートであればどの程度の消費電力になるかということが常識的となっている場合もあるからである。ピークの消費電力の推定精度がよくない場合には、このような記述があることにより、テスト時のピークの消費電力を比較的正確に算出することができる。
【0095】
また、コアのテスト時消費電力情報51は、回路とシミュレーションパターンとに関する記述を含んでいる。これが記述してあれば、テスト時のシミュレーションを行なって、消費電力を算出することができる。
【0096】
コアの分割可能数情報52は、コア内のグルーピングがいくつまで可能かということに関する情報(推定値)の他に、例えば、シフト動作時のピークの消費電力とコアの分割時のピーク消費電力とが等しくなるレベルに関する記述を含んでいる。上述のように、シフト動作は分割して行なうわけではないので、シフト動作時のピークの消費電力は低減することができない。したがって、あまりに細かくグルーピングしても、ピークの消費電力の低減という観点からみると意味がなくなるからである。なお、ここでいう分割とは、複数のコアを何グループ化にグルーピングすることを含んでいる。
【0097】
コアの分割可能数情報52は、いわゆるハードマクロと呼ばれるレイアウトまで決まっているコア(例えば乗算器など)やテスト回路の設計変更を行わないコア(例えば流用設計のコア)の分割数(分割数がわかっている又はすでに分割されている)とピークの消費電力とに関する記述を含んでいる。
【0098】
さらに、コアの分割可能数情報52は、ハードマクロと呼ばれるコアや上述の設計方法で設計されたコアなど、もはや設計変更を行わず、テストを行なわないコアの分割数と、クロックごとのピークの消費電力とに関する記述を含んでいる。これから設計しようとするコアだけでなく、すでにこの発明を用いて設計したコアの再利用や、IPベンダーなどから供給されるコアの利用など、設計がすでに決まっているコアで当該システムLSI中に組み込もうとするコアがある場合には、その消費電力に関するに情報も利用することで、システムLSI全体のテスト時のピークの消費電力を正確に把握することができるからである。
【0099】
分割可能性情報53は、コアの最大許容分割数に関する記述を含んでいる。この記述は、推定であってもよいし、実際に分割されている場合には実際の分割数であってもよい。
【0100】
また、分割可能性情報53は、作成できるクロック系統数に関する記述を含んでいる。クロック系統数は、コアの分割数を決めるときの1つの基準になるものである。セレクタなどクロックの順番を制御するための回路は、簡単に修正を加えることができない性質のものであるので、例えばクロック系統が4系統あればクロックをずらせて何らかの動作を行なわせる場合には、4つまでは可能であるというような設計上の条件・制限を含ませておく。そして、それに合わせて例えば「グループごとにキャプチャする」という動作を制御することができる限界をそこから求めて記憶させておくことができる。
【0101】
(第4の実施形態)
本実施形態においては、スキャンテストに限らず、他のテスト方法も含めて、反転クロックを利用したテストを行うためのシステムLSIの設計方法及びテスト方法について説明する。
【0102】
図12は、反転クロックを利用したテストを行う方法について説明するための図である。例えば、コアA,コアB,コアCというデータフローがある場合に、コアA,コアCは論理回路で、コアBはメモリであるとすると、メモリであるコアBはBIST等によるテストが行なわれ、論理回路であるコアA,コアCは例えば上述のようなスキャンテストが行われる。このように、システムLSI内に互いに異なる種類のテストが行なわれる回路が存在する場合には、設計時に以下のようなグルーピングを行う。同図に示すように、テストモード信号TMがHレベルとなるテストモード時には、セレクタからメモリに反転クロックが入力される。また、テストを行なうためのBISTには、セレクタを介さずに直接反転クロックが入力される。そして、この反転クロックを用いて、メモリについてのテストを行なうようになっている。つまり、1つのクロック系統を用いて、コアCのスキャンテストを行ないながら、メモリのBISTによるテストを行うことができる。このとき、メモリのテストを制御するためのクロックは反転しているので、コアCのテストを行っているときの消費電力がピークとなるタイミングと、メモリのテストを行う際の消費電力がピークとなるタイミングとは、クロックの半周期だけずれるので、コアCとメモリとを同時にテストしても、これらの1つずつを個別にテストしたときに比べてピークの消費電力が増大することはない。
【0103】
このように、特に論理回路以外の回路をテストを行なう際には、反転クロックを利用することにより、ピークの消費電力の増大を招くことなく、テスト時間の短縮を図ることができる。
【0104】
なお、設計されたシステムLSIには、図12に示すコアA,B,Cの代わりに、論理回路,メモリ,論理回路がそれぞれ設けられていることは言うまでもない。
【0105】
また、本発明は、コアA,B,Cが同種類の回路例えばすべて論理回路であって、しかも、いずれのコアについてもスキャンテストが行われる場合にも、適用することができる。その場合においても、スキャンテストに用いるクロックが非反転クロックと反転クロックとであることにより、消費電力がピークとなるタイミングを互いにずらせることができるからである。
【0106】
【発明の効果】
本発明の集積回路装置及びそのテスト方法によれば、集積回路装置のスキャンテストなどのテストを行う際の消費電力を考慮しているので、実使用時の許容消費電力値を満たしていてもテスト時の消費電力が過大となって、集積回路装置の損傷などの不具合が発生するのを未然に防止することができる。
【0107】
その場合、テスト時の各種の動作をずらすように回路内のグルーピングという概念を導入することにより、ピークの消費電力の増大を抑制することができる。
【0108】
また、非反転クロックと反転クロックとを利用して2つの回路のテストを同時に行うことにより、2つの回路のテストを同時に行うことによるピークの消費電力の増大を抑制することができる。
【図面の簡単な説明】
【図1】 本発明の第1の実施形態に係る集積回路装置であるシステムLSIの一部を示すブロック回路図である。
【図2】 Q端子の出力を固定するためのフリップフロップ回路の構成に関する第1の具体例を示す回路図である。
【図3】 Q端子の出力を固定するためのフリップフロップ回路の構成に関する第2の具体例を示す回路図である。
【図4】 (a),(b)は、第2の実施形態におけるスキャンテストの方法の第1の具体例に係るシステムLSIの一部を示す回路図、テストモードの変化をそれぞれ示す図である。
【図5】 (a),(b)は、第2の実施形態におけるスキャンテストの方法の第2の具体例に係るシステムLSIの一部を示す回路図、テストモードの変化をそれぞれ示す図である。
【図6】 (a),(b)は、第2の実施形態におけるスキャンテストの方法の第3の具体例に係るシステムLSIの一部を示す回路図、テストモードの変化をそれぞれ示す図である。
【図7】 第2の実施形態のスキャンテスト方法に関する各具体例の効果を示す図である。
【図8】 機能レベルにおいて存在するコアA,B,C間のデータの流れを示すデータフロー図である。
【図9】 第3の実施形態のスキャンテストにおける消費電力を考慮した設計を行う手順を示すフローチャート図である。
【図10】 第3の実施形態における組合せ回路に相当するコアの分割を伴うテストスケジューリングの具体例を示す図である。
【図11】 第3の実施形態におけるシステムLSIの設計用のデータベース内のコアライブラリ50に記述されている情報の具体例を示す図である。
【図12】 第4の実施形態における反転クロックを利用したテストを行う方法について説明するための図である。
【図13】 集積回路装置の設計段階において設計しようとする回路のデータをデータベースから取り出して設計する状態を示す斜視図である。
【図14】 (a),(b)は、スキャンテスト回路を備えた従来のシステムLSIの一部,スキャンテスト時における制御状態の時間に対する遷移をそれぞれ示す図である。
【図15】 従来のスキャンテスト時における集積回路装置全体の消費電力の経時変化を例示する図である。
【符号の説明】
10 組合せ回路
11 フリップフロップ回路
21 マルチプレクサ
22 第1ラッチ回路
23 第2ラッチ回路
24 AND回路
25 第3ラッチ回路
31 フリップフロップ
32 AND回路
50 コアライブラリ
51 テスト時消費電力情報
52 コアの分割可能数情報
53 分割可能性情報

Claims (14)

  1. 内部に複数の論理回路と各論理回路間に配置された複数のフリップフロップ回路とを含む集積回路装置であって、
    上記各フリップフロップ回路は、
    スキャンテスト信号を受ける第1の入力部と、
    上記論理回路に接続され、上記論理回路に入力されたスキャンテスト信号に応じた論理回路の出力をデータ信号として受ける第2の入力部と、
    フリップフロップ回路内への入力を上記スキャンテスト信号とデータ信号とに切り換えるための制御信号を受ける第3の入力部と、
    クロック信号を受ける第4の入力部と、
    上記論理回路に接続されスキャンテスト信号を上記論理回路内に送るための第1の出力部と、
    データ信号及びスキャン信号を出力するための第2の出力部と
    を備え、
    上記複数のフリップフロップ回路のうちの任意のフリップフロップ回路の第2の出力部と次段のフリップフロップ回路の第1の入力部とが順次接続されて、上記複数のフリップフロップ回路を直列に接続したスキャンテスト回路が形成されていて、
    複数のホールド用信号により上記複数のフリップフロップ回路内の上記第1の出力部からの出力値を各々固定する機能を有し、
    上記複数のホールド用信号は、上記複数のフリップフロップ回路内の上記第1の出力部からの出力値の固定を順次解除していくように状態が変化し、上記複数の論理回路に上記スキャンテスト信号が順次入力される
    ことを特徴とする集積回路装置。
  2. 請求項1記載の集積回路装置において、
    上記各フリップフロップ回路は、上記フリップフロップ回路の上記第1の出力部からの出力値が、上記ホールド信号を受けたときに保持している値に固定されるように構成されていることを特徴とする集積回路装置。
  3. 請求項1記載の集積回路装置において、
    上記各フリップフロップ回路は、上記ホールド信号を受ける第5の入力部を備えることを特徴とする集積回路装置。
  4. 請求項3記載の集積回路装置において、
    上記各フリップフロップ回路における第5の入力部は上記第3の入力部と共通化されていることを特徴とする集積回路装置。
  5. 請求項1記載の集積回路装置において、
    上記各フリップフロップ回路は、上記フリップフロップ回路の上記第1の出力部からの出力値が、上記ホールド信号を受けたときに保持している値に拘わらず1又は0に固定されるように構成されていることを特徴とする集積回路装置。
  6. 請求項1記載の集積回路装置において、
    上記クロック信号のレベルを固定し、上記クロック信号のレベルが固定される間に、上記第1の出力部からの出力値の固定を順次解除していくように状態が変化し、上記複数の論理回路に上記スキャンテスト信号が順次入力されることを特徴とする集積回路装置。
  7. 請求項1〜6のうちいずれか1つに記載の集積回路装置において、
    前記複数のフリップフロップ回路は複数のグループに分けられ、上記複数のホールド用信号は、上記複数のフリップフロップ回路内の上記第1の出力部からの出力値の固定をグループ単位で順次解除していくように状態が変化することを特徴とする集積回路装置。
  8. 内部に複数の論理回路と各論理回路間に配置された複数のフリップフロップ回路とを含み、各フリップフロップ回路を順次接続してなるスキャンテスト回路を備えた集積回路装置のテスト方法であって、
    上記フリップフロップ回路から上記各論理回路に接続される経路への出力信号を固定するホールド動作を行うステップ(a)と、
    上記ステップ(a)により上記フリップフロップ回路からの出力信号が固定されている状態で上記各フリップフロップ回路にスキャンテスト信号を順次送るとともに、上記各フリップフロップ回路からデータ信号を順次集積回路装置の外部に送り出すシフト動作を行うステップ(b)と、
    上記シフト動作の終了後に、上記ステップ(a)で固定された出力信号の固定を解除するホールド解除動作を行うステップ(c)とを有し、
    上記ステップ(c)におけるホールド解除動作は、上記複数のフリップフロップ回路に対して順次実行されることを特徴とする集積回路装置のテスト方法。
  9. 請求項8記載の集積回路装置のテスト方法において、
    更に、上記ステップ(c)の後に、上記論理回路からの出力信号をフリップフロップ回路に取り込むキャプチャ動作を行うステップ(d)を有し、
    上記ステップ(d)におけるキャプチャ動作は上記複数の論理回路に対して順次実行されることを特徴とする集積回路装置のテスト方法。
  10. 請求項9記載の集積回路装置のテスト方法において、
    上記論理回路内は、複数のグループに分けられており、
    上記ステップ(a)は、出力信号の値を固定時にフリップフロップ回路内に保持されている値に固定するように行われ、
    上記ステップ(c)は、上記各グループごとに行われ、
    上記ステップ(a)は、第1回目のシフト動作が終了した後は、ステップ(c)の後でステップ(d)の前に行われることを特徴とする集積回路装置のテスト方法。
  11. 請求項9記載の集積回路装置のテスト方法において、
    上記論理回路内は、複数のグループに分けられており、
    上記ステップ(a)は、出力信号の値を固定時にフリップフロップ回路内に保持されている値に固定するように行われ、
    上記ステップ(c)及び(d)は、上記各グループ別に、かつ、あるグループのキャプチャ動作が当該グループのホールド解除動作の後になるように行われ、
    上記ステップ(a)は、第1回目のシフト動作が終了した後においては、ステップ(d)の後に行われることを特徴とする集積回路装置のテスト方法。
  12. 請求項9記載の集積回路装置のテスト方法において、
    上記論理回路内は、複数のグループに分けられており、
    上記ステップ(a)は、出力信号の値を固定時にフリップフロップ回路内に保持されている値に拘わらず1又は0に固定するように、かつ、上記各グループごとに行われ、
    上記ステップ(c)及び(d)は、上記複数のグループに分けられた各グループ別に、かつ、あるグループのキャプチャ動作が当該グループのホールド解除動作の後になるように行われ、
    上記ステップ(a)は、第2回目のシフト動作以後においては、ステップ(d)の後に行われることを特徴とする集積回路装置のテスト方法。
  13. 請求項9記載の集積回路装置のテスト方法において、
    上記ステップ(b)におけるシフト動作の終了後に、上記複数のフリップフロップ回路に入力されるクロック信号のレベルを固定するステップ(e)を有し、
    上記ステップ(c)において上記複数のフリップフロップ回路に対して順次実行されるホールド解除動作は、上記ステップ(e)において上記クロック信号のレベルが固定されている間に実行されることを特徴とする集積回路装置のテスト方法。
  14. 請求項10〜12のうちいずれか1つに記載の集積回路装置のテスト方法において、
    上記論理回路内のグループ分けは、テスト時におけるピークの消費電力が集積回路装置の実使用時の許容値を超えないように行われることを特徴とする集積回路装置のテスト方法。
JP23490699A 1999-08-23 1999-08-23 集積回路装置及びそのテスト方法 Expired - Fee Related JP4428489B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP23490699A JP4428489B2 (ja) 1999-08-23 1999-08-23 集積回路装置及びそのテスト方法
US09/637,867 US6625784B1 (en) 1999-08-23 2000-08-15 Semiconductor integrated circuit device, method of testing the same, database for design of the same and method of designing the same
TW089117156A TW475108B (en) 1999-08-23 2000-08-22 Integrated circuit device, its testing method, database for its design, and its designing method
US10/281,230 US7203913B2 (en) 1999-08-23 2002-10-28 Semiconductor integrated circuit device, method of testing the same, database for design of the same and method of designing the same
US11/646,433 US20070106965A1 (en) 1999-08-23 2006-12-28 Semiconductor integrated circuit device, method of testing the same, database for design of the same and method of designing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23490699A JP4428489B2 (ja) 1999-08-23 1999-08-23 集積回路装置及びそのテスト方法

Publications (2)

Publication Number Publication Date
JP2001059856A JP2001059856A (ja) 2001-03-06
JP4428489B2 true JP4428489B2 (ja) 2010-03-10

Family

ID=16978160

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23490699A Expired - Fee Related JP4428489B2 (ja) 1999-08-23 1999-08-23 集積回路装置及びそのテスト方法

Country Status (3)

Country Link
US (3) US6625784B1 (ja)
JP (1) JP4428489B2 (ja)
TW (1) TW475108B (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6957403B2 (en) 2001-03-30 2005-10-18 Syntest Technologies, Inc. Computer-aided design system to automate scan synthesis at register-transfer level
JP3606520B2 (ja) * 2001-12-05 2005-01-05 沖電気工業株式会社 システムlsiのテストパターン作成方法,システムlsiのテストパターン作成装置,及びシステムlsiのテスト方法
JP3981281B2 (ja) * 2002-02-14 2007-09-26 松下電器産業株式会社 半導体集積回路の設計方法及びテスト方法
US6934897B2 (en) * 2002-04-05 2005-08-23 Nilanjan Mukherjee Scheduling the concurrent testing of multiple cores embedded in an integrated circuit
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
US7278076B2 (en) * 2004-06-30 2007-10-02 Intel Corporation System and scanout circuits with error resilience circuit
US7278074B2 (en) * 2005-01-26 2007-10-02 Intel Corporation System and shadow circuits with output joining circuit
US7523371B2 (en) 2004-06-30 2009-04-21 Intel Corporation System and shadow bistable circuits coupled to output joining circuit
US7188284B2 (en) * 2004-06-30 2007-03-06 Intel Corporation Error detecting circuit
US7279887B1 (en) * 2004-08-06 2007-10-09 Nvidia Corporation In-process system level test before surface mount
JP4366319B2 (ja) 2005-01-31 2009-11-18 パナソニック株式会社 半導体集積回路及びそのテスト方法
US7560964B2 (en) * 2005-03-18 2009-07-14 International Business Machines Corporation Latch and clock structures for enabling race-reduced MUX scan and LSSD co-compatibility
US7461365B1 (en) 2005-07-09 2008-12-02 Lightspeed Logic, Inc. Increased effective flip-flop density in a structured ASIC
US20070016834A1 (en) * 2005-07-13 2007-01-18 Texas Instruments Incorporated Reducing Power Dissipation During Sequential Scan Tests
WO2007037017A1 (ja) * 2005-09-29 2007-04-05 Fujitsu Limited 消費電力解析方法及び消費電力解析装置
JP2007263790A (ja) * 2006-03-29 2007-10-11 Nec Electronics Corp 半導体集積回路装置、及び、遅延故障試験方法
JP4682077B2 (ja) * 2006-03-30 2011-05-11 株式会社リコー 半導体集積回路
US7895491B2 (en) * 2006-05-04 2011-02-22 Broadcom Corp. Integrated circuit with low-power built-in self-test logic
US7587620B1 (en) 2006-05-09 2009-09-08 Altera Corporation Power reduction techniques for components in integrated circuits by assigning inputs to a plurality of ports based on power consumption ratings
US8332793B2 (en) 2006-05-18 2012-12-11 Otrsotech, Llc Methods and systems for placement and routing
WO2007146849A1 (en) * 2006-06-09 2007-12-21 Lightspeed Logic, Inc. Transparent test method and scan flip-flop
US7797664B2 (en) * 2006-06-23 2010-09-14 National Institute Of Advanced Industrial Science And Technology System for configuring an integrated circuit and method thereof
JP2008065382A (ja) * 2006-09-04 2008-03-21 Fujitsu Ltd Lsiの消費電力算出方法及びその算出プログラム
JP4704299B2 (ja) 2006-09-06 2011-06-15 富士通株式会社 Lsiの消費電力ピーク見積プログラム及びその装置
JP4370335B2 (ja) * 2007-02-13 2009-11-25 富士通株式会社 Lsi解析プログラム、該プログラムを記録した記録媒体、lsi解析装置、およびlsi解析方法
JP5035665B2 (ja) * 2007-03-19 2012-09-26 日本電気株式会社 半導体集積回路、半導体集積回路のテストパターン生成装置
JP4999632B2 (ja) 2007-10-12 2012-08-15 オンセミコンダクター・トレーディング・リミテッド 半導体集積回路
US8271252B2 (en) * 2007-11-08 2012-09-18 Nvidia Corporation Automatic verification of device models
US8510616B2 (en) * 2008-02-14 2013-08-13 Nvidia Corporation Scalable scan-based test architecture with reduced test time and test power
US7877715B1 (en) * 2008-03-28 2011-01-25 Cadence Design Systems, Inc. Method and apparatus to use physical design information to detect IR drop prone test patterns
WO2009127613A1 (en) * 2008-04-14 2009-10-22 Teklatech A/S A method for controlling the dynamic power signature of a circuit
US8943457B2 (en) * 2008-11-24 2015-01-27 Nvidia Corporation Simulating scan tests with reduced resources
JP2010139322A (ja) * 2008-12-10 2010-06-24 Renesas Electronics Corp 半導体集積回路およびその半導体集積回路のテスト方法
JP2010249774A (ja) * 2009-04-20 2010-11-04 Toshiba Corp テストパタン生成装置
JP5293461B2 (ja) * 2009-07-03 2013-09-18 富士通セミコンダクター株式会社 スキャンチェーンの生成方法、スキャンチェーンの生成プログラムおよび集積回路
US8516417B2 (en) * 2009-08-07 2013-08-20 International Business Machines Corporation Method and system for repartitioning a hierarchical circuit design
US8423843B2 (en) * 2009-10-23 2013-04-16 Atrenta, Inc. Method and system thereof for optimization of power consumption of scan chains of an integrated circuit for test
JP2011149775A (ja) * 2010-01-20 2011-08-04 Renesas Electronics Corp 半導体集積回路及びコアテスト回路
US8769607B1 (en) * 2011-01-26 2014-07-01 Intuit Inc. Systems and methods for evaluating a password policy
WO2013084364A1 (ja) * 2011-12-09 2013-06-13 富士通株式会社 スキャン回路及び半導体集積回路
US9903916B2 (en) * 2012-09-27 2018-02-27 Nxp Usa, Inc. Scan test system with a test interface having a clock control unit for stretching a power shift cycle
US8898527B2 (en) * 2013-01-18 2014-11-25 Lsi Corporation At-speed scan testing of clock divider logic in a clock module of an integrated circuit
US9268898B1 (en) * 2013-03-12 2016-02-23 Xilinx, Inc. Estimating power consumption of a circuit design
WO2014203318A1 (ja) * 2013-06-17 2014-12-24 富士通株式会社 情報処理装置、情報処理方法、及び情報処理プログラム

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0358376B1 (en) * 1988-09-07 1995-02-22 Texas Instruments Incorporated Integrated test circuit
US5598344A (en) * 1990-04-06 1997-01-28 Lsi Logic Corporation Method and system for creating, validating, and scaling structural description of electronic device
US5870308A (en) * 1990-04-06 1999-02-09 Lsi Logic Corporation Method and system for creating and validating low-level description of electronic design
JP2776120B2 (ja) * 1992-03-10 1998-07-16 日本電気株式会社 集積回路の電源配線布設方法
US5602753A (en) * 1994-04-19 1997-02-11 Matsushita Electric Industrial Co., Ltd. Method and apparatus for estimating power dissipation and method and apparatus of determining layout/routing
WO1995034036A2 (en) * 1994-06-03 1995-12-14 Synopsys, Inc. Method and apparatus for estimating the power dissipated by a digital circuit
JP2737695B2 (ja) * 1995-05-24 1998-04-08 日本電気株式会社 スキャンテスト回路およびそれを含む半導体集積回路装置
TW305958B (ja) * 1995-05-26 1997-05-21 Matsushita Electric Ind Co Ltd
JP3816560B2 (ja) * 1995-12-25 2006-08-30 株式会社ルネサステクノロジ 連想メモリ回路のテスト方法及び連想メモリ回路のテスト回路
US5640114A (en) * 1995-12-27 1997-06-17 Vlsi Technology, Inc. Versatile select and hold scan flip-flop
JP3693420B2 (ja) * 1996-06-20 2005-09-07 株式会社リコー 集積回路の消費電力見積り装置
US6000829A (en) * 1996-09-11 1999-12-14 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit capable of compensating for flucuations in power supply voltage level and method of manufacturing the same
JP4077899B2 (ja) * 1997-03-13 2008-04-23 株式会社日立製作所 論理回路の論理動作制御方法と半導体論理回路の消費電力制御方法及び算出方法及び半導体論理回路
JP3403612B2 (ja) * 1997-06-05 2003-05-06 沖電気工業株式会社 スキャンパスネットの配線方法と半導体集積回路
JPH11118883A (ja) * 1997-10-20 1999-04-30 Kawasaki Steel Corp 半導体集積回路およびそのテスト方法
CA2225879C (en) * 1997-12-29 2001-05-01 Jean-Francois Cote Clock skew management method and apparatus
US6363515B1 (en) * 1997-12-30 2002-03-26 Intel Corporation Early power estimation tool for high performance electronic system design
US7155646B2 (en) * 1999-02-10 2006-12-26 Texas Instruments Incorporated Tap and test controller with separate enable inputs
US6378093B1 (en) * 1998-02-10 2002-04-23 Texas Instruments Incorporated Controller for scan distributor and controller architecture
US6178534B1 (en) * 1998-05-11 2001-01-23 International Business Machines Corporation System and method for using LBIST to find critical paths in functional logic
US6389566B1 (en) * 1998-06-02 2002-05-14 S3 Incorporated Edge-triggered scan flip-flop and one-pass scan synthesis methodology
US6519729B1 (en) * 1998-06-27 2003-02-11 Texas Instruments Incorporated Reduced power testing with equally divided scan paths
US6260164B1 (en) * 1998-07-31 2001-07-10 International Business Machines Corporation SRAM that can be clocked on either clock phase
US6397170B1 (en) * 1998-08-18 2002-05-28 International Business Machines Corporation Simulation based power optimization
US6425110B1 (en) * 1998-12-17 2002-07-23 International Business Machines Corporation Incremental design tuning and decision mediator
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6622287B1 (en) * 2000-03-08 2003-09-16 Nec Corporation Low power hardware/software partitioning approach for core-based embedded systems
US6578176B1 (en) * 2000-05-12 2003-06-10 Synopsys, Inc. Method and system for genetic algorithm based power optimization for integrated circuit designs
JP2002082145A (ja) * 2000-09-07 2002-03-22 Matsushita Electric Ind Co Ltd 消費電力削減方法
US6735744B2 (en) * 2001-02-07 2004-05-11 Nec Corporation Power mode based macro-models for power estimation of electronic circuits

Also Published As

Publication number Publication date
US20030046643A1 (en) 2003-03-06
TW475108B (en) 2002-02-01
JP2001059856A (ja) 2001-03-06
US20070106965A1 (en) 2007-05-10
US7203913B2 (en) 2007-04-10
US6625784B1 (en) 2003-09-23

Similar Documents

Publication Publication Date Title
JP4428489B2 (ja) 集積回路装置及びそのテスト方法
US6631504B2 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
US6886121B2 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
US6829730B2 (en) Method of designing circuit having multiple test access ports, circuit produced thereby and method of using same
EP0834081B1 (en) Method and apparatus for testing a megacell in an asic using jtag
KR101006822B1 (ko) 투명한 테스트 방법 및 스캔 플립 플롭
US7181705B2 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
US7562321B2 (en) Method and apparatus for structured ASIC test point insertion
JP3851782B2 (ja) 半導体集積回路及びそのテスト方法
US20080005634A1 (en) Scan chain circuitry that enables scan testing at functional clock speed
US8914689B2 (en) Controlled toggle rate of non-test signals during modular scan testing of an integrated circuit
US7778790B2 (en) Semiconductor integrated circuit device and delay fault testing method
JP2004518222A (ja) 階層的設計及びテストの方法及びシステム、この方法を実施するプログラム製品、並びに、この方法によって製造される集積回路
EP1233276B1 (en) Boundary scan delay chain for crosschip delay measurement
JPH0763821A (ja) テスト回路
JPH07270494A (ja) 集積回路装置
US7702979B2 (en) Semiconductor integrated circuit incorporating test configuration and test method for the same
KR20060055595A (ko) 칩 테스트를 위한 테스트 억세스 포트의 간소화 장치
US6076178A (en) Test circuit and method for DC testing LSI capable of preventing simultaneous change of signals
JP2000315221A (ja) 集積回路装置の設計用データベース及び集積回路装置の設計方法
Zadegan et al. Robustness of TAP-based scan networks
JP3588052B2 (ja) バウンダリスキャンテスト回路
JP2003057309A (ja) 半導体集積回路装置
JP2001203322A (ja) 半導体集積装置
JP2004085333A (ja) 半導体集積回路の故障診断プログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060714

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121225

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees