JP2001059856A - 集積回路装置,そのテスト方法,その設計用データベース及びその設計方法 - Google Patents

集積回路装置,そのテスト方法,その設計用データベース及びその設計方法

Info

Publication number
JP2001059856A
JP2001059856A JP11234906A JP23490699A JP2001059856A JP 2001059856 A JP2001059856 A JP 2001059856A JP 11234906 A JP11234906 A JP 11234906A JP 23490699 A JP23490699 A JP 23490699A JP 2001059856 A JP2001059856 A JP 2001059856A
Authority
JP
Japan
Prior art keywords
circuit
test
integrated circuit
flip
circuit device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11234906A
Other languages
English (en)
Other versions
JP4428489B2 (ja
Inventor
Mitsuho Ota
光保 太田
Sadami Takeoka
貞巳 竹岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP23490699A priority Critical patent/JP4428489B2/ja
Priority to US09/637,867 priority patent/US6625784B1/en
Priority to TW089117156A priority patent/TW475108B/zh
Publication of JP2001059856A publication Critical patent/JP2001059856A/ja
Priority to US10/281,230 priority patent/US7203913B2/en
Priority to US11/646,433 priority patent/US20070106965A1/en
Application granted granted Critical
Publication of JP4428489B2 publication Critical patent/JP4428489B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318575Power distribution; Power saving
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318502Test of Combinational circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • G01R31/318591Tools
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【課題】 集積回路装置のテスト時におけるピークの消
費電力を抑制するための構造,テスト方法,設計方法及
び設計用データベースを提供する。 【解決手段】 組合せ回路10内を3つのグループX,
Y,Zに分ける。グループ分けした各グループX,Y,
Zに対応するフリップフロップ回路ごとに、タイミング
をずらせてQ端子の出力を固定していく。フリップフロ
ップ回路のQ端子を固定した状態でシフトモードの動作
を行なった後、シフトモードの動作が終了すると、グル
ープ分けした各グループX,Y,Zに対応するフリップ
フロップ回路ごとに、ホールド解除とキャプチャ動作と
を行なう。1つのクロックのHレベルのときにホールド
解除を行ない、そのクロックのLレベルのときにキャプ
チャ動作を行なうか、各グループX,Y,Zの順にホー
ルド解除を行なってから各グループX,Y,Zの順にデ
ータ信号Dを取り込むキャプチャ動作を行なう。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、スキャンテスト回
路を備えた集積回路装置,そのテスト方法,その設計用
データベース及びその設計方法に関するものである。
【0002】
【従来の技術】従来より、システムLSIなどの集積回
路装置において、内部に多数の回路を備えるとともに、
この回路の試験のためにスキャンテスト回路を備えたも
のが知られている。
【0003】図13は、集積回路装置の設計段階におい
て設計しようとする回路のデータをデータベースから取
り出して設計する状態を示す斜視図である。データベー
スには、各回路に関するデータがCORE1,CORE
2,CORE3,CORE4として登録されており、こ
れらのCOREをデータベースから取り出して、集積回
路装置内に適宜配置する。これらのCOREのデータと
しては、以前に利用したものを再利用する場合もあれば
新規に作成する場合もある。
【0004】そして、図13には示されていないが、集
積回路装置内の各論理回路の試験を行うためのスキャン
テスト回路が形成されているものがある。このスキャン
テスト方式とは、集積回路装置内のフリップフロップを
利用して、集積回路装置内の各要素(特にロジック回路
の要素)が正常に動作するかどうかなどを検査するもの
であって、これらのフリップフロップを数珠つなぎにし
て、試験モードのときにはこれをシフトレジスタとして
動作させるスキャンテスト回路を形成する方式である。
そして、集積回路装置の入出力ピンを、シフト入力端子
及びシフト出力端子として利用することにより、内部の
フリップフロップが外部からアクセスできるようにな
る。また、この方式によって、内部の論理回路を組合せ
回路として取り扱うことができるので、自己診断機能を
実現することができる。ここで、組合せ回路とは、記憶
動作を行なわない素子であるAND,OR,ゲート等の
素子によって構成された回路をいう。
【0005】図14(a)は、スキャンテスト回路を備
えた従来のシステムLSIの一部を示す図である。同図
に示すように、システムLSI中の内部回路である組合
せ回路110と別の組合せ回路110との間には、各組
合せ回路110に接続されるスキャンテスト用のフリッ
プフロップ111A〜111Fが設けられている。な
お、図14(a)においては、組合せ回路110を1つ
しか表示していないが、実際には、多数の組合せ回路が
設けられており、各組合せ回路と組合せ回路との間に
は、スキャンテスト回路を構成するフリップフロップが
配置されている。
【0006】ここで、フリップフロップ111は、デー
タ信号を取り込むためのD端子と、スキャンテスト信号
を取り込むためのDT端子と、クロック信号を取り込む
ためのクロック端子と、動作モード設定用のNT信号を
取り込むためのNT端子と、スキャンテスト信号及びデ
ータ信号を出力するためのQ端子とを有している(な
お、図示されていないが、一般的なフリップフロップに
は/Q端子も設けられている)。
【0007】そして、あるフリップフロップ(例えば1
11A)のQ端子と、これに隣接するフリップフロップ
(例えば111B)のDT端子とを順次接続し、フリッ
プフロップ111A〜111Fが数珠繋ぎになるように
スキャンテスト回路を構成している。そして、システム
LSIへのスキャンテスト信号入力端子として機能する
入力ピンから入力されるスキャン・イン信号を、システ
ムLSI内の1つのスキャンテスト回路中の先頭に配置
されるフリップフロップのDT端子で受けて、スキャン
テスト回路中の最終段のフリップフロップのQ端子から
スキャン・アウト信号をシステムLSIの出力ピンを経
て外部に出力するように構成されている。一般的に、シ
ステムLSI内には、数個から数10個程度のスキャン
テスト回路が形成されている。
【0008】システムLSIのテスト時には、システム
LSIのスキャンテスト信号入力端子となっている入力
ピンと、スキャンテスト出力端子となっている出力ピン
とはテスターに接続され、テスターから出力されるスキ
ャンテスト信号DTをスキャンテスト信号入力端子で受
けるとともに、システムLSI内の組合せ回路を経たデ
ータ信号D(スキャンテスト信号が組合せ回路内を通過
した結果得られるデータ値)をテスター内に取り込ん
で、データ信号Dの値と期待値とをテスターで比較する
ことにより、各組合せ回路110内の各要素の良否を判
定できるように構成されている。
【0009】このテスターを用いたシステムLSIのテ
スト時には、NT信号がテストモードに切り換わる。特
に、スキャンテストのためのテストモードとしては、シ
フトモードとキャプチャモードとがある。
【0010】図14(b)は、スキャンテスト時におけ
る制御状態の時間に対する遷移を示す図である。NT信
号がシフトモードの間には、各フリップフロップ111
A〜111Fにスキャンテスト信号DTが送り込まれ
る。つまり、1クロックごとにあるフリップフロップの
Q端子から次段のフリップフロップのDT端子へスキャ
ンテスト信号が順次送り込まれて、スキャンテスト回路
を形成しているすべてのフリップフロップに、組合せ回
路に入力しようとするテストスキャン信号が保持された
状態となる。このとき、スキャンテスト回路内のフリッ
プフロップ数に応じたクロック数(一般的には数100
クロック以上)に相当する時間(つまり数100クロッ
ク以上の周期)が必要である。そして、フリップフロッ
プ111A〜111Fにスキャンテスト信号DTが取り
込まれた状態で、NT信号がキャプチャモードになる
と、各フリップフロップ111A〜111F内にデータ
信号が取り込まれる。このときは、各フリップフロップ
111A〜111Fに同時にデータ信号を取り込めばよ
いので、1クロックに相当する時間でキャプチャが行な
われる。このデータ信号Dは、組合せ回路110内を通
過した信号であって、組合せ回路110に入力されたス
キャンテスト信号DTの値に応じた出力値を持ってい
る。そして、次のシフトモードにおいて、各フリップフ
ロップ111A〜111Fに、次のスキャンテスト信号
DTが送り込まれていくが、そのとき、同時に各フリッ
プフロップ111A〜111Fに保持されているデータ
信号Dが、出力ピンからテスターに送り出され、シフト
モード動作が終了した時点では、スキャンテスト回路内
のフリップフロップ111A〜111Fには、キャプチ
ャモードで取り込んだデータ信号Dに代わってスキャン
テスト信号DTが保持された状態となっている。その
後、キャプチャ,シフト,キャプチャ,…を繰り返す。
【0011】つまり、当該組合せ回路110に入力され
たスキャンテスト信号DTが各組合せ回路を通過した結
果得られるはずの期待値と、当該組合せ回路110から
現実に出力されるデータ信号Dとを比較することによ
り、各組合せ回路110の良否の判定が行える。
【0012】このスキャンテストを行なうに際しては、
集積回路装置のスキャンテストを短時間で済ませるため
に、できるだけ多くの組合せ回路を同時に動作させる必
要がある。これは、テスターのランニングコストが高価
なために、テスターを使用している時間が長いと、最終
的に集積回路装置のコストの上昇をきたすからである。
【0013】そのために、スキャンテスト用の信号を各
フリップフロップに送る際には、一般的には、フリップ
フロップ数に応じたテストパターンをその数のクロック
数でシフトさせてから、1クロックでキャプチャ動作に
入るという一連の流れで行なわれているのである。
【0014】
【発明が解決しようとする課題】しかしながら、上記集
積回路装置のスキャンテストのごとく、短時間で多くの
COREを動作させると、テスト時の瞬間的な消費電力
(ピーク消費電力)がきわめて大きくなるおそれが生じ
てきている。特に、近年、きわめて多数かつ多種類の回
路を1チップ内に収納したシステムLSIのような集積
回路装置を形成する際には、このピーク消費電力が非常
に大きくなることが予想される。
【0015】図15は、スキャンテスト時における集積
回路装置全体の消費電力の経時変化を例示する図であ
る。同図に示すように、CORE1,CORE2,CO
RE3,CORE4が同時に(1クロックで)動作する
ことによって、テスト時の消費電力が瞬間的に上昇する
ことになる。ところが、一般的には、デバイスの実使用
時における消費電力についての電源設計は行なわれる
が、テスト時のピーク電力の上昇を見込んだ電源設計は
行なわれていない。実使用時には集積回路装置内のすべ
ての回路が同時に動作することはほとんどあり得ないの
で、実使用時のピーク電力値は一般にはそれほど大きく
はない。その結果、このようなテスト時のピークの消費
電力を見込んでいない集積回路装置は、スキャンテスト
時に正常に動作しないか、あるいは、ダメージを受ける
ことになる。
【0016】本発明の目的は、テスターの使用時間の増
大を抑制しつつ、集積回路装置のスキャンテストを行な
う際に各回路が動作するタイミングを分散させる手段を
講ずることにより、スキャンテスト時のピーク消費電力
の低減を図ることにある。
【0017】
【課題を解決するための手段】本発明の第1の集積回路
装置は、内部に複数の論理回路と各論理回路間に配置さ
れた複数のフリップフロップ回路とを含む集積回路装置
であって、上記各フリップフロップ回路は、スキャンテ
スト信号を受ける第1の入力部と、上記論理回路に接続
され、上記論理回路に入力されたスキャンテスト信号に
応じた論理回路の出力をデータ信号として受ける第2の
入力部と、フリップフロップ回路内への入力を上記スキ
ャンテスト信号とデータ信号とに切り換えるための制御
信号を受ける第3の入力部と、クロック信号を受ける第
4の入力部と、ホールド用信号を受ける第5の入力部
と、上記論理回路に接続されスキャンテスト信号を上記
論理回路内に送るための第1の出力部と、データ信号及
びスキャン信号を出力するための第2の出力部とを備
え、上記複数のフリップフロップ回路のうちの任意のフ
リップフロップ回路の第2の出力部と次段のフリップフ
ロップ回路の第1の入力部とが順次接続されて、上記複
数のフリップフロップ回路を直列に接続したスキャンテ
スト回路が形成されていて、上記第5の入力部に上記ホ
ールド用信号を受けたときに、上記第1の出力部からの
出力値を固定するように構成されている。
【0018】これにより、スキャンテスト時におけるシ
フト動作において、シフト動作のクロックごとに論理回
路内の状態が変化するのが阻止されるので、集積回路装
置のスキャンテスト時におけるピークの消費電力を抑制
することができる。
【0019】上記第1の集積回路装置において、上記各
フリップフロップ回路は、上記フリップフロップ回路の
上記第1の出力部からの出力値が、上記ホールド信号を
受けたときに保持している値に固定されるように構成さ
れていてもよい。
【0020】その場合、上記各フリップフロップ回路に
おける第5の入力部は上記第3の入力部と共通化されて
いてもよい。
【0021】また、上記第1の集積回路装置において、
上記各フリップフロップ回路は、上記フリップフロップ
回路の上記第1の出力部からの出力値が、上記ホールド
信号を受けたときに保持している値に拘わらず1又は0
に固定されるように構成されていてもよい。
【0022】本発明の第2の集積回路装置は、少なくと
も第1及び第2の回路と、上記第1の回路をテストする
ための第1のテスト回路と、上記第2の回路をテストす
るための第2のテスト回路と、上記第1の回路と第2の
回路にクロック信号を供給するためのクロック供給部
と、上記クロック供給部からのクロック信号を反転して
反転クロックを出力するクロック反転部と、上記クロッ
ク反転部と上記第2の回路との間に介設され、上記クロ
ック信号と上記反転クロック信号とを受けて、上記第2
の回路のテスト時には上記第2の回路に反転クロック信
号を出力する出力切り換え回路とを備えている。
【0023】これにより、複数の回路において同時にテ
ストを行なっても、動作のタイミングが反クロック周期
だけずれるので、複数の回路が同時にテストされること
によるピークの消費電力の増大を防止することができ
る。
【0024】上記第2の集積回路装置において、上記第
1の回路は論理回路であり、上記第2の回路はメモリで
あってもよい。
【0025】本発明の第1の集積回路装置のテスト方法
は、内部に複数の論理回路と各論理回路間に配置された
複数のフリップフロップ回路とを含み、各フリップフロ
ップ回路を順次接続してなるスキャンテスト回路を備え
た集積回路装置のテスト方法であって、上記フリップフ
ロップ回路から上記各論理回路に接続される経路への出
力信号を固定するホールド動作を行うステップ(a)
と、上記各フリップフロップ回路にスキャンテスト信号
を順次送るとともに、上記各フリップフロップ回路から
データ信号を順次集積回路装置の外部に送り出すシフト
動作を行うステップ(b)と、上記シフト動作の終了後
に、上記ステップ(a)で固定された出力信号の固定を
解除するホールド解除動作を行うステップ(c)と、上
記ステップ(c)の後に、上記論理回路からの出力信号
をフリップフロップ回路に取り込むキャプチャ動作を行
うステップ(d)とを繰り返して、スキャンテストを行
う方法である。
【0026】この方法により、シフト動作時に論理回路
内がスキャンテスト信号に応じて変化することに起因す
るピークの消費電力の増大を抑制することができる。さ
らに、以下のような動作を付加することにより、ホール
ド動作,ホールド解除動作,キャプチャ動作などにおけ
るピークの消費電力を低減することができる。
【0027】上記論理回路内を複数のグループに分け
て、上記ステップ(a)を、出力信号の値を固定時にフ
リップフロップ回路内に保持されている値に固定するよ
うに行い、上記ステップ(c)を上記各グループごとに
行い、上記ステップ(a)を、第1回目のシフト動作が
終了した後はステップ(c)の後でステップ(d)の前
に行うことができる。
【0028】上記論理回路内を複数のグループに分け
て、上記ステップ(a)を、出力信号の値を固定時にフ
リップフロップ回路内に保持されている値に固定するよ
うに行い、上記ステップ(c)及び(d)を、上記複数
のグループに分けられた各グループ別に、かつ、あるグ
ループのキャプチャ動作が当該グループのホールド解除
動作の後になるように行い、上記ステップ(a)を、第
1回目のシフト動作が終了した後においてはステップ
(d)の後に行うことができる。
【0029】上記論理回路内を、複数のグループに分け
て、上記ステップ(a)を、出力信号の値を固定時にフ
リップフロップ回路内に保持されている値に拘わらず1
又は0に固定するように、かつ、上記各グループごとに
行い、上記ステップ(c)及び(d)を、上記複数のグ
ループに分けられた各グループ別に、かつ、あるグルー
プのキャプチャ動作が当該グループのホールド解除動作
の後になるように行い、上記ステップ(a)を、第1回
目のシフト動作が終了した後においては、ステップ
(d)の後に行うことができる。
【0030】上記第1の集積回路装置のテスト方法にお
いて、上記論理回路内のグループ分けは、テスト時にお
けるピークの消費電力が集積回路装置の実使用時の許容
値を超えないように行われることが好ましい。
【0031】本発明の第2の集積回路装置のテスト方法
は、少なくとも第1及び第2の回路と、上記第1の回路
をテストするための第1のテスト回路と、上記第2の回
路をテストするための第2のテスト回路と、上記第1の
回路と第2の回路にクロック信号を供給するためのクロ
ック供給部とを有する集積回路装置のテスト方法であっ
て、上記第1の回路のテストを行うときには上記クロッ
ク信号に応じてテストを行う一方、上記第2の回路のテ
ストを行うときには、上記第1の回路のテストを行いな
がら、上記クロック信号を反転させた反転クロック信号
に応じて上記第2の回路のテストを行う方法である。
【0032】この方法により、複数の回路間で同時にテ
ストを行う場合にも、ピークの消費電力の増大を抑制す
ることができる。
【0033】上記第2の集積回路装置のテスト方法にお
いて、上記第1の回路は論理回路であり、上記第2の回
路はメモリである場合に著効を発揮することができる。
【0034】本発明の集積回路の設計方法は、集積回路
装置を設計するために必要なデータを格納する少なくと
も1つのコアを有するデータベースを用いた集積回路装
置の設計方法であって、上記データベースに、コアのテ
スト時における消費電力に関する推定情報を記述してお
き、設計の上流側で、コアを選択する際に上記コアのテ
スト時における消費電力の推定情報を用いた設計を行な
って、上記設計を行った結果得られた設計情報を用い
て、下流側の設計を行う方法である。
【0035】この方法により、下流側の設計で初めて消
費電力の推定を行う場合に比べ、再設計のループの繰り
返しを低減でき、設計の迅速化を図ることができる。
【0036】上記集積回路装置の設計方法において、上
記推定情報を利用した設計は、アーキテクチャ設計であ
ることが好ましい。
【0037】そして、上記設計情報には、上記アーキテ
クチャ設計において設計される複数のコア間のデータフ
ローに関する情報を用い、上記コアの複数のグループに
分け、上記データフロー中における下流側のグループか
ら順にキャプチャ動作を行なうことを可能とする回路設
計を指示する情報が含まれていることがさらに好まし
い。
【0038】上記集積回路装置の設計方法において、上
記設計情報には、上記コア内を複数のグループに分割す
るグルーピングに関する情報が含まれていることが好ま
しい。
【0039】上記集積回路装置の設計方法において、上
記設計情報には、テスト手法に関する情報が含まれてい
ることが好ましい。
【0040】上記集積回路装置の設計方法において、上
記設計情報には、互いにテスト手法が異なる複数のコア
を有している場合に、テスト手法を時間とピン番号とを
座標とする平面上のテストパターンに表したときに、使
用可能なピンの制約の元にテスト時間が最小で、ピーク
の消費電力が集積回路装置の実使用時の許容電力値を越
えないように決定されたテストスケジューリング情報が
含まれていることが好ましい。
【0041】本発明の集積回路装置の設計用データベー
スは、集積回路装置を設計するために必要なデータを格
納する複数のコアを有する集積回路装置の設計用データ
ベースであって、上記コアのテスト時消費電力に関する
情報を含んでいる。
【0042】これにより、集積回路装置の設計時に、実
使用時の消費電力だけでなくテスト時における消費電力
を考慮した設計が可能になる。
【0043】上記テスト時消費電力に関する情報には、
コアのピーク時消費電力の推定値と、コアの状態の最大
遷移確率,コアの回路規模及びコアを構成するゲートの
消費電力と、回路及びシミュレーションパターンとがあ
る。
【0044】上記集積回路装置の設計用データベースに
おいて、上記コアの分割可能数に関する情報を含んでい
ることが好ましく、このコアの分割可能数に関する情報
には、シフト時の消費電力とコアの分割時のピーク消費
電力とが等しくなるレベル,テスト又は設計変更を行わ
ないコアの分割数と諸費電力,及びテスト又は設計変更
を行わないコアの分割数とクロックごとのピーク消費電
力などがある。
【0045】また、上記コアの分割可能性に関する情報
を含んでいることが好ましく、このコアの分割可能性に
関する情報には、コアの最大許容分割数及びクロック系
統数などがある。
【0046】
【発明の実施の形態】(第1の実施形態)図1は、本発
明の第1の実施形態に係る集積回路装置であるシステム
LSIの一部を示す図である。同図に示すように、シス
テムLSI中の論理回路の1つである組合せ回路10
と、各組合せ回路10同士の間に配置され各組あわせ回
路に接続されるフリップフロップ回路11A〜11Fと
が設けられている。なお、図1においては、組合せ回路
110を1つしか表示していないが、実際には、システ
ムLSI中のフリップフロップ回路を利用して、システ
ムLSI内の多数の要素からなる組合せ回路をテストす
るためのスキャンテスト回路が設けられている。このフ
リップフロップ回路11A〜11Fは、できるだけ実使
用のために配置されているフリップフロップを用いる。
【0047】なお、システムLSI内にはスキャンテス
トを適用できない回路も存在しており、それらの回路は
別のテスト方法で検査を受けることになる。
【0048】各フリップフロップ回路11は、データ信
号Dを取り込むためのD端子と、スキャンテスト信号D
Tを取り込むためのDT端子と、クロック信号を取り込
むためのクロック端子と、動作モード設定用のNT信号
を取り込むためのNT端子と、データ信号Dを出力する
ためのQ端子と、スキャンテスト信号DTを出力するた
めのSO端子とを有している。そして、あるフリップフ
ロップ回路(例えば11A)のSO端子と、これに隣接
するフリップフロップ回路(例えば11B)のDT端子
とを順次接続し、各フリップフロップ回路11A〜11
Fが数珠繋ぎになるようにスキャンテスト回路を構成し
ている。そして、システムLSIへのスキャンテスト信
号入力端子(入力ピンの1つ)から入力されるスキャン
・イン信号を、システムLSI内のスキャンテスト回路
中の先頭に配置されるフリップフロップ回路のDT端子
で受けて、スキャンテスト回路中の最終段のフリップフ
ロップ回路のSO端子からスキャン・アウト信号をシス
テムLSIのスキャンテスト信号出力端子(出力ピンの
1つ)を経て外部に出力するように構成されている。こ
のスキャンテスト信号入力端子とスキャンテスト信号出
力端子とは、システムLSIの実使用時に使用される入
力ピン,出力ピンの一部を流用している。そして、シス
テムLSIのスキャンテストのためにいくつのスキャン
テスト回路を多く形成すればするほどテスト時間は短く
て済むが、スキャンテスト回路を形成するための回路面
積が余分に必要となり、しかも、タイミング等に影響を
与える箇所が増加するという不利な点もある。したがっ
て、このトレードオフを考慮して、システムLSIの試
験項目数や回路規模に応じた適切なスキャンテスト回路
数,つまりピン数が設定されている。
【0049】システムLSIのテスト時には、システム
LSIのスキャンテスト信号入力端子となっている入力
ピンと、スキャンテスト信号出力端子となっている出力
ピンとはテスターに接続され、テスターから出力される
スキャンテスト信号DTをスキャンテスト信号入力端子
で受けるとともに、システムLSI内の組合せ回路を経
たデータ信号D(スキャンテスト信号が組合せ回路内を
通過した結果得られるデータ値)をテスター内に取り込
んで、データ信号Dの値と期待値とをテスターで比較す
ることにより、各組合せ回路10内の各要素の良否を判
定できるように構成されている。
【0050】スキャンテスト時においては、NT信号が
シフトモードの間には、各フリップフロップ回路11A
〜11Fにスキャンテスト信号DTが次々と送り込まれ
る。つまり、1クロックごとにあるフリップフロップ回
路のSO端子から次段のフリップフロップ回路のDT端
子へスキャンテスト信号が順次送られる。そして、シフ
トモード動作の終了時には、すべてのフリップフロップ
回路に、組合せ回路に入力しようとするテストスキャン
信号が保持された状態となる。このとき、システムLS
Iのピン数に応じたクロック数が必要である。そして、
フリップフロップ回路11A〜11Fにスキャンテスト
信号DTが取り込まれた状態で、NT信号がキャプチャ
モードになると、各フリップフロップ回路11A〜11
F内にデータ信号Dが取り込まれる。このときは、各フ
リップフロップ回路11A〜11Fに同時にデータ信号
を取り込めばよいので、1クロックに相当する時間でキ
ャプチャが行なわれる。このデータ信号Dは、組合せ回
路10内を通過した信号であって、フリップフロップ回
路11から組合せ回路10に入力されたスキャンテスト
信号DTの値に応じた出力値を持っている。
【0051】つまり、当該組合せ回路10に入力された
スキャンテスト信号DTに応じた期待値と当該組合せ回
路10から出力されるデータ信号Dとが食い違っている
場合には、組合せ回路内に何らかの不良が存在すること
になる。そして、組合せ回路10内のテスト項目に応じ
たテストを行なうために、スキャンテスト信号のシフ
ト,組合せ回路を通過したデータのキャプチャ,…を繰
り返して、すべての必要な項目に関するスキャンテスト
を行なうようになされている。
【0052】ここで、本実施形態におけるシステムLS
Iの特徴は、図1に示すように、組合せ回路10にデー
タ信号Dやスキャンテスト信号DTを送り込むためのQ
端子とは別に、スキャンテスト信号DTを次のフリップ
フロップ回路11に送るための端子としてSO端子を設
け、スキャンテストのシフトモードの間、Q端子の出力
を固定して組合せ回路10内の状態がシフトモード中は
変化しないように構成している点である。
【0053】つまり、図14に示すような従来のテスト
スキャン回路の構成では、シフトモードの間、各フリッ
プフロップ回路に順次送り込まれるテストスキャン信号
のためにQ端子の出力が変化する。それに対し、本実施
形態のスキャンテスト回路では、フリップフロップ回路
10のQ端子の出力をシフトモードの間は固定しておく
ことで、組合せ回路10内の状態が1クロックごとに変
化して消費電力が増大するのを抑制するようにしてい
る。
【0054】以下、Q端子の出力を固定するためのフリ
ップフロップ回路の回路構造の具体例と、このフリップ
フロップ回路を用いたスキャンテスト時の動作に関する
具体例とについて説明する。
【0055】−フリップフロップ回路の構成に関する第
1の具体例−図2は、Q端子の出力を固定するための第
1の具体例に係るフリップフロップ回路11xの構成を
示す回路図である。同図に示すように、フリップフロッ
プ回路11xは、データ信号D及びスキャンテスト信号
DTを受け、NT信号に応じていずれかを選択するセレ
クタとして機能するマルチプレクサ21と、クロック信
号CLKの反転信号に応じてマルチプレクサ21の出力
をラッチする第1ラッチ回路22と、クロック信号CL
Kに応じて第1ラッチ回路22の出力をラッチする第2
ラッチ回路23と、クロック信号CLK及びHOLD信
号を受け、両者のAND演算を行なった結果を出力にす
るAND回路24と、AND回路24の出力に応じて第
2ラッチ回路23の出力をラッチする第3ラッチ回路2
5とを備えている。そして、マルチプレクサ21の2つ
の信号入力部がD端子,DT端子として機能し、マルチ
プレクサ21の制御信号入力部がNT端子として機能
し、第2ラッチ回路23の出力端子がSO端子として機
能し、第3ラッチ回路25の出力端子がQ端子として機
能する。
【0056】この構成により、HOLD信号が“1”の
ときには、クロック信号CLKが“1(H)”又は“0
(L)”のいずれであるかに拘わらず、AND回路24
の出力は必ず“0”になる。したがって、出力端子がQ
端子として機能する第3ラッチ回路25は、第1ラッチ
回路22の出力が次々と変化していっても、HOLD信
号が“1”である間は同じ入力値(“1”又は“0”)
をそのまま維持する。つまり、シフトモードの間、クロ
ック信号CLKの1パルスごとに、第1ラッチ回路22
からテストスキャン信号DTが出力され、第2ラッチ回
路23を経てSO端子から次のフリップフロップ回路に
出力される。その間、第3ラッチ回路25の出力つまり
Q端子の出力は、HOLD信号がAND回路24に入力
されたときの値(1又は0)に固定されることになる。
【0057】なお、HOLD信号に代えてNT信号をQ
端子を固定するための信号として利用することもでき
る。つまり、HOLD信号用端子とNT端子とを共通化
することができる。その場合には、次の第2の実施形態
において説明するような動作が可能になる。
【0058】−フリップフロップ回路の構成に関する第
2の具体例− 図3は、Q端子の出力を固定するための第2の具体例に
係るフリップフロップ回路11yの構成を示す回路図で
ある。同図に示すように、フリップフロップ回路11y
は、図14(a)に示す従来のフリップフロップと同様
の構成において、Q端子をSO端子として機能させるよ
うに構成されたフリップフロップ31と、HOLD信号
の反転信号とフリップフロップ31の出力と受け、両者
のAND演算を行なった結果を出力するAND回路32
とを備えている。図3に示すフリップフロップ回路11
yにおいては、フリップフロップ31のD端子,DT端
子,クロック端子NT端子がそのままフリップフロップ
回路11y全体のD端子,DT端子,クロック端子,N
T端子として機能し、AND回路32の出力端子がフリ
ップフロップ回路11y全体のQ端子として機能する。
【0059】この構成により、HOLD信号が“1”の
ときには、フリップフロップ31の出力が“1(H)”
又は“0(L)”のいずれであるかに拘わらず、AND
回路32の出力は必ず“0”になる。したがって、AN
D回路32の出力は、フリップフロップ31のSO端子
からの出力が次々と変化していっても、HOLD信号が
“1”である間は、“0”をそのまま維持する。つま
り、シフトモードの間、クロック信号CLKの1パルス
ごとに、フリップフロップ31のSO端子からテストス
キャン信号DTが出力され、次のフリップフロップ回路
に出力される。その間、AND回路32の出力つまりQ
端子の出力は、常に“0”に固定されることになる。
【0060】なお、図3の構造においても、HOLD信
号に代えてNT信号をQ端子を固定するための信号とし
て利用することもできる。つまり、HOLD信号用端子
とNT端子とを共通化することができる。その場合に
は、次の第2の実施形態において説明するような動作が
可能になる。
【0061】(第2の実施形態)本実施形態において
は、第1の実施形態の具体例で説明したフリップフロッ
プ回路の構成を利用してスキャンテストを行なう方法に
ついて説明する。
【0062】−スキャンテストの方法に関する第1の具
体例− 図4(a),(b)は、それぞれスキャンテストの方法
の第1の具体例に係るシステムLSIの一部を示す回路
図、テストモードの変化を示す図である。本具体例にお
いては、第1の具体例におけるフリップフロップ回路1
1x(図2参照)を用いる。
【0063】まず、図4(a)に示すように、組合せ回
路10内を3つのグループX,Y,Zに分ける。すなわ
ち、各フリップフロップ回路11A〜11Cの入力信号
によって影響を受ける組合せ回路10中の各部分がほぼ
3分割されるように、以下のようにグルーピングを行な
う。
【0064】まず、フリップフロップ回路11のQ端子
からの出力の影響範囲の和集合を求める。そして、影響
範囲の和集合がほぼ均等になるように、組合せ回路10
内のすべての要素をグループX,Y,Zに分ける。ただ
し、各フリップフロップ回路11A〜11Cの各グルー
プX,Y,Zは互いにオーバーラップする要素を含んで
いる。ある要素が、例えば1つのフリップフロップ回路
11AのQ端子からの出力の影響と、他のフリップフロ
ップ回路11BのQ端子の出力の影響とを受けることは
当然あり得るからである。ただし、この具体例では、1
つの組合せ回路10の前段側に配置されるフリップフロ
ップ回路11A〜11Cの数も組合せ回路10内のグル
ープX,Y,Zの数も偶然3つであるが、一般的には、
これらの数が互いに一致するというわけではない。一般
的には、多数のフリップフロップが数個のグループに分
けられると考えてよい。
【0065】なお、このグループ分けの方法は、上述の
方法の他に、例えば組合せ回路10内における各グルー
プの動作量がほぼ均一になるように分ける方法などがあ
り、この具体例の方法に限定されるものではない。
【0066】そして、図4(b)に示すように、スキャ
ンテストの開始時に、HOLD信号を“1”にしてフリ
ップフロップ回路のQ端子の出力を固定にする。そし
て、シフトモードにおいては、HOLD信号を“1”に
保って、組合せ回路10内の状態をホールドして変化さ
せないでおく。次に、シフト動作が終了しても、すぐに
キャプチャモードに移行するのではなく、HOLD信号
によるホールド状態を解除するモードに移る。このと
き、すべてのフリップフロップ回路のQ端子の固定を同
時に解除するのではなく、グループ分けした各グループ
X,Y,Zに対応するフリップフロップ回路ごとに(こ
の例では、フリップフロップ回路11C,11B,11
Aの順に)、Q端子の出力の固定を解いて、各グループ
X,Y,Zのホールド状態を解除していく。このホール
ドの解除はクロック信号がHレベルのときに行なう。こ
れにより、図2内の第3ラッチ回路25にはそのときの
第1ラッチ回路22の出力つまりスキャンテスト信号D
Tが取り込まれる。次に、HOLD信号により、すべて
のフリップフロップ回路のQ端子の出力を固定する。そ
の後、キャプチャモードに移行して、データ信号Dをフ
リップフロップ回路11のD端子からフリップフロップ
回路11内に取り込む。その際、キャプチャモード動作
の前に、フリップフロップ回路11のQ端子を固定して
組合せ回路10内の状態をホールドしているので、スキ
ャンテスト回路内のすべてのフリップフロップ回路11
A〜11Fにデータ信号が同時に取り込まれることによ
る消費電力の瞬間的な上昇を回避することができる。
【0067】そして、シフトモードに移行すると、この
データ信号Dをスキャンテスト回路を通じて順次次段側
のフリップフロップ回路に送り込むとともに、その後か
らスキャン信号をスキャンテスト回路に順に送り込んで
いく。そして、システムLSIのスキャンテスト回路内
の各フリップフロップ回路11内にいったん取り込まれ
たデータ信号Dがすべてテスターに送り出され、スキャ
ンテスト回路内のすべてのフリップフロップ回路11に
次のスキャンテスト信号DTが保持されると(つまりシ
フトモード動作が終了すると)、再び、各グループX,
Y,Zの順にホールド解除を行ない、ホールド動作,キ
ャプチャモード動作,…という制御を繰り返す。
【0068】、本実施形態のスキャンテスト方法による
と、シフトモード動作に移行する前に、組合せ回路10
内の状態をホールドしているので、シフトモード動作の
ときに各フリップフロップ回路11A〜11Fに送られ
るスキャンテスト信号DTがシフトされていく度に組合
せ回路10内の各要素が同時に変化することに起因する
消費電力の上昇を抑制することができる。
【0069】しかも、組合せ回路10内を複数のグルー
プに分けて、ホールド解除を複数のグループごとに行な
ったあと、再びホールドを行なってからキャプチャ動作
を行なうので、ホールドの解除とキャプチャ時における
ピーク消費電力の上昇を抑制することができる。
【0070】一方、上述のスキャンテストの制御におい
て、ホールド解除動作は、クロック信号がHレベルのと
きに行なう必要があるが、クロックがゆっくりの場合に
は1つのクロック信号のHレベルの間に3つのグループ
X,Y,Zのホールド解除を行なうことも不可能ではな
いし、クロックを3クロック分の期間Hレベルに固定値
手、その間にホールド解除を行なってもよい、また、ホ
ールド動作は、クロックとは無関係に行なうことができ
る。したがって、本実施形態のスキャンテスト方法の動
作によって増大するしテスト時間は、従来のスキャンテ
スト方法に比べて、たかだか4クロック周期分であり、
シフトモード動作に要する時間が数100クロック周期
分であることを考慮すると、テスト時間の増大はほとん
どないといえる。
【0071】−スキャンテストの方法に関する第2の具
体例− 図5(a),(b)は、それぞれスキャンテストの方法
の第2の具体例に係るシステムLSIの一部を示す回路
図、及びテストモードの変化を示す図である。本具体例
においては、第1の具体例におけるフリップフロップ回
路11x(図2参照)を用いる。
【0072】まず、図5(a)に示すように、組合せ回
路10内を3つのグループX,Y,Zに分ける。このグ
ループ分けは、基本的には上述のテストスキャン方法の
第1の具体例と同じ方法によるが、「あるグループ(例
えばX)の状態に影響するフリップフロップ回路(前段
側に接続されるフリップフロップ回路11C)のキャプ
チャを行なうためのクロック信号が、当該グループXの
後段側に接続されるフリップフロップ回路11Fのキャ
プチャを行なうためのクロック信号よりも先に入らな
い」という制限を設ける。具体的な方法については後述
する。
【0073】本具体例の方法においては、図5(b)に
示すように、フリップフロップ回路のQ端子を固定した
状態でシフトモードの動作を行なった後、シフトモード
の動作が終了すると、グループ分けした各グループX,
Y,Zに対応するフリップフロップ回路ごとに(この例
では、フリップフロップ回路11C,11B,11Aの
順に)、Q端子の出力固定の解除(ホールド解除)と、
キャプチャ動作とを行なう。このとき、例えば1つのク
ロックのHレベルのときにホールド解除を行ない、その
クロックのLレベルのときにキャプチャ動作を行なって
もよいし、各グループX,Y,Zの順にホールド解除を
行なってから各グループX,Y,Zの順にデータ信号D
を取り込むキャプチャ動作を行なってもよい。さらに、
グループXのホールド解除を行なった後、グループYの
ホールド解除とグループXのキャプチャとを少しタイミ
ングをずらせて行なうなど、多くのバリエーションを採
ることができる。
【0074】その後、再びホールド動作を行なってか
ら、シフトモードに移行すると、このデータ信号Dをス
キャンテスト回路を通じて順次次段側のフリップフロッ
プ回路に送り込むとともに、その後からスキャン信号D
Tをスキャンテスト回路に順に送り込んでいく。そし
て、システムLSIのスキャンテスト回路内の各フリッ
プフロップ回路11内にいったん取り込まれたデータ信
号Dがすべてテスターに送り出され、スキャンテスト回
路内のすべてのフリップフロップ回路11に次のスキャ
ンテスト用のスキャンテスト信号DTが取り込まれる
と、再び、各グループX,Y,Zの順にホールド解除,
キャプチャモード,…という制御を繰り返す。
【0075】本具体例のスキャンテスト方法によると、
第1の具体例と同様に効果を発揮することができる。ま
た、従来のテストスキャン方法に比べて、3〜6クロッ
ク周期程度の時間が増大するが、この時間は全体の時間
からみるとわずかである。
【0076】−スキャンテストの方法に関する第3の具
体例− 図6(a),(b)は、それぞれスキャンテストの方法
の第3の具体例に係るシステムLSIの一部を示す回路
図、テストモードの変化を示す図である。本具体例にお
いては、第2の具体例におけるフリップフロップ回路1
1y(図3参照)を用いる。
【0077】まず、図6(a)に示すように、組合せ回
路10内を3つのグループX,Y,Zに分ける。このグ
ループ分けは、基本的には上述のテストスキャン方法の
第1の具体例と同じ方法によるが、「あるグループ(例
えばX)の状態に影響するフリップフロップ回路(前段
側に接続されるフリップフロップ回路11C)のキャプ
チャを行なうためのクロック信号が、当該グループXの
後段側に接続されるフリップフロップ回路11Fのキャ
プチャを行なわせるためのクロック信号よりも先に入ら
ない」という制限を設ける。
【0078】一般的には、ホールド動作の場合は、基本
的には組合せ回路10内の状態を変化させないので1ク
ロックで同時に行なってもよい。しかし、Q端子の出力
を0,1に固定するということは、その固定動作自体が
組合せ回路10に大きな変化をもたらして、ピーク電力
が実使用時の許容電力を越えることが起こらないとも限
らない。そこで、本具体例の方法においては、図6
(b)に示すように、このとき、すべてのフリップフロ
ップ回路のQ端子を同時に固定するのではなく、グルー
プ分けした各グループX,Y,Zに対応するフリップフ
ロップ回路ごとに(この例では、フリップフロップ回路
11C,11B,11Aの順に)、タイミングをずらせ
てQ端子の出力を固定していく。その後、シフトモード
に移行して、シフトモードの動作が終了すると、上述の
第2の具体例と同様の動作によって、各グループX,
Y,Zのホールド解除とキャプチャとを行なっていく。
【0079】本具体例のスキャンテスト方法によると、
第2の具体例に比べてホールドを3クロックに分けて行
なう分だけ余分に時間を要する。しかし、シフトモード
動作に要する時間が数100クロック周期分であること
を考慮すると、このホールド動作の分割により生じるテ
スト時間の増大はきわめてわずかである。しかも、フリ
ップフロップ回路の構成を上記第1の実施形態の第2の
具体例のフリップフロップ回路11y(図3参照)にす
ることで、スキャンテスト回路のための回路面積を上記
第1,第2の具体例の構成よりも小さくできる利点があ
る。
【0080】−HOLD信号用端子とNT端子との共通
化− すでに説明したように、図2又は図3中のHOLD信号
用端子とNT端子とは共通化することができる。その場
合には、以下のような動作が可能になる。ここでは、図
5(a),(b)又は図6(a),(b)に示すグルー
プ化された回路構成及び回路動作を前提として説明す
る。
【0081】まず、NT信号がシフトモードになると、
各フリップフロップ回路11A〜11F内にデータ信号
Dが取り込まれるが、このシフト動作中は、NT信号に
よってホールド動作を行なわせることができる。
【0082】そして、シフト終了時、NT信号をシフト
モードから解除すると、同時にホールドが解除される。
このとき、ホールドの解除動作は、各フリップフロップ
回路11C,11B,11AのNT信号を順次解除する
ことによって、図5(b)又は図6(b)に示すよう
に、各グループX,Y,Zの順に個別に行なわれる。
【0083】また、キャプチャ動作も各グループX,
Y,Z別に行なわれる。このときには、NT信号に応じ
て、各フリップフロップ回路11A〜11F内にデータ
信号Dが取り込まれるが、HOLD信号用端子とNT端
子とが共通化されているので、NT信号はシフトモード
から解除されており、ホールドは解除されている。
【0084】そして、シフト動作に移行するとき、図2
に示すフリップフロップ回路11xを用いる場合には、
図5(b)に示すように、各フリップフロップ回路11
C,11B,11AのNT信号を一斉にシフトモードに
することにより、各グループX,Y,Zに対して一斉に
ホールド動作を行なわせる。
【0085】一方、図3に示すフリップフロップ回路1
1yを用いる場合には、図6(b)に示すように、各フ
リップフロップ回路11C,11B,11AのNT信号
を順次シフトモードにすることにより、各グループX,
Y,Z別にホールド動作を行なわせる。
【0086】−本実施形態の各具体例の効果− したがって、本実施形態のスキャンテスト方法による
と、各具体例において説明したように、組合せ回路10
内の状態をホールドした状態で、スキャンテスト信号の
シフト動作を行なうとともに、組合せ回路10内の各要
素をグループ分けして、各グループのホールド解除,キ
ャプチャ,ホールドなどの動作をグループごとにずらせ
るようにしているので、瞬間的な消費電力の増大を抑制
することができる。
【0087】図7は、本実施形態のスキャンテスト方法
に関する各具体例の効果を示す図である。同図に示すよ
うに、組合せ回路内の要素をグループG1〜G4にグル
ープ分けして、このグループごとにホールド解除,キャ
プチャ,ホールドなどを行なうことにより、スキャンテ
スト時における消費電力の分散化を図ることができる。
そして、システムLSIのスキャンテスト時におけるピ
ークの消費電力が、システムLSIの実使用時における
消費電力の許容値を越えるおそれを確実に解消すること
ができる。
【0088】(第3の実施形態)本実施形態において
は、集積回路装置であるシステムLSIの設計段階にお
ける消費電力を低減するための対策について説明する。
【0089】−消費電力削減のためのグルーピングにつ
いての具体例− 組合せ回路やスキャンテスト回路を設計する際、データ
ベース内に存在する組合せ回路などの設計に必要なデー
タを記述したコアが存在する。したがって、このデータ
ベースのコアを利用して、組合せ回路等の設計を行なう
ことができる。ところが、一般的に、各コアの中はデー
タがループして複雑な前後関係を形成しているので、こ
のデータから各コアのある動作の順序を適正に決定する
のは困難なことが多い。
【0090】その点、上流設計の段階では、各コアの構
成要素が少なく、各コアの前後関係を求める処理が簡便
かつ高速になる。例えば、図8に示すように、機能レベ
ルにおいてはコアA,B,C間のデータの流れを示すデ
ータフローのみがあるだけの場合が多い。
【0091】そこで、図9に示すような手順で、スキャ
ンテストにおける消費電力を考慮した設計を行なうのが
効果的である。
【0092】まず、ステップS1において、データベー
スからコアライブラリに関する記述(テスト時の消費電
力や推定情報)や、システムLSIの仕様を入力して、
アーキテクチャ設計を行なう。つまり、どのようなコア
を用いて所望のシステムLSIを設計するためのアーキ
テクチャを設計する。
【0093】このとき、ステップS1で、コアの選択や
テスト手法の割り付けを行なうが、この段階で、例え
ば、図8に示すコアのデータフロー情報に基づいて、
「コアCに先にクロック信号を入力してから、順次コア
B,コアAにクロック信号を入力する。」というような
情報を取り込んでおくと、後でこの情報を利用した下流
側でのグルーピングが容易になる。つまり、各コアを1
まとめとして扱う上流設計でコアの選択やテスト手法を
割り付けておくことで、具体的な膨大な回路構造をみて
グルーピングするような処理の煩雑さを回避できるので
ある。
【0094】実際に、第1の実施形態において示したよ
うなグルーピングを行なおうとすると、制御回路を組み
込む必要があるのに加えて、クロック信号を所望の順序
で入力させるためにクロック系統を何系統作成するか、
といったことをきめ細かく決定する必要がある。それを
論理設計の段階で、クロックの作成やホールドの解除手
順をいきなり決めるのは、困難であることが多い。特
に、システムLSIのごとく、回路要素数が膨大なデバ
イスにおいてはなおさらである。
【0095】そこで、アーキテクチャ設計の段階で、電
力許容値を満たすように、スキャンテストのスケジュー
リングを行なう。つまり、各コアのグループ分割数、チ
ップ全体のテスト時における制御、例えば同時にテスト
するコアなどに関するテストスケジューリングや、制御
回路の設計のための指示,指針の作成,又は制御回路の
作成を行なっておく。
【0096】そして、このようなテスト設計情報を含む
設計情報を下流側に渡すことにより、ステップS2でR
TL設計を行ない、ステップS3で消費電力の推定を行
なう。このRTL設計の段階では、配線による各素子の
接続関係までは現れていないが、例えば記憶素子と記憶
素子との間の論理演算の関係が現れている。そこで、こ
のステップS3では、図7に示すようなスキャンテスト
時における消費電力のピーク値をシミュレーションによ
り求め、消費電力の許容値を満たすなど仕様を満足する
か否かを検討することができる。
【0097】そして、ステップS4で、論理設計を行な
うと、この段階では各階路の具体的な構造が現れてく
る。そして、ステップS5で、最終的に正確なシミュレ
ーションを行なって消費電力の推定を行なうことができ
る。
【0098】本具体例によると、設計の上流側(アーキ
テクチャ設計)において消費電力削減のためのテスト方
法についての戦略を立てることで、下流側の設計におい
て、データベース中のデータの複雑なループ関係による
処理の煩雑化を回避しつつ、消費電力の削減のための設
計を迅速に行なうことができる。
【0099】例えば、ステップS2のRTL設計,ステ
ップS3の消費電力の推定を行なった後に、消費電力の
許容値を満たすことが不可能であることが判明すると、
再びアーキテクチャ設計をやり直す必要が生じる。ま
た、ステップS4の論理設計,ステップS5の消費電力
の推定を行なってから、消費電力の許容値を満たす回路
構成が見つからないときには、ステップS2又はステッ
プS1の処理をやり直す必要が生じる。つまり、再設計
ループの繰り返しを頻繁に行なうことになる。
【0100】しかし、本具体例のごとく、設計の上流側
で消費電力削減のためのテスト方法についての戦略を立
てることで、コアの分割数やテスト制御のスケジューリ
ングなどの基本的な事項については、ほぼ適正な設計が
行なわれている。したがって、上述のような再設計ルー
プの繰り返しを効果的に削減することができる。
【0101】なお、本具体例では、スキャンテストを行
う場合を例にとって消費電力削減のための設計方法につ
いて説明したが、本発明はかかる例に限定されるもので
はなく、他のテスト方法を実施する場合にも適用できる
ことは言うまでもない。
【0102】また、テスト時消費電力推定情報は、コア
ライブラリに格納されている必要はなくデータベースの
どこかに格納されていればよい。
【0103】−テストスケジューリングの具体例− 図10は、組合せ回路に相当するコアの分割を伴うテス
トスケジューリングの例を示す図である。同図に示すよ
うに、例えば100個のテストピンがある場合に、70
番までのテストピンを使用してコア2,コア3のテスト
を行ないながら、とこれに並行して70番から100番
までのテストピンを使用して、コア2,コア3とはテス
ト手法が異なるコア1のテストを行なうというようなテ
ストスケジューリングを立てる。このとき、コア2内は
3つのグループに分け、コア3内は4つのグループに分
けるというようなグルーピングを行なっておく。すなわ
ち、上述のようなコア内におけるキャプチャ・ホールド
・ホールド解除の分散、他のコアとのキャプチャ・ホー
ルド・ホールド解除の分散などのスケジューリングを行
なっておくのである。
【0104】そして、このようなグルーピングを伴った
テストスケジューリングを立てておくことにより、テス
ト時間の短縮を図りつつ、ピークの消費電力が許容値を
超えるおそれを未然に解消して、下流側の設計を円滑に
行なうことができる。
【0105】−コアライブラリの構成要素に関する具体
例− 図11は、システムLSIの設計用のデータベース内の
コアライブラリ50に記述されている情報の具体例を示
す図である。同図に示すように、コアライブラリ50内
には、テスト時消費電力情報51、コアの分割可能数情
報51,コアの分割可能性情報52などが収納されてい
る。すなわち、テスト時のピーク消費電力に関する記述
を含ませておくことで、アーキテクチャ設計時にピーク
消費電力が許容値を超えないためのテスト戦略を立てる
ことが可能になる。
【0106】まず、コアのテスト時消費電力情報51
は、コアのピーク消費電力に関する記述を含んでいる。
この記述とは、コアのピークの消費電力の推定値であ
り、可能であれば、シフト動作時のピークの消費電力の
推定値と、キャプチャ動作時のピークの消費電力の推定
値と、ホールド動作時のピークの消費電力の推定値と、
ホールド解除動作時のピークの消費電力の推定値とを含
んでいる。すでに説明したように、シフト,キャプチ
ャ,ホールド,ホールド解除の各動作には各種の制御パ
ターンがあるので、これらをすべて記憶しておき、これ
らを比較してもっとも有利な制御パターンや、フリップ
フロップ回路の種類などを選択することができる。
【0107】また、コアのテスト時消費電力情報51
は、コアの最大トグル率(信号の遷移確率)、コアの回
路規模(例えばゲート数)、及びコアを構成するゲート
の消費電力に関する記述を含んでいる。ただし、ゲート
の消費電力に関する記述はライブラリ内でなくてもよ
い。例えば0.25μmのゲートを使用する場合に、
0.25μmのゲートであればどの程度の消費電力にな
るかということが常識的となっている場合もあるからで
ある。ピークの消費電力の推定精度がよくない場合に
は、このような記述があることにより、テスト時のピー
クの消費電力を比較的正確に算出することができる。
【0108】また、コアのテスト時消費電力情報51
は、回路とシミュレーションパターンとに関する記述を
含んでいる。これが記述してあれば、テスト時のシミュ
レーションを行なって、消費電力を算出することができ
る。
【0109】コアの分割可能数情報52は、コア内のグ
ルーピングがいくつまで可能かということに関する情報
(推定値)の他に、例えば、シフト動作時のピークの消
費電力とコアの分割時のピーク消費電力とが等しくなる
レベルに関する記述を含んでいる。上述のように、シフ
ト動作は分割して行なうわけではないので、シフト動作
時のピークの消費電力は低減することができない。した
がって、あまりに細かくグルーピングしても、ピークの
消費電力の低減という観点からみると意味がなくなるか
らである。なお、ここでいう分割とは、複数のコアを何
グループ化にグルーピングすることを含んでいる。
【0110】コアの分割可能数情報52は、いわゆるハ
ードマクロと呼ばれるレイアウトまで決まっているコア
(例えば乗算器など)やテスト回路の設計変更を行わな
いコア(例えば流用設計のコア)の分割数(分割数がわ
かっている又はすでに分割されている)とピークの消費
電力とに関する記述を含んでいる。
【0111】さらに、コアの分割可能数情報52は、ハ
ードマクロと呼ばれるコアや上述の設計方法で設計され
たコアなど、もはや設計変更を行わず、テストを行なわ
ないコアの分割数と、クロックごとのピークの消費電力
とに関する記述を含んでいる。これから設計しようとす
るコアだけでなく、すでにこの発明を用いて設計したコ
アの再利用や、IPベンダーなどから供給されるコアの
利用など、設計がすでに決まっているコアで当該システ
ムLSI中に組み込もうとするコアがある場合には、そ
の消費電力に関するに情報も利用することで、システム
LSI全体のテスト時のピークの消費電力を正確に把握
することができるからである。
【0112】分割可能性情報53は、コアの最大許容分
割数に関する記述を含んでいる。この記述は、推定であ
ってもよいし、実際に分割されている場合には実際の分
割数であってもよい。
【0113】また、分割可能性情報53は、作成できる
クロック系統数に関する記述を含んでいる。クロック系
統数は、コアの分割数を決めるときの1つの基準になる
ものである。セレクタなどクロックの順番を制御するた
めの回路は、簡単に修正を加えることができない性質の
ものであるので、例えばクロック系統が4系統あればク
ロックをずらせて何らかの動作を行なわせる場合には、
4つまでは可能であるというような設計上の条件・制限
を含ませておく。そして、それに合わせて例えば「グル
ープごとにキャプチャする」という動作を制御すること
ができる限界をそこから求めて記憶させておくことがで
きる。
【0114】(第4の実施形態)本実施形態において
は、スキャンテストに限らず、他のテスト方法も含め
て、反転クロックを利用したテストを行うためのシステ
ムLSIの設計方法及びテスト方法について説明する。
【0115】図12は、反転クロックを利用したテスト
を行う方法について説明するための図である。例えば、
コアA,コアB,コアCというデータフローがある場合
に、コアA,コアCは論理回路で、コアBはメモリであ
るとすると、メモリであるコアBはBIST等によるテ
ストが行なわれ、論理回路であるコアA,コアCは例え
ば上述のようなスキャンテストが行われる。このよう
に、システムLSI内に互いに異なる種類のテストが行
なわれる回路が存在する場合には、設計時に以下のよう
なグルーピングを行う。同図に示すように、テストモー
ド信号TMがHレベルとなるテストモード時には、セレ
クタからメモリに反転クロックが入力される。また、テ
ストを行なうためのBISTには、セレクタを介さずに
直接反転クロックが入力される。そして、この反転クロ
ックを用いて、メモリについてのテストを行なうように
なっている。つまり、1つのクロック系統を用いて、コ
アCのスキャンテストを行ないながら、メモリのBIS
Tによるテストを行うことができる。このとき、メモリ
のテストを制御するためのクロックは反転しているの
で、コアCのテストを行っているときの消費電力がピー
クとなるタイミングと、メモリのテストを行う際の消費
電力がピークとなるタイミングとは、クロックの半周期
だけずれるので、コアCとメモリとを同時にテストして
も、これらの1つずつを個別にテストしたときに比べて
ピークの消費電力が増大することはない。
【0116】このように、特に論理回路以外の回路をテ
ストを行なう際には、反転クロックを利用することによ
り、ピークの消費電力の増大を招くことなく、テスト時
間の短縮を図ることができる。
【0117】なお、設計されたシステムLSIには、図
12に示すコアA,B,Cの代わりに、論理回路,メモ
リ,論理回路がそれぞれ設けられていることは言うまで
もない。
【0118】また、本発明は、コアA,B,Cが同種類
の回路例えばすべて論理回路であって、しかも、いずれ
のコアについてもスキャンテストが行われる場合にも、
適用することができる。その場合においても、スキャン
テストに用いるクロックが非反転クロックと反転クロッ
クとであることにより、消費電力がピークとなるタイミ
ングを互いにずらせることができるからである。
【0119】
【発明の効果】本発明の集積回路装置,そのテスト方
法,その設計用データベース及びその設計方法によれ
ば、集積回路装置のスキャンテストなどのテストを行う
際の消費電力を考慮しているので、実使用時の許容消費
電力値を満たしていてもテスト時の消費電力が過大とな
って、集積回路装置の損傷などの不具合が発生するのを
未然に防止することができる。
【0120】その場合、テスト時の各種の動作をずらす
ように回路内のグルーピングという概念を導入すること
により、ピークの消費電力の増大を抑制することができ
る。
【0121】また、非反転クロックと反転クロックとを
利用して2つの回路のテストを同時に行うことにより、
2つの回路のテストを同時に行うことによるピークの消
費電力の増大を抑制することができる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係る集積回路装置で
あるシステムLSIの一部を示すブロック回路図であ
る。
【図2】Q端子の出力を固定するためのフリップフロッ
プ回路の構成に関する第1の具体例を示す回路図であ
る。
【図3】Q端子の出力を固定するためのフリップフロッ
プ回路の構成に関する第2の具体例を示す回路図であ
る。
【図4】(a),(b)は、第2の実施形態におけるス
キャンテストの方法の第1の具体例に係るシステムLS
Iの一部を示す回路図、テストモードの変化をそれぞれ
示す図である。
【図5】(a),(b)は、第2の実施形態におけるス
キャンテストの方法の第2の具体例に係るシステムLS
Iの一部を示す回路図、テストモードの変化をそれぞれ
示す図である。
【図6】(a),(b)は、第2の実施形態におけるス
キャンテストの方法の第3の具体例に係るシステムLS
Iの一部を示す回路図、テストモードの変化をそれぞれ
示す図である。
【図7】第2の実施形態のスキャンテスト方法に関する
各具体例の効果を示す図である。
【図8】機能レベルにおいて存在するコアA,B,C間
のデータの流れを示すデータフロー図である。
【図9】第3の実施形態のスキャンテストにおける消費
電力を考慮した設計を行う手順を示すフローチャート図
である。
【図10】第3の実施形態における組合せ回路に相当す
るコアの分割を伴うテストスケジューリングの具体例を
示す図である。
【図11】第3の実施形態におけるシステムLSIの設
計用のデータベース内のコアライブラリ50に記述され
ている情報の具体例を示す図である。
【図12】第4の実施形態における反転クロックを利用
したテストを行う方法について説明するための図であ
る。
【図13】集積回路装置の設計段階において設計しよう
とする回路のデータをデータベースから取り出して設計
する状態を示す斜視図である。
【図14】(a),(b)は、スキャンテスト回路を備
えた従来のシステムLSIの一部,スキャンテスト時に
おける制御状態の時間に対する遷移をそれぞれ示す図で
ある。
【図15】従来のスキャンテスト時における集積回路装
置全体の消費電力の経時変化を例示する図である。
【符号の説明】 10 組合せ回路 11 フリップフロップ回路 21 マルチプレクサ 22 第1ラッチ回路 23 第2ラッチ回路 24 AND回路 25 第3ラッチ回路 31 フリップフロップ 32 AND回路 50 コアライブラリ 51 テスト時消費電力情報 52 コアの分割可能数情報 53 分割可能性情報
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 2G032 AA01 AC03 AC10 AG07 AH04 AK01 AK16 5B046 AA08 BA03 JA01 KA05 5B048 AA20 CC11 CC18

Claims (25)

    【特許請求の範囲】
  1. 【請求項1】 内部に複数の論理回路と各論理回路間に
    配置された複数のフリップフロップ回路とを含む集積回
    路装置であって、 上記各フリップフロップ回路は、 スキャンテスト信号を受ける第1の入力部と、 上記論理回路に接続され、上記論理回路に入力されたス
    キャンテスト信号に応じた論理回路の出力をデータ信号
    として受ける第2の入力部と、 フリップフロップ回路内への入力を上記スキャンテスト
    信号とデータ信号とに切り換えるための制御信号を受け
    る第3の入力部と、 クロック信号を受ける第4の入力部と、 ホールド用信号を受ける第5の入力部と、 上記論理回路に接続されスキャンテスト信号を上記論理
    回路内に送るための第1の出力部と、 データ信号及びスキャン信号を出力するための第2の出
    力部とを備え、 上記複数のフリップフロップ回路のうちの任意のフリッ
    プフロップ回路の第2の出力部と次段のフリップフロッ
    プ回路の第1の入力部とが順次接続されて、上記複数の
    フリップフロップ回路を直列に接続したスキャンテスト
    回路が形成されていて、 上記第5の入力部に上記ホールド用信号を受けたとき
    に、上記第1の出力部からの出力値を固定するように構
    成されていることを特徴とする集積回路装置。
  2. 【請求項2】 請求項1記載の集積回路装置において、 上記各フリップフロップ回路は、上記フリップフロップ
    回路の上記第1の出力部からの出力値が、上記ホールド
    信号を受けたときに保持している値に固定されるように
    構成されていることを特徴とする集積回路装置。
  3. 【請求項3】 請求項2記載の集積回路装置において、 上記各フリップフロップ回路における第5の入力部は上
    記第3の入力部と共通化されていることを特徴とする集
    積回路装置。
  4. 【請求項4】 請求項1記載の集積回路装置において、 上記各フリップフロップ回路は、上記フリップフロップ
    回路の上記第1の出力部からの出力値が、上記ホールド
    信号を受けたときに保持している値に拘わらず1又は0
    に固定されるように構成されていることを特徴とする集
    積回路装置。
  5. 【請求項5】 第1及び第2の回路と、 上記第1の回路をテストするための第1のテスト回路
    と、 上記第2の回路をテストするための第2のテスト回路
    と、 上記第1の回路と第2の回路にクロック信号を供給する
    ためのクロック供給部と、 上記クロック供給部からのクロック信号を反転して反転
    クロックを出力するクロック反転部と、 上記クロック反転部と上記第2の回路との間に介設さ
    れ、上記クロック信号と上記反転クロック信号とを受け
    て、上記第2の回路のテスト時には上記第2の回路に反
    転クロック信号を出力する出力切り換え回路とを備えて
    いる集積回路装置。
  6. 【請求項6】 請求項5記載の集積回路装置において、 上記第1の回路は論理回路であり、 上記第2の回路はメモリであることを特徴とする集積回
    路装置。
  7. 【請求項7】 内部に複数の論理回路と各論理回路間に
    配置された複数のフリップフロップ回路とを含み、各フ
    リップフロップ回路を順次接続してなるスキャンテスト
    回路を備えた集積回路装置のテスト方法であって、 上記フリップフロップ回路から上記各論理回路に接続さ
    れる経路への出力信号を固定するホールド動作を行うス
    テップ(a)と、 上記ステップ(a)により上記フリップフロップ回路か
    らの出力信号が固定されている状態で上記各フリップフ
    ロップ回路にスキャンテスト信号を順次送るとともに、
    上記各フリップフロップ回路からデータ信号を順次集積
    回路装置の外部に送り出すシフト動作を行うステップ
    (b)と、 上記シフト動作の終了後に、上記ステップ(a)で固定
    された出力信号の固定を解除するホールド解除動作を行
    うステップ(c)と、 上記ステップ(c)の後に、上記論理回路からの出力信
    号をフリップフロップ回路に取り込むキャプチャ動作を
    行うステップ(d)と を繰り返して、スキャンテストを行うことを特徴とする
    集積回路装置のテスト方法。
  8. 【請求項8】 請求項7記載の集積回路装置のテスト方
    法において、 上記論理回路内は、複数のグループに分けられており、 上記ステップ(a)は、出力信号の値を固定時にフリッ
    プフロップ回路内に保持されている値に固定するように
    行われ、 上記ステップ(c)は、上記各グループごとに行われ、 上記ステップ(a)は、第1回目のシフト動作が終了し
    た後は、ステップ(c)の後でステップ(d)の前に行
    われることを特徴とする集積回路装置のテスト方法。
  9. 【請求項9】 請求項7記載の集積回路装置のテスト方
    法において、 上記論理回路内は、複数のグループに分けられており、 上記ステップ(a)は、出力信号の値を固定時にフリッ
    プフロップ回路内に保持されている値に固定するように
    行われ、 上記ステップ(c)及び(d)は、上記各グループ別
    に、かつ、あるグループのキャプチャ動作が当該グルー
    プのホールド解除動作の後になるように行われ、 上記ステップ(a)は、第1回目のシフト動作が終了し
    た後においては、ステップ(d)の後に行われることを
    特徴とする集積回路装置のテスト方法。
  10. 【請求項10】 請求項7記載の集積回路装置のテスト
    方法において、 上記論理回路内は、複数のグループに分けられており、 上記ステップ(a)は、出力信号の値を固定時にフリッ
    プフロップ回路内に保持されている値に拘わらず1又は
    0に固定するように、かつ、上記各グループごとに行わ
    れ、 上記ステップ(c)及び(d)は、上記複数のグループ
    に分けられた各グループ別に、かつ、あるグループのキ
    ャプチャ動作が当該グループのホールド解除動作の後に
    なるように行われ、 上記ステップ(a)は、第2回目のシフト動作以後にお
    いては、ステップ(d)の後に行われることを特徴とす
    る集積回路装置のテスト方法。
  11. 【請求項11】 請求項7〜10のうちいずれか1つに
    記載の集積回路装置のテスト方法において、 上記論理回路内のグループ分けは、テスト時におけるピ
    ークの消費電力が集積回路装置の実使用時の許容値を超
    えないように行われることを特徴とする集積回路装置の
    テスト方法。
  12. 【請求項12】 第1及び第2の回路と、上記第1の回
    路をテストするための第1のテスト回路と、上記第2の
    回路をテストするための第2のテスト回路と、上記第1
    の回路と第2の回路にクロック信号を供給するためのク
    ロック供給部とを有する集積回路装置のテスト方法であ
    って、 上記第1の回路のテストを行うときには上記クロック信
    号に応じてテストを行う一方、 上記第2の回路のテストを行うときには、上記第1の回
    路のテストを行いながら、上記クロック信号を反転させ
    た反転クロック信号に応じて上記第2の回路のテストを
    行うことを特徴とする集積回路装置のテスト方法。
  13. 【請求項13】 請求項12記載の集積回路装置のテス
    ト方法において、 上記第1の回路は論理回路であり、 上記第2の回路はメモリであることを特徴とする集積回
    路装置のテスト方法。
  14. 【請求項14】 集積回路装置を設計するために必要な
    データを格納する少なくとも1つのコアを有するデータ
    ベースを用いた集積回路装置の設計方法であって、 上記データベースに、コアのテスト時における消費電力
    に関する推定情報を記述しておき、 設計の上流側で、コアを選択する際に上記コアのテスト
    時における消費電力の推定情報を用いた設計を行なっ
    て、 上記設計を行った結果得られた設計情報を用いて、下流
    側の設計を行うことを特徴とする集積回路装置の設計方
    法。
  15. 【請求項15】 請求項14記載の集積回路装置の設計
    方法において、 上記推定情報を利用した設計は、アーキテクチャ設計で
    あることを特徴とする集積回路装置の設計方法。
  16. 【請求項16】 請求項15記載の集積回路装置の設計
    方法において、 上記設計情報には、上記アーキテクチャ設計において設
    計される複数のコア間のデータフローに関する情報を用
    い、上記コアの複数のグループに分け、上記データフロ
    ー中における下流側のグループから順にキャプチャ動作
    を行なうことを可能とする回路設計を指示する情報が含
    まれていることを特徴とする集積回路装置の設計方法。
  17. 【請求項17】 請求項14又は15記載の集積回路装
    置の設計方法において、 上記設計情報には、上記コア内を複数のグループに分割
    するグルーピングに関する情報が含まれていることを特
    徴とする集積回路装置の設計方法。
  18. 【請求項18】 請求項14〜17のうちいずれか1つ
    に記載の集積回路装置の設計方法において、 上記設計情報には、テスト手法に関する情報が含まれて
    いることを特徴とする集積回路装置の設計方法。
  19. 【請求項19】 請求項17記載の集積回路装置の設計
    方法において、 上記設計情報には、互いにテスト手法が異なる複数のコ
    アを有している場合に、テスト手法を時間とピン番号と
    を座標とする平面上のテストパターンに表したときに、
    使用可能なピンの制約の元にテスト時間が最小で、ピー
    クの消費電力が集積回路装置の実使用時の許容電力値を
    越えないように決定されたテストスケジューリング情報
    が含まれていることを特徴とする集積回路装置の設計方
    法。
  20. 【請求項20】 集積回路装置を設計するために必要な
    データを格納する複数のコアを有する集積回路装置の設
    計用データベースであって、 上記コアのテスト時消費電力に関する情報を含んでいる
    ことを特徴とする集積回路装置の設計用データベース。
  21. 【請求項21】 請求項20記載の集積回路装置の設計
    用データベースにおいて、 上記テスト時消費電力に関する情報は、 コアのピーク時消費電力の推定値と、 コアの状態の推定最大遷移数,コアの回路規模及びコア
    を構成するゲートの消費電力と、 回路及びシミュレーションパターンとのうち少なくとも
    いずれか1つ含むことを特徴とする集積回路装置の設計
    用データベース。を含むことを特徴とする集積回路装置
    の設計用データベース。
  22. 【請求項22】 請求項20又は21記載の集積回路装
    置の設計用データベースにおいて、 上記コアの分割可能数に関する情報を含んでいることを
    特徴とする集積回路装置の設計用データベース。
  23. 【請求項23】 請求項22記載の集積回路装置の設計
    用データベースにおいて、 上記コアの分割可能数に関する情報は、シフト時の消費
    電力とコアの分割時のピーク消費電力とが等しくなるレ
    ベルと、テスト回路の設計変更を行わないコアの分割数
    及び消費電力と、テスト回路の設計変更を行わないコア
    の分割数及びクロックごとのピーク消費電力とのうち少
    なくともいずれか1つを含むことを特徴とする集積回路
    装置の設計用データベース。
  24. 【請求項24】 請求項20〜23のうちいずれか1つ
    に記載の集積回路装置の設計用データベースにおいて、 上記コアの分割可能性に関する情報を含んでいることを
    特徴とする集積回路装置の設計用データベース。
  25. 【請求項25】 請求項24記載の集積回路装置の設計
    用データベースにおいて、 上記コアの分割可能性に関する情報は、コアの最大許容
    分割数及びクロック系統数のうち少なくともいずれか1
    つを含むことを特徴とする集積回路装置の設計用データ
    ベース。
JP23490699A 1999-08-23 1999-08-23 集積回路装置及びそのテスト方法 Expired - Fee Related JP4428489B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP23490699A JP4428489B2 (ja) 1999-08-23 1999-08-23 集積回路装置及びそのテスト方法
US09/637,867 US6625784B1 (en) 1999-08-23 2000-08-15 Semiconductor integrated circuit device, method of testing the same, database for design of the same and method of designing the same
TW089117156A TW475108B (en) 1999-08-23 2000-08-22 Integrated circuit device, its testing method, database for its design, and its designing method
US10/281,230 US7203913B2 (en) 1999-08-23 2002-10-28 Semiconductor integrated circuit device, method of testing the same, database for design of the same and method of designing the same
US11/646,433 US20070106965A1 (en) 1999-08-23 2006-12-28 Semiconductor integrated circuit device, method of testing the same, database for design of the same and method of designing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23490699A JP4428489B2 (ja) 1999-08-23 1999-08-23 集積回路装置及びそのテスト方法

Publications (2)

Publication Number Publication Date
JP2001059856A true JP2001059856A (ja) 2001-03-06
JP4428489B2 JP4428489B2 (ja) 2010-03-10

Family

ID=16978160

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23490699A Expired - Fee Related JP4428489B2 (ja) 1999-08-23 1999-08-23 集積回路装置及びそのテスト方法

Country Status (3)

Country Link
US (3) US6625784B1 (ja)
JP (1) JP4428489B2 (ja)
TW (1) TW475108B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007037017A1 (ja) * 2005-09-29 2007-04-05 Fujitsu Limited 消費電力解析方法及び消費電力解析装置
JP2007271346A (ja) * 2006-03-30 2007-10-18 Ricoh Co Ltd 半導体集積回路
JP2008232690A (ja) * 2007-03-19 2008-10-02 Nec Corp 半導体集積回路、半導体集積回路のテストパターン生成装置
US7590908B2 (en) 2005-01-31 2009-09-15 Panasonic Corporation Semiconductor integrated circuit and method for testing the same
JP2009540302A (ja) * 2006-06-09 2009-11-19 ライトスピード ロジック インコーポレイテッド 透過的なテスト法及びスキャンフリップフロップ
US7788565B2 (en) 2007-10-12 2010-08-31 Sanyo Electric Co., Ltd. Semiconductor integrated circuit
JP2011013159A (ja) * 2009-07-03 2011-01-20 Fujitsu Semiconductor Ltd スキャンチェーンの生成方法、スキャンチェーンの生成プログラムおよび集積回路
US8095354B2 (en) 2006-09-06 2012-01-10 Fujitsu Limited Power consumption peak estimation program for LSI and device therefor
US8176458B2 (en) 2005-07-09 2012-05-08 Otrsotech, Limited Liability Company Increased effective flip-flop density in a structured ASIC
US8332793B2 (en) 2006-05-18 2012-12-11 Otrsotech, Llc Methods and systems for placement and routing
JP6070840B2 (ja) * 2013-06-17 2017-02-01 富士通株式会社 情報処理装置、情報処理方法、及び情報処理プログラム

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6957403B2 (en) 2001-03-30 2005-10-18 Syntest Technologies, Inc. Computer-aided design system to automate scan synthesis at register-transfer level
JP3606520B2 (ja) * 2001-12-05 2005-01-05 沖電気工業株式会社 システムlsiのテストパターン作成方法,システムlsiのテストパターン作成装置,及びシステムlsiのテスト方法
JP3981281B2 (ja) * 2002-02-14 2007-09-26 松下電器産業株式会社 半導体集積回路の設計方法及びテスト方法
US6934897B2 (en) * 2002-04-05 2005-08-23 Nilanjan Mukherjee Scheduling the concurrent testing of multiple cores embedded in an integrated circuit
US7842948B2 (en) * 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
US7188284B2 (en) * 2004-06-30 2007-03-06 Intel Corporation Error detecting circuit
US7278076B2 (en) * 2004-06-30 2007-10-02 Intel Corporation System and scanout circuits with error resilience circuit
US7278074B2 (en) * 2005-01-26 2007-10-02 Intel Corporation System and shadow circuits with output joining circuit
US7523371B2 (en) 2004-06-30 2009-04-21 Intel Corporation System and shadow bistable circuits coupled to output joining circuit
US7279887B1 (en) * 2004-08-06 2007-10-09 Nvidia Corporation In-process system level test before surface mount
US7560964B2 (en) * 2005-03-18 2009-07-14 International Business Machines Corporation Latch and clock structures for enabling race-reduced MUX scan and LSSD co-compatibility
US20070016834A1 (en) * 2005-07-13 2007-01-18 Texas Instruments Incorporated Reducing Power Dissipation During Sequential Scan Tests
JP2007263790A (ja) * 2006-03-29 2007-10-11 Nec Electronics Corp 半導体集積回路装置、及び、遅延故障試験方法
US7895491B2 (en) * 2006-05-04 2011-02-22 Broadcom Corp. Integrated circuit with low-power built-in self-test logic
US7587620B1 (en) * 2006-05-09 2009-09-08 Altera Corporation Power reduction techniques for components in integrated circuits by assigning inputs to a plurality of ports based on power consumption ratings
US7797664B2 (en) * 2006-06-23 2010-09-14 National Institute Of Advanced Industrial Science And Technology System for configuring an integrated circuit and method thereof
JP2008065382A (ja) * 2006-09-04 2008-03-21 Fujitsu Ltd Lsiの消費電力算出方法及びその算出プログラム
JP4370335B2 (ja) * 2007-02-13 2009-11-25 富士通株式会社 Lsi解析プログラム、該プログラムを記録した記録媒体、lsi解析装置、およびlsi解析方法
US8271252B2 (en) * 2007-11-08 2012-09-18 Nvidia Corporation Automatic verification of device models
US8510616B2 (en) * 2008-02-14 2013-08-13 Nvidia Corporation Scalable scan-based test architecture with reduced test time and test power
US7877715B1 (en) * 2008-03-28 2011-01-25 Cadence Design Systems, Inc. Method and apparatus to use physical design information to detect IR drop prone test patterns
US8677171B2 (en) * 2008-04-14 2014-03-18 Teklatech A/S Method for controlling the dynamic power signature of a circuit
US8943457B2 (en) * 2008-11-24 2015-01-27 Nvidia Corporation Simulating scan tests with reduced resources
JP2010139322A (ja) * 2008-12-10 2010-06-24 Renesas Electronics Corp 半導体集積回路およびその半導体集積回路のテスト方法
JP2010249774A (ja) * 2009-04-20 2010-11-04 Toshiba Corp テストパタン生成装置
US8516417B2 (en) * 2009-08-07 2013-08-20 International Business Machines Corporation Method and system for repartitioning a hierarchical circuit design
US8423843B2 (en) * 2009-10-23 2013-04-16 Atrenta, Inc. Method and system thereof for optimization of power consumption of scan chains of an integrated circuit for test
JP2011149775A (ja) * 2010-01-20 2011-08-04 Renesas Electronics Corp 半導体集積回路及びコアテスト回路
US8769607B1 (en) * 2011-01-26 2014-07-01 Intuit Inc. Systems and methods for evaluating a password policy
WO2013084364A1 (ja) * 2011-12-09 2013-06-13 富士通株式会社 スキャン回路及び半導体集積回路
US9903916B2 (en) * 2012-09-27 2018-02-27 Nxp Usa, Inc. Scan test system with a test interface having a clock control unit for stretching a power shift cycle
US8898527B2 (en) * 2013-01-18 2014-11-25 Lsi Corporation At-speed scan testing of clock divider logic in a clock module of an integrated circuit
US9268898B1 (en) * 2013-03-12 2016-02-23 Xilinx, Inc. Estimating power consumption of a circuit design

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0358376B1 (en) * 1988-09-07 1995-02-22 Texas Instruments Incorporated Integrated test circuit
US5598344A (en) * 1990-04-06 1997-01-28 Lsi Logic Corporation Method and system for creating, validating, and scaling structural description of electronic device
US5870308A (en) * 1990-04-06 1999-02-09 Lsi Logic Corporation Method and system for creating and validating low-level description of electronic design
JP2776120B2 (ja) * 1992-03-10 1998-07-16 日本電気株式会社 集積回路の電源配線布設方法
US5602753A (en) * 1994-04-19 1997-02-11 Matsushita Electric Industrial Co., Ltd. Method and apparatus for estimating power dissipation and method and apparatus of determining layout/routing
WO1995034036A2 (en) * 1994-06-03 1995-12-14 Synopsys, Inc. Method and apparatus for estimating the power dissipated by a digital circuit
JP2737695B2 (ja) * 1995-05-24 1998-04-08 日本電気株式会社 スキャンテスト回路およびそれを含む半導体集積回路装置
TW305958B (ja) * 1995-05-26 1997-05-21 Matsushita Electric Ind Co Ltd
JP3816560B2 (ja) * 1995-12-25 2006-08-30 株式会社ルネサステクノロジ 連想メモリ回路のテスト方法及び連想メモリ回路のテスト回路
US5640114A (en) * 1995-12-27 1997-06-17 Vlsi Technology, Inc. Versatile select and hold scan flip-flop
JP3693420B2 (ja) * 1996-06-20 2005-09-07 株式会社リコー 集積回路の消費電力見積り装置
US6000829A (en) * 1996-09-11 1999-12-14 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit capable of compensating for flucuations in power supply voltage level and method of manufacturing the same
JP4077899B2 (ja) * 1997-03-13 2008-04-23 株式会社日立製作所 論理回路の論理動作制御方法と半導体論理回路の消費電力制御方法及び算出方法及び半導体論理回路
JP3403612B2 (ja) * 1997-06-05 2003-05-06 沖電気工業株式会社 スキャンパスネットの配線方法と半導体集積回路
JPH11118883A (ja) * 1997-10-20 1999-04-30 Kawasaki Steel Corp 半導体集積回路およびそのテスト方法
CA2225879C (en) * 1997-12-29 2001-05-01 Jean-Francois Cote Clock skew management method and apparatus
US6363515B1 (en) * 1997-12-30 2002-03-26 Intel Corporation Early power estimation tool for high performance electronic system design
US6378093B1 (en) * 1998-02-10 2002-04-23 Texas Instruments Incorporated Controller for scan distributor and controller architecture
US7155646B2 (en) * 1999-02-10 2006-12-26 Texas Instruments Incorporated Tap and test controller with separate enable inputs
US6178534B1 (en) * 1998-05-11 2001-01-23 International Business Machines Corporation System and method for using LBIST to find critical paths in functional logic
US6389566B1 (en) * 1998-06-02 2002-05-14 S3 Incorporated Edge-triggered scan flip-flop and one-pass scan synthesis methodology
US6519729B1 (en) * 1998-06-27 2003-02-11 Texas Instruments Incorporated Reduced power testing with equally divided scan paths
US6260164B1 (en) * 1998-07-31 2001-07-10 International Business Machines Corporation SRAM that can be clocked on either clock phase
US6397170B1 (en) * 1998-08-18 2002-05-28 International Business Machines Corporation Simulation based power optimization
US6425110B1 (en) * 1998-12-17 2002-07-23 International Business Machines Corporation Incremental design tuning and decision mediator
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6622287B1 (en) * 2000-03-08 2003-09-16 Nec Corporation Low power hardware/software partitioning approach for core-based embedded systems
US6578176B1 (en) * 2000-05-12 2003-06-10 Synopsys, Inc. Method and system for genetic algorithm based power optimization for integrated circuit designs
JP2002082145A (ja) * 2000-09-07 2002-03-22 Matsushita Electric Ind Co Ltd 消費電力削減方法
US6735744B2 (en) * 2001-02-07 2004-05-11 Nec Corporation Power mode based macro-models for power estimation of electronic circuits

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7590908B2 (en) 2005-01-31 2009-09-15 Panasonic Corporation Semiconductor integrated circuit and method for testing the same
US7610533B2 (en) 2005-01-31 2009-10-27 Panasonic Corporation Semiconductor integrated circuit and method for testing the same
US8176458B2 (en) 2005-07-09 2012-05-08 Otrsotech, Limited Liability Company Increased effective flip-flop density in a structured ASIC
US7900172B2 (en) 2005-09-29 2011-03-01 Fujitsu Limited Method and apparatus for analyzing power consumption
WO2007037017A1 (ja) * 2005-09-29 2007-04-05 Fujitsu Limited 消費電力解析方法及び消費電力解析装置
JP4682077B2 (ja) * 2006-03-30 2011-05-11 株式会社リコー 半導体集積回路
JP2007271346A (ja) * 2006-03-30 2007-10-18 Ricoh Co Ltd 半導体集積回路
US8332793B2 (en) 2006-05-18 2012-12-11 Otrsotech, Llc Methods and systems for placement and routing
JP2009540302A (ja) * 2006-06-09 2009-11-19 ライトスピード ロジック インコーポレイテッド 透過的なテスト法及びスキャンフリップフロップ
US8122413B2 (en) 2006-06-09 2012-02-21 Otrsotech, Limited Liability Company Transparent test method and scan flip-flop
US8095354B2 (en) 2006-09-06 2012-01-10 Fujitsu Limited Power consumption peak estimation program for LSI and device therefor
JP2008232690A (ja) * 2007-03-19 2008-10-02 Nec Corp 半導体集積回路、半導体集積回路のテストパターン生成装置
US7788565B2 (en) 2007-10-12 2010-08-31 Sanyo Electric Co., Ltd. Semiconductor integrated circuit
JP2011013159A (ja) * 2009-07-03 2011-01-20 Fujitsu Semiconductor Ltd スキャンチェーンの生成方法、スキャンチェーンの生成プログラムおよび集積回路
JP6070840B2 (ja) * 2013-06-17 2017-02-01 富士通株式会社 情報処理装置、情報処理方法、及び情報処理プログラム

Also Published As

Publication number Publication date
US20030046643A1 (en) 2003-03-06
US6625784B1 (en) 2003-09-23
US20070106965A1 (en) 2007-05-10
US7203913B2 (en) 2007-04-10
JP4428489B2 (ja) 2010-03-10
TW475108B (en) 2002-02-01

Similar Documents

Publication Publication Date Title
JP2001059856A (ja) 集積回路装置,そのテスト方法,その設計用データベース及びその設計方法
US5056094A (en) Delay fault testing method and apparatus
US6081916A (en) IC with test cells having separate data and test paths
US8324917B2 (en) Logic applying serial test bits to scan paths in parallel
KR960005606B1 (ko) 저 대역폭 검사 장비 및 프로브 스테이션을 사용하여 디지탈 마이크로회로의 단순 및 정확한 에이씨(ac) 검사를 제공하는 내장 검사 회로 및 속도 검사 방법
JP2948835B2 (ja) 試験装置
US7131081B2 (en) Scalable scan-path test point insertion technique
US20050278133A1 (en) Integrated test circuit
US8145964B2 (en) Scan test circuit and scan test control method
US7941719B2 (en) IC testing methods and apparatus
US20030200492A1 (en) Semiconductor integrated circuit and its analyzing method
US7778790B2 (en) Semiconductor integrated circuit device and delay fault testing method
Goel et al. Control-aware test architecture design for modular SOC testing
US11493553B2 (en) Extended JTAG controller and method for functional reset using the extended JTAG controller
US6691289B2 (en) Semiconductor integrated circuit including circuit for selecting embedded tap cores
US6076178A (en) Test circuit and method for DC testing LSI capable of preventing simultaneous change of signals
JP2002236145A (ja) テストセル回路
EP3367114A1 (en) Extended jtag controller and method for functional reset using the extended jtag controller
JP2000315221A (ja) 集積回路装置の設計用データベース及び集積回路装置の設計方法
JP2723957B2 (ja) 半導体集積回路装置
Mukherjee et al. Built in self test: a complete test solution for telecommunication systems
JP2004004047A (ja) 集積回路のための入力/出力特徴付けチェーン
KR100851524B1 (ko) SoC 코아로직의 천이 지연 고장 테스트를 지원하는IEEE 1500 래퍼 셀 및 이를 이용한 테스트 방법
JP2002243801A (ja) 半導体集積回路
JP2003057309A (ja) 半導体集積回路装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060714

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121225

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees