JP2010139322A - 半導体集積回路およびその半導体集積回路のテスト方法 - Google Patents

半導体集積回路およびその半導体集積回路のテスト方法 Download PDF

Info

Publication number
JP2010139322A
JP2010139322A JP2008314766A JP2008314766A JP2010139322A JP 2010139322 A JP2010139322 A JP 2010139322A JP 2008314766 A JP2008314766 A JP 2008314766A JP 2008314766 A JP2008314766 A JP 2008314766A JP 2010139322 A JP2010139322 A JP 2010139322A
Authority
JP
Japan
Prior art keywords
clock
scan
circuit
test
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008314766A
Other languages
English (en)
Inventor
Masao Aso
正雄 麻生
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2008314766A priority Critical patent/JP2010139322A/ja
Priority to US12/591,885 priority patent/US20100146349A1/en
Publication of JP2010139322A publication Critical patent/JP2010139322A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318555Control logic
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

【課題】スキャンテストにおけるキャプチャ期間のサイクル数を削減する。
【解決手段】スキャンパスフリップフロップを有する論理回路(65)と、スキャンパステストを実行するテスト回路(70)とを具備する半導体集積回路を構成する。テスト回路(70)は、クロック制御回路(80)と、スキャンイネーブル制御信号生成回路(13)とを備える。スキャンイネーブル制御信号生成回路(13)は、クロック制御回路(80)(80a)から出力されるクロックオン情報信号を受けて、他のクロック制御回路(80)(80b)にスキャンイネーブル制御信号を供給する。他のクロック制御回路(80)(80b)は、スキャンイネーブル制御信号に基づいて、スキャンイネーブル信号の値を特定する。このとき、スキャンパスフリップフロップは、スキャンイネーブル信号の値に従って、データ出力端子(64)から固定値を出力する。
【選択図】図3

Description

本発明は、半導体集積回路およびその半導体集積回路のテスト方法に関する。
システムLSIは、ロジックコア、メモリコア、アナログコア等が搭載された大規模回路である。システムLSIに対し、機能テストを適切に実行し、十分なテスト品質を確保することが要求されている。近年のシステムLSIにおいては、微細化や高機能化に伴って、搭載コア数の増加および回路規模の増大がいちじるしく、そのため、テスト時間の増加が問題となっている。このような問題に対応し、テストを容易化する技術が知られている(例えば、特許文献1参照。)。特許文献1(特開2003−344504号公報)には、出力端子Qとは別に出力端子SOを設け、論理回路向けと次段のスキャンフリップフロップ回路向けとで別々の出力端子を使用するスキャンフリップフロップ回路が開示されている。そのスキャンフリップフロップ回路において、次段のスキャンフリップフロップ回路向けの出力端子SOは、通常動作時に出力が固定される。特許文献1に記載の技術では、このような構成・動作によって、通常動作時の高速動作および低消費電力化を達成している。
近年では、LSI製造プロセスの微細化に伴い、単一縮退故障を対象とした従来のテストでは検出出来ない故障が多く発生している。これらは、ブリッジ故障や、遅延故障を対象としたテストでのみ検出できる。このため、高品質なテストを実現するためには、これらの複数の故障を対象とした、非常に多くのテストパタンが要求されるようになった。このようなテストパタンの増大は、テストコストの上昇を引き起こすことになり、コストを抑えるために、テストタイムの削減が盛んに行われてきている。
テストタイム削減方法の代表例としては、テスト速度の高速化や、LSIのできるだけ多くの場所を同時にテストするという方法がある。多くの場所を同時に動作させると、テスト時の瞬間的な消費電力(ピーク消費電力)がきわめて大きくなるおそれがある。特に、きわめて多数かつ多種類の回路を1チップ内に収納したシステムLSIのような半導体集積回路では、テスト時のピーク消費電力が非常に大きくなることがある。
一般的に、デバイスの実使用時における消費電力についての電源設計は行なわれるが、テスト時のピーク電力の上昇を見込んだ電源設計は行なわれていない。実使用時には、半導体集積回路の内部の回路が、テスト時のように同時に動作することはほとんどあり得ない。したがって、実使用時のピーク電力値は、一般にはそれほど大きくはない。その結果、このようなテスト時のピークの消費電力を見込んでいない半導体集積回路は、スキャンテスト時に正常に動作しないか、あるいは、ダメージを受けることがある。そのため、スキャンテスト時のピーク消費電力の低減を図る技術が知られている(例えば、特許文献2参照。)。特許文献2(特開2001−59856号公報)には、テスターの使用時間の増大を抑制しつつ、半導体集積回路のスキャンテストを行なう際に、各回路が動作するタイミングを分散させる手段を講ずる技術が記載されている。
図1は、特許文献2に記載のシステムLSIの構成を示す回路図である。特許文献2に記載の技術において、そのシステムLSIには、複数のフリップフロップ回路(フリップフロップ回路111A〜フリップフロップ回路111F)と、組み合わせ回路110とが備えられている。図1を参照すると、組み合わせ回路110の内部は、3つのグループ(第1グループX、第2グループY、第3グループZ)に分けられている。図2は、特許文献2に記載のシステムLSIにおける、テストモードの変化を示す図である。図2を参照すると、グループ分けした各グループ(第1グループX、第2グループY、第3グループZ)に対応するフリップフロップ回路ごとに、タイミングをずらせてQ端子の出力を固定していく。フリップフロップ回路のQ端子を固定した状態でシフトモードの動作を行なった後、シフトモードの動作が終了すると、グループ分けした各グループ(第1グループX、第2グループY、第3グループZ)に対応するフリップフロップ回路ごとに、ホールド解除とキャプチャ動作とを行なう。1つのクロックのHレベルのときにホールド解除を行ない、そのクロックのLレベルのときにキャプチャ動作を行なうか、各グループ(第1グループX、第2グループY、第3グループZ)の順にホールド解除を行なってから各グループ(第1グループX、第2グループY、第3グループZ)の順にデータ信号Dを取り込むキャプチャ動作を行なう。
この従来例のスキャンテスト方法によると、シフトモード動作に移行する前に、組み合わせ回路110内の状態をホールドしているので、シフトモード動作のときに各フリップフロップ回路111A〜111Fに送られるスキャンテスト信号DTがシフトされていく度に組み合わせ回路110内の各要素が同時に変化することに起因する消費電力の上昇を抑制することができる。しかも、組み合わせ回路110内を複数のグループに分けて、ホールド解除を複数のグループごとに行なったあと、再びホールドを行なってからキャプチャ動作を行なっている。このような構成・動作によって、特許文献2のシステムLSIは、シフト動作時に論理回路内がスキャンテスト信号に応じて変化することに起因するピークの消費電力の増大を抑制することができ、さらに、ホールド動作、ホールド解除動作、キャプチャ動作などにおけるピークの消費電力を低減することができる。
特開2003−344504号公報 特開2001−59856号公報
従来のシステムLSIにおけるテスト方法では、組み合わせ回路内を複数のグループに分けて、ホールド解除を複数のグループ毎に行った後、再びホールド動作を行ってからキャプチャ動作を行っている。そのため、グループ毎に行うホールド解除とホールド動作を行うサイクルがキャプチャ期間で必要となる。更に、ホールド解除動作において、テストパタンの内容を考慮していないため、テストパタンによっては解除する必要が無いグループについても常に解除することになる。従って、キャプチャ動作を行う期間に要するサイクル数が増えることから、スキャンテストのテスト時間が増大するという問題がある。
以下に、[発明を実施するための最良の形態]で使用される番号を用いて、課題を解決するための手段を説明する。これらの番号は、[特許請求の範囲]の記載と[発明を実施するための最良の形態]との対応関係を明らかにするために付加されたものである。ただし、それらの番号を、[特許請求の範囲]に記載されている発明の技術的範囲の解釈に用いてはならない。
上記の課題を解決するために、スキャンパスを構成する複数のスキャンパスフリップフロップを有する論理回路(65)と、前記スキャンパスに対応してスキャンパステストを実行するテスト回路(70)とを具備する半導体集積回路を構成する。ここで、前記テスト回路(70)は、前記スキャンパスフリップフロップに供給するスキャンクロックを制御するクロック制御回路(80)と、スキャンイネーブル信号を制御するためのスキャンイネーブル制御信号を供給するスキャンイネーブル制御信号生成回路(13)とを備えることが好ましい。そして、前記スキャンイネーブル制御信号生成回路(13)は、前記クロック制御回路(80)(80a)から出力されるクロックオン情報信号を受けて、他のクロック制御回路(80)(80b)に供給するスキャンイネーブル制御信号を生成する。また、前記他のクロック制御回路(80)(80b)は、前記スキャンイネーブル制御信号に基づいて、前記スキャンイネーブル信号の値を特定する。ここにおいて、前記スキャンパスフリップフロップは、前記スキャンクロックと前記スキャンイネーブル信号とを受け、前記スキャンイネーブル信号の値に従って、データ出力端子(64)から固定値を出力する。
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば、スキャンテストにおけるキャプチャ期間のサイクル数削減が実現できるという効果がある。
以下、本発明の実施の形態を図面に基づいて説明する。なお、実施の形態を説明するための図において、同一の部材には原則として同一の符号を付し、その繰り返しの説明は省略する。また、以下の実施形態において、同様の構成を有する複数の機能ブロックを区別する場合、参照符号の後に、アルファベットから選択される文字を用いた枝符号を付すものとする。
図3は、本実施形態の半導体集積回路1の構成を例示するブロック図である。半導体集積回路1は、論理回路65と、TEST回路70を備えている。そのTEST回路70は、複数のクロック制御回路(第1クロック制御回路80a〜第4クロック制御回路80d)と、スキャンイネーブル制御信号生成回路13を含んでいる。なお、以下の実施形態においては、その複数のクロック制御回路(第1クロック制御回路80a〜第4クロック制御回路80d)を区別することなくクロック制御回路80と記載する場合もある。また、TEST回路70において、クロック制御回路80の数に制限は無い。ここでは、本願発明に対する理解を容易にするために、第1クロック制御回路80a〜第4クロック制御回路80dを備えたTEST回路70を例示する。
図3を参照すると、論理回路65は、クロック系統毎のクロック制御回路80(第1クロック制御回路80a〜第2クロック制御回路80b)から供給されるクロック出力信号6(第1クロック出力信号6a〜第4クロック出力信号6d)と、スキャンイネーブル信号7(第1スキャンイネーブル信号7a〜第4スキャンイネーブル信号7d)が入力され、データ出力信号14を出力する。
第1クロック制御回路80a、第2クロック制御回路80b、第3クロック制御回路80cおよび第4クロック制御回路80dは、論理回路65と、クロック系統毎に、クロック端子と論理回路65との間に挿入されている。第1クロック制御回路80aには、スキャンテスト時のクロックである第1スキャンクロック信号CLK1(以降、スキャンクロック信号と記載する場合も有る)と、通常モード時のクロックである第1通常クロック信号RCLK1(以降、通常クロック信号と記載する場合も有る)と、スキャンイネーブル入力信号3と、テストモード信号4と、スキャンイン信号5が入力されている。また、第1クロック出力信号6aと、第1スキャンイネーブル信号7aと、第1クロックオン情報信号8aと、第1スキャンアウト信号9aが第1クロック制御回路80aから出力されている。
第2クロック制御回路80bには、第1クロック制御回路80aから出力される第1スキャンアウト信号9aが供給される。また、第2スキャンクロック信号CLK2、第2通常クロック信号RCLK2、スキャンイネーブル入力信号3およびテストモード信号4が第2クロック制御回路80bに供給されている。第2クロック制御回路80bからは、第2クロック出力信号6b、第2スキャンイネーブル信号7b、第2クロックオン情報信号8bおよび第2スキャンアウト信号9bが出力されている。
同様に、第3クロック制御回路80cには、第2クロック制御回路80bから出力される第2スキャンアウト信号9bが供給される。また、第3スキャンクロック信号CLK3、第3通常クロック信号RCLK3、スキャンイネーブル入力信号3およびテストモード信号4が第3クロック制御回路80cに供給されている。第3クロック制御回路80cからは、第3クロック出力信号6c、第3スキャンイネーブル信号7c、第3クロックオン情報信号8cおよび第3スキャンアウト信号9cが出力されている。
また、第4クロック制御回路80dには、第3クロック制御回路80cから出力される第3スキャンアウト信号9cが供給される。また、第4スキャンクロック信号CLK4、第4通常クロック信号RCLK4、スキャンイネーブル入力信号3およびテストモード信号4が第4クロック制御回路80dに供給されている。第4クロック制御回路80dからは、第4クロック出力信号6d、第4スキャンイネーブル信号7d、第4クロックオン情報信号8dおよび第4スキャンアウト信号9dが出力されている。
第1クロック制御回路80aから出力される第1クロックオン情報信号8a、第2クロック制御回路80bから出力される第2クロックオン情報信号8b、第3クロック制御回路80cから出力される第3クロックオン情報信号8cおよび第4クロック制御回路80dから出力される第4クロックオン情報信号8dは、スキャンイネーブル制御信号生成回路13に供給される。スキャンイネーブル制御信号生成回路13は、第1クロックオン情報信号8a、第2クロックオン情報信号8b、第3クロックオン情報信号8cおよび第4クロックオン情報信号8d基づいて、第1スキャンイネーブル制御信号12a、第2スキャンイネーブル制御信号12b、第3スキャンイネーブル制御信号12cおよび第4スキャンイネーブル制御信号12dを出力する。
図4は、論理回路65の構成を例示するブロック図である。論理回路65は、第1フリップフロップ群34と、第2フリップフロップ群35と、第3フリップフロップ群36と、第4フリップフロップ群37と、第5フリップフロップ群38とを備えている。また、論理回路65は、第1組み合わせ回路39と、第2組み合わせ回路40と、第3組み合わせ回路41と、第4組み合わせ回路42とを備えている。
第1フリップフロップ群34は、第1スキャンクロック信号CLK1で動作する。第2フリップフロップ群35と第3フリップフロップ群36は、第2スキャンクロック信号CLK2で動作する。第4フリップフロップ群37は、第3スキャンクロック信号CLK3で動作する。第5フリップフロップ群38は、第4スキャンクロック信号CLK4で動作する。第1組み合わせ回路39は、第1フリップフロップ群34と、第2フリップフロップ群35の間に配置されている。第2組み合わせ回路40は、第2フリップフロップ群35と、第3フリップフロップ群36の間に配置されている。第3組み合わせ回路41、第3フリップフロップ群36と第4フリップフロップ群37の間に配置されている。第4組み合わせ回路42は、第4フリップフロップ群37と第5フリップフロップ群38の間に配置されている。
図5は、論理回路65の内部に配置されるスキャンフリップフロップの構成を例示するブロック図である。そのスキャンフリップフロップは、データ出力端子64を備え、そのデータ出力端子64は、スキャンイネーブル信号7によって、出力が固定される。
図6は、第1クロック制御回路80aの構成を例示するブロック図である。第1クロック制御回路80aは、フリップフロップ17とレベルラッチ15とAND回路16、OR回路18、OR回路19とマルチプレクサ66とを備えている。OR回路19は、第1スキャンイネーブル制御信号12aとスキャンイネーブル入力信号3とに応答して、第1スキャンイネーブル信号7aを出力する。フリップフロップ17は、スキャンイネーブル入力信号3とスキャンイン信号5とを受け、それらに基づいて第1クロックオン情報信号8aと第1スキャンアウト信号9aを出力する。なお、フリップフロップ17のクロック端子には、第1スキャンクロック信号CLK1が供給される。また、第2クロック制御回路80b、第3クロック制御回路80cおよび第4クロック制御回路80dには、スキャンイン信号5の代わりに第1スキャンアウト信号9a、第2スキャンアウト信号9bおよび第3スキャンアウト信号9cがそれぞれ入力される。第2クロック制御回路80b、第3クロック制御回路80cおよび第4クロック制御回路80dにおける、それ以外の構成は、第1クロック制御回路80aと同様である。
第2クロック制御回路80bは、第2スキャンクロック信号CLK2および第2通常クロック信号RCLK2を受け、それらに基づいて第2クロックオン情報信号8bおよび第2スキャンアウト信号9bを出力する。第3クロック制御回路80cは、第3スキャンクロック信号CLK3および第3通常クロック信号RCLK3を受け、それらに基づいて第3クロックオン情報信号8cと第3スキャンアウト信号9cを出力する。第4クロック制御回路80dは、第4スキャンクロック信号CLK4および第4通常クロック信号RCLK4を受け、それらに基づいて第4クロックオン情報信号8dと第4スキャンアウト信号9dを出力する。
図6に示されているように、テストモード信号4がLowレベルで、第1通常クロック信号RCLK1が第1クロック出力信号6aへ出力され、テストモード信号4がHighレベルで、第1スキャンクロック信号CLK1が、レベルラッチ15の値と、AND回路16を通して第1クロック出力信号6aへ出力される。スキャンイネーブル入力信号3がHighレベルの場合は、レベルラッチ15には、Highレベルが入力され、スキャンイネーブル入力信号3がLowレベルの場合は、フリップフロップ17の出力が、レベルラッチ15に入力される。フリップフロップ17の出力は、第1クロックオン情報信号8aにも出力される。第1スキャンイネーブル信号7aには、第1スキャンイネーブル制御信号12aと、スキャンイネーブル入力信号3がOR回路19で処理された値を出力するという機能を実現している。
図7は、本実施形態におけるテストパタン生成ツールの構成を例示するブロック図である。テストパタン生成ツールは、回路追加部28と、テストパタン自動生成部30とを備えている。回路追加部28は、クロック制御回路情報27と、スキャンイネーブル制御信号生成回路情報26と、論理回路情報20とを受け、制御回路追加後回路情報29を出力する。テストパタン自動生成部30は、回路追加部28から出力された制御回路追加後回路情報29を受け取り、その制御回路追加後回路情報29に基づいてテストパタン31を作成する。
図8は、本実施形態のスキャンイネーブル制御信号生成回路作成ツールの構成を例示するブロック図である。スキャンイネーブル制御信号生成回路作成ツールは、クロックグループ作成部22と、クロック関係作成部24と、スキャンイネーブル制御信号生成回路作成部25とを備えている。クロックグループ作成部22は、論理回路情報20を受けとり、その論理回路情報20に基づいてクロックグループ情報21を作成する。クロック関係作成部24は、その論理回路情報20を受けとり、論理回路情報20に基づいて、クロック関係情報23を作成する。スキャンイネーブル制御信号生成回路作成部25は、クロックグループ情報21と、クロック関係情報23とを受け取り、それらに基づいて、当該パタンで利用するクロック系統を表すスキャンイネーブル制御信号生成回路情報26を作成する。
図8に示されているように、クロックグループ情報21には、テストパタンの中で、同時にクロックがオンしてもよい組み合わせが格納される。クロック関係情報23には、クロック系統毎に、当該クロック系統の論理回路65をテストする際に必要なクロック系統名が格納される。また、スキャンイネーブル制御信号生成回路において、入力信号は、クロックの値を示し、Highレベルでクロック印加、Lowレベルでクロックが印加されないことを意味する。クロックが一つだけHighレベルとなるような全てクロック系統のケースと、クロックグループ情報21内のクロックが全てHighレベルで、他はLowレベルとなるケースである。また、スキャンイネーブル制御信号生成回路の出力信号は、クロックに対応している。スキャンイネーブル制御信号生成回路は、入力信号がHighレベルであるクロックについて、クロック関係情報23に従って、関連があるクロックに対応するスキャンイネーブル制御信号12をすべてLowレベルとして出力する。
図9は、本実施形態のテストパタン生成ツールで実行されるテストパタン生成処理の手順を例示するフローチャートである。テストパターン生成ツールは、事前処理として論理回路情報20の読み出しを実行する。ステップS1において、その論理回路情報20に基づいて、同じパタンで同時に印加しても良いクロックの組み合わせを抽出する処理(クロックグループ作成処理)を実行する。次に、ステップS2において、入力した論理回路情報20から、クロック信号に基づき、テストパターン生成に必要な論理回路65の接続関係を抽出する処理(クロック関係抽出処理)を実行する。
次に、ステップS4において、抽出したクロック関係情報23、クロックグループ情報21から制御回路を作成する制御回路作成処理を実行する。その制御回路作成処理において、テストパターン生成ツールは、クロックグループ内の同じクロックグループに属するクロック群が、Highレベルとなる組み合わせ、クロックひとつずつがHighレベルとなる組み合わせを、入力信号の組み合わせとし、それぞれの組み合わせに対して、Highレベルとなっているクロックに関係のあるクロックをクロック関係情報23より検索し、当該クロックに対応する第1スキャンイネーブル制御信号12aをHighレベルとするスキャンイネーブル制御信号生成回路情報26を作成する。
つづいて、ステップS5において、テストパターン生成ツールは、クロック制御回路情報27と、スキャンイネーブル制御信号生成回路情報26を、論理回路65に付加する(回路に追加する)回路追加処理を実行して、制御回路追加後回路情報29を出力する。ステップS6において、その回路追加処理の実行結果である制御回路追加後回路情報29を用いて、テストパターン自動生成処理を実行し、テストパターン31を作成する。
図10は、クロックグループ作成処理の詳細な手順を例示するフローチャートである。テストパターン生成ツールは、回路に存在する全てのクロックにおいて、そのクロックのクロックグループが存在するか否かの判断を行う。その判断の結果、そのクロックのクロックグループがなければ、新しいグループを作成する。そのクロックのクロックグループがあれば、そのグループに入れてよいかを、タイミングアナライザツールなどのパス解析機能を使って確認し、パスが存在する場合は、着目しているクロックグループに入れずに、新しいグループを作成する。パスが存在しない場合は、着目しているクロックグループとクロックは同時に印加してもクロックスキューによるミスラッチの問題はおきないため、同じクロックグループとして扱う。テストパターン生成ツールは、この処理を全クロック分実施する。
クロックグループ作成処理では、クロックグループファイルが作成される。本実施形態においては、上述のフローチャートのステップS1によって、第1スキャンクロック信号CLK1と第4スキャンクロック信号CLK4あるいは、第1スキャンクロック信号CLK1と第3スキャンクロック信号CLK3がクロックグループとして認識されるものとする。
図11は、クロック関係抽出処理の詳細な手順を例示するフローチャートである。図11に示されているように、ステップS3において、テストパターン生成ツールは、回路に存在する全てのフリップフロップについて、クロック探索処理を行う。テストパターン生成ツールは、そのクロック探索処理によって、着目しているフリップフロップを終点とするパスの始点のフリップフロップのクロックを、お互いが関連あるものとしてクロックグループ情報記憶部32に記憶させる。
図12は、クロック探索処理の詳細な手順を例示するフローチャートである。ステップS10において、着目しているフリップフロップのクロック端子からバックトレースして、クロック名を抽出する。このとき、着目しているクロック信号名をクロック名43としてクロック関係情報記憶部33に記憶させる。つづいて、ステップS11において、フリップフロップのデータ入力端子のファンインコーンを抽出する。ステップS12において、当該フリップフロップを終点とするパスについて、当該パスの始点にあたるフリップフロップを全て抽出し、抽出したフリップフロップに入力されているクロック信号名を抽出する。このとき、抽出したファンインコーンのフリップフロップのクロック信号名を、着目しているクロック名43の関連クロックドメイン名44として、クロック関係情報記憶部33に記憶させる。
図13は、本実施形態で利用可能なクロック関係情報23の構成を例示するテーブルである。クロック関係情報23は、クロック名43と関連クロックドメイン名44とを、対比可能なように保持している。クロック関係抽出処理(ステップS2)において、各クロックで動作するフリップフロップのファンインコーンの回路に存在するフリップフロップのクロックを抽出する。第1スキャンクロック信号CLK1は、関連するものがなく、第2スキャンクロック信号CLK2は第1スキャンクロック信号CLK1と関係があり、第3スキャンクロック信号CLK3は第2スキャンクロック信号CLK2と関係があり、第4スキャンクロック信号CLK4は第3スキャンクロック信号CLK3と関係がある。これは、第1組み合わせ回路39の領域のテストをする際には、第1スキャンクロック信号CLK1で動作する第1フリップフロップ群34の値と第2スキャンクロック信号CLK2で動作する第2フリップフロップ群35の値を利用してテストすることを表している。
テストパターンで利用されるクロックの組み合わせに対して、クロック関係情報23を利用して、クロックに対応する第1スキャンイネーブル制御信号12a、第2スキャンイネーブル制御信号12b、第3スキャンイネーブル制御信号12cがHighレベルとなるような、スキャンイネーブル制御信号生成回路13が作成される。また、上述の図3に例示した回路の場合は、テストパターン生成ツールが利用するクロックの組み合わせは、クロックグループである第1スキャンクロック信号CLK1と第4スキャンクロック信号CLK4、あるいは、第1スキャンクロック信号CLK1と第3スキャンクロック信号CLK3及び、第1スキャンクロック信号CLK1、第2スキャンクロック信号CLK2、第3スキャンクロック信号CLK3、第4スキャンクロック信号CLK4がそれぞれ一つだけHighレベルとなる組み合わせである。この入力組み合わせに対して、クロック関係情報23に従って、対応するイネーブル信号をHighレベルにするスキャンイネーブル制御信号生成回路13を作成する。
図14は、本実施形態で利用可能なスキャンイネーブル制御信号生成回路13の動作に対応した真理値表である。各行はテストパターンのクロック印加の組み合わせに対応している。第5列55は、第1スキャンクロック信号CLK1のクロックオン情報である第1クロックオン情報CLKON1を例示している。同様に、第6列56は、第2スキャンクロック信号CLK2のクロックオン情報である第2クロックオン情報CLKON2を例示し、第7列57は、第3スキャンクロック信号CLK3のクロックオン情報である第3クロックオン情報CLKON3を例示し、第8列58は、第4スキャンクロック信号CLK4のクロックオン情報である第4クロックオン情報CLKON4を例示している。
また、第1列51は、第1スキャンクロック信号CLK1に対応するクロック制御回路(第1クロック制御回路80a)に入力される第1スキャンイネーブル制御信号12aの状態を表している。同様に、第2列52は第2スキャンクロック信号CLK2に対応するクロック制御回路(第2クロック制御回路80b)に入力される第2スキャンイネーブル制御信号12bの状態を表し、第3列53は、第3スキャンクロック信号CLK3に対応するクロック制御回路(第3クロック制御回路80c)に入力される第3スキャンイネーブル制御信号12cの状態を表し、第4列54は、第4スキャンクロック信号CLK4に対応するクロック制御回路(第4クロック制御回路80d)に入力される第4スキャンイネーブル制御信号12dの状態を表している。
ここで第1行45は、第1スキャンクロック信号CLK1と第4スキャンクロック信号CLK4が印加される場合のテストパターンのクロック組み合わせを表している。上述のクロック関係情報23を参照すると、第1スキャンクロック信号CLK1には、関連クロックドメイン名44として第1スキャンクロック信号CLK1が関連付けられている。また、第4スキャンクロック信号CLK4には、関連クロックドメイン名44として第4スキャンクロック信号CLK4と第3スキャンクロック信号CLK3とが関連付けられている。図14の第1行45を参照すると、この場合に、第3列53には”0”が記載され、第2列52には、半導体集積回路1が記載されている。
スキャンイネーブル制御信号生成回路13は、このテーブルから得られる情報に基づいて、第3スキャンイネーブル制御信号12cを制御しないとともに、第2スキャンイネーブル制御信号12bを制御する。同様に、第2行46は、第1スキャンクロック信号CLK1と第3スキャンクロック信号CLK3が印加される場合のテストパターンを表している。上述のクロック関係情報23を参照すると、第4列54のみ、”1”が記載されている。スキャンイネーブル制御信号生成回路13は、この情報に従って、第4スキャンイネーブル制御信号12dを制御する。また、第3行47〜第6行50は、各クロックが1つのみ印加されるケースを示しており、それぞれにおいて、上述のクロック関係情報23を参照して、第1スキャンイネーブル制御信号12a、第2スキャンイネーブル制御信号12b、第3スキャンイネーブル制御信号12cの値が決定されている。TEST回路70は、このように動作するスキャンイネーブル制御信号生成回路13と、第1クロック制御回路80a、第2クロック制御回路80b、第3クロック制御回路80cおよび第4クロック制御回路80dを備えている。
図15は、TEST回路70と論理回路65との接続を例示する回路図である。本実施形態のテストを実行する場合、この図15の回路構成に対して、テストパターン自動生成ツールでパタンを作成すればよい。
図16は、図15の回路に対して、本実施形態のテストパターン作成方法を適用したときのスキャンパタンの構成を例示する図である。図16に示されているように、以下の説明においては、第1スキャンパタン59、第2スキャンパタン60、第3スキャンパタン61が生成され、それらのパタンを利用したテストで、どのようにテストタイムの削減が実現されるかを説明する。
テストパターン自動生成ツールは、お互いに接続関係がないクロックを同時に印加し、一つのスキャンパタンでより多くの回路がテスト可能なパタンを作成する。また、クロック信号の途中に何らかの論理回路が存在する場合は、クロック信号が通過できるような値に、論理回路の状態を設定する。
上述のクロック制御回路の場合、クロックを印加する必要がある場合は、クロック制御回路内部のフリップフロップ17の値に、Highレベルをシフトインするようなパタンを作成する。クロックを印加する必要が無い場合は、フリップフロップ17の値は、ドントケアとなる。あらかじめ、ドントケアビットは、Lowレベルで埋めるように、テストパターン自動生成ツールに指示し、Lowレベルとなるようにしておくことが好ましい。
作成されたパタンは、クロック制御回路から出力されたスキャンイネーブル入力信号3によって、論理回路65の内部のスキャンフリップフロップのデータ出力端子64が制御される。そして、スキャンシフトからキャプチャ動作に移る際、現在のパタンで利用する回路領域のみを有効とする。また、利用しない領域についてはシフト時に固定した状態を保持し、スイッチングを抑制することができる。
第1スキャンパタン59で利用する値は、スキャンイン端子から順次スキャンチェーンにロードされる。この時、第1スキャンパタン59では、第1スキャンクロック信号CLK1と第4スキャンクロック信号CLK4を利用してテストを行うため、スキャンシフトの最終サイクルにおいては、第1スキャンクロック信号CLK1用の第1クロック制御回路80aと、第4スキャンクロック信号CLK4の第4クロック制御回路80dの内部のフリップフロップ17をHighレベルにするような値がロードされる。
次に、これらの値を使って、TEST回路70の内部のスキャンイネーブル制御信号生成回路13で、スキャンイネーブル制御信号12が生成される。第1スキャンパタン59では、第1スキャンクロック信号CLK1と第4スキャンクロック信号CLK4がHighレベルになっていることから、第1スキャンイネーブル制御信号12a、第3スキャンイネーブル制御信号12c、第4スキャンイネーブル制御信号12dがLowレベル、第2スキャンイネーブル制御信号12bがHighレベルとなる。
つづいて、キャプチャサイクルにおいて、スキャンイネーブル入力信号3がHighレベル(シフトモード)からLowレベル(キャプチャモード)へ変化する。第2クロック制御回路80bから、スキャンシフトの最終サイクルで第1スキャンイネーブル制御信号12a、第3スキャンイネーブル制御信号12c、第4スキャンイネーブル制御信号12dがLowレベル、第2スキャンイネーブル制御信号12bがHighレベルとなっていることから、スキャンイネーブル入力信号3がLowレベルに変化したとしても、第2クロック制御回路80bの第2スキャンイネーブル信号7bからはHighレベルが出力され、第2組み合わせ回路40と第3組み合わせ回路41の組み合わせ回路については、スキャンシフト時と同様に、スキャンフリップフロップのデータ出力端子64はHighレベルに固定されたままである。そのため、第2組み合わせ回路40、第3組み合わせ回路41のスイッチングは抑制される。
第1スキャンパタン59のキャプチャ動作が終了すると、スキャンイネーブル入力信号3がLowレベルからHighレベルへ変化し、論理回路65中の全てのスキャンフリップフロップのデータ出力が、Highレベル固定の状態となる。この状態で第2スキャンパタン60をシフトインし論理回路65のスキャンフリップフロップへロードする。
第2スキャンパタン60では、第3スキャンクロック信号CLK3を印加して、第3組み合わせ回路41の領域のテストを行う。このため、スキャンシフトの最終サイクルにおいては、第3スキャンクロック信号CLK3用の第3クロック制御回路80cのフリップフロップ17をHighレベルにするような値がロードされる。次に、これらの値を使って、スキャンイネーブル制御信号生成回路13で、スキャンイネーブル制御信号が生成される。第2スキャンパタン60では、第3スキャンクロック信号CLK3がHighレベルになっていることから、第2スキャンイネーブル制御信号12b、第3スキャンイネーブル制御信号12cがLowレベル、第1スキャンイネーブル制御信号12a、12dがHighレベルとなる。キャプチャサイクルにおいて、スキャンイネーブル入力信号3がHighレベル(シフトモード)からLowレベル(キャプチャモード)へ変化する。
この場合、第1スキャンイネーブル制御信号12aが入力されている第1クロック制御回路80aの第1スキャンイネーブル信号7aと、第4スキャンイネーブル制御信号12dが入力されている第4クロック制御回路80dの第4スキャンイネーブル信号7dとは、スキャンイネーブル入力信号3がLowレベルに変化したとしても、Highレベルが出力される。第1組み合わせ回路39の組み合わせ回路については、スキャンシフト時と同様に、スキャンフリップフロップのデータ出力端子64はHighレベルに固定されたままであり、第1組み合わせ回路39の組み合わせ回路はスイッチングしない。
図17は、第1スキャンパタン59と第2スキャンパタン60のタイミングチャートである。第1スキャンパタン59では、スキャンシフトの最終クロックで、第1スキャンイネーブル信号7a、第3スキャンイネーブル信号7c、第4スキャンイネーブル信号7dの値がLowレベルに変化する。スキャンイネーブル入力信号3が有効な状態となり、第2スキャンイネーブル信号7bのみ、シフト時と同様のHighレベルであり、スキャンイネーブル入力信号3の値に関わらずHighレベルとなり、スキャンイネーブル信号7bが接続されるフリップフロップのファンアウトコーンのスイッチングは抑制される。
第2スキャンパタン60では、同様に、第1スキャンイネーブル信号7aと第4スキャンイネーブル信号7dがキャプチャ時もHighレベルで固定であり、その信号が供給されるフリップフロップのファンアウトコーンのスイッチングが抑制される。このように、ピーク消費電力低減を実現するテストパターン生成の分野において、本実施形態の半導体集積回路およびそのテストパターン設計方法は、スキャンフリップフロップのデータ出力端子を固定にしているスキャンシフト動作から、キャプチャ動作に変化する際に、テストパターン毎に、パタンで利用するクロック系統の論理回路内に含まれるスキャンフリップフロップのデータ出力端子をホールド解除し、利用しないクロック系統の論理回路のデータ出力端子については、シフト時に固定した状態を保持することができる。これにより、スキャンテストにおけるキャプチャ期間のサイクル数削減が実現できるという効果を得られる。
以上、本願発明の実施の形態を具体的に説明した。本願発明は上述の実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
図1は、従来のシステムLSIの構成を示す回路図である。 図2は、従来のシステムLSIにおける、テストモードの変化を示す図である。 図3は、本実施形態の半導体集積回路1の構成を例示するブロック図である。 図4は、論理回路65の構成を例示するブロック図である。 図5は、論理回路65の内部に配置されるスキャンフリップフロップの構成を例示するブロック図である。 図6は、第1クロック制御回路80aの構成を例示するブロック図である。 図7は、本実施形態におけるテストパターン生成ツールの構成を例示するブロック図である。 図8は、本実施形態のスキャンイネーブル制御信号生成回路作成ツールの構成を例示するブロック図である。 図9は、本実施形態のテストパターン生成ツールで実行されるテストパターン生成処理の手順を例示するフローチャートである。 図10は、クロックグループ作成処理の詳細な手順を例示するフローチャートである。 図11は、クロック関係抽出処理の詳細な手順を例示するフローチャートである。 図12は、クロック探索処理の詳細な手順を例示するフローチャートである。 図13は、本実施形態で利用可能なクロック関係情報23の構成を例示するテーブルである。 図14は、本実施形態で利用可能なスキャンイネーブル制御信号生成回路13の動作に対応した真理値表である。 図15は、TEST回路70と論理回路65との接続を例示する回路図である。 図16は、本実施形態のテストパターン作成方法を適用したときのスキャンパタンの構成を例示する図である。 図17は、第1スキャンパタン59と第2スキャンパタン60のタイミングチャートである。
符号の説明
1…半導体集積回路
3…スキャンイネーブル入力信号
4…テストモード信号
5…スキャンイン信号
6…クロック出力信号
6a…第1クロック出力信号
6b…第2クロック出力信号
6c…第3クロック出力信号
6d…第4クロック出力信号
7…スキャンイネーブル信号
7a…第1スキャンイネーブル信号
7b…第2スキャンイネーブル信号
7c…第3スキャンイネーブル信号
7d…第4スキャンイネーブル信号
8…クロックオン情報信号
8a…第1クロックオン情報信号
8b…第2クロックオン情報信号
8c…第3クロックオン情報信号
8d…第4クロックオン情報信号
9…スキャンアウト信号
9a…第1スキャンアウト信号
9b…第2スキャンアウト信号
9c…第3スキャンアウト信号
9d…第4スキャンアウト信号
12…スキャンイネーブル制御信号
12a…第1スキャンイネーブル制御信号
12b…第2スキャンイネーブル制御信号
12c…第3スキャンイネーブル制御信号
12d…第4スキャンイネーブル制御信号
13…スキャンイネーブル制御信号生成回路
14…データ出力信号
15…レベルラッチ
16…AND回路
17…フリップフロップ
18…OR回路
19…OR回路
20…論理回路情報
21…クロックグループ情報
22…クロックグループ作成部
23…クロック関係情報
24…クロック関係作成部
25…スキャンイネーブル制御信号生成回路作成部
26…スキャンイネーブル制御信号生成回路情報
27…クロック制御回路情報
28…回路追加部
29…制御回路追加後回路情報
30…テストパターン自動生成部
31…テストパターン
32…クロックグループ情報記憶部
33…クロック関係情報記憶部
34…第1フリップフロップ群
35…第2フリップフロップ群
36…第3フリップフロップ群
37…第4フリップフロップ群
38…第5フリップフロップ群
39…第1組み合わせ回路
40…第2組み合わせ回路
41…第3組み合わせ回路
42…第4組み合わせ回路
43…クロック名
44…関連クロックドメイン名
45…第1行
46…第2行
47…第3行
48…第4行
49…第5行
50…第6行
51…第1列
52…第2列
53…第3列
54…第4列
55…第5列
56…第6列
57…第7列
58…第8列
59…第1スキャンパタン
60…第2スキャンパタン
61…第3スキャンパタン
64…データ出力端子
65…論理回路
66…マルチプレクサ
70…TEST回路
70a…TEST回路
80…クロック制御回路
80a…第1クロック制御回路
80b…第2クロック制御回路
80c…第3クロック制御回路
80d…第4クロック制御回路
CLK1…第1スキャンクロック信号
CLK2…第2スキャンクロック信号
CLK3…第3スキャンクロック信号
CLK4…第4スキャンクロック信号
CLKON1…第1クロックオン情報
CLKON2…第2クロックオン情報
CLKON3…第3クロックオン情報
CLKON4…第4クロックオン情報
RCLK1…第1通常クロック信号
RCLK2…第2通常クロック信号
RCLK3…第3通常クロック信号
RCLK4…第4通常クロック信号
S1、S2、S3、S4、S5、S6…ステップ
110…組み合わせ回路
111A…フリップフロップ回路
111B…フリップフロップ回路
111C…フリップフロップ回路
111D…フリップフロップ回路
111E…フリップフロップ回路
111F…フリップフロップ回路
X…第1グループ
Y…第2グループ
Z…第3グループ

Claims (10)

  1. スキャンパスを構成する複数のスキャンパスフリップフロップを有する論理回路と、
    前記スキャンパスに対応してスキャンパステストを実行するテスト回路と
    を具備し、
    前記テスト回路は、
    前記スキャンパスフリップフロップに供給するスキャンクロックを制御するクロック制御回路と、
    スキャンイネーブル信号を制御するためのスキャンイネーブル制御信号を供給するスキャンイネーブル制御信号生成回路と
    を備え、
    前記スキャンイネーブル制御信号生成回路は、
    前記クロック制御回路から出力されるクロックオン情報信号を受けて、他のクロック制御回路に供給するスキャンイネーブル制御信号を生成し、
    前記他のクロック制御回路は、
    前記スキャンイネーブル制御信号に基づいて、前記スキャンイネーブル信号の値を特定し、
    前記スキャンパスフリップフロップは、
    前記スキャンクロックと前記スキャンイネーブル信号とを受け、前記スキャンイネーブル信号の値に従って、データ出力端子から固定値を出力する
    半導体集積回路。
  2. 請求項1に記載の半導体集積回路において、
    前記クロック制御回路は、
    フリップフロップとレベルラッチとAND回路とを有するクロックゲーティング回路を含み、
    前記クロックゲーティング回路は、
    スキャンシフト動作に応答して出力信号のレベルを可変的に設定し、その設定した値を前記クロックオン情報信号として前記スキャンイネーブル制御信号生成回路に出力する
    半導体集積回路。
  3. 請求項2に記載の半導体集積回路において、
    前記スキャンイネーブル制御信号生成回路は、
    特定のスキャンクロックを抽出し、前記特定のスキャンクロックに関連する他のスキャンクロックを非活性化させるスキャンイネーブル制御信号を出力する
    半導体集積回路。
  4. 半導体集積回路に含まれる論理回路の構成を示す論理回路情報を読み出す論理回路情報読み出しステップと、
    前記論理回路情報に基づいて、前記半導体集積回路のテストパターンを生成するテストパターン生成ステップと
    を具備し、
    前記テストパターン生成ステップは、
    (a)前記論理回路に対するスキャンテストを実行するテスト回路を示すテスト回路情報を生成するステップと、
    (b)前記テスト回路情報に基づいて前記テストパターンを自動的に生成するステップと
    を備え、
    前記(a)ステップは、
    前記論理回路に供給するスキャンクロックを制御するクロック制御回路を示すクロック制御回路情報を読み出すステップと、
    スキャンイネーブル信号を制御するためのスキャンイネーブル制御信号を生成し、前記スキャンイネーブル制御信号を前記クロック制御回路に供給するスキャンイネーブル制御信号生成回路を示すスキャンイネーブル制御信号生成回路情報を読み出すステップと、
    前記クロック制御回路情報と前記スキャンイネーブル制御信号生成回路情報とに基づいて前記テスト回路情報を生成するステップと
    を含む
    テストパターン生成方法。
  5. 請求項4に記載のテストパターン生成方法において、
    前記(b)ステップは、
    互いに独立な複数のスキャンクロックを同時に印加するようなパタンを、前記テストパターンとするステップと、
    スキャンクロックが通る経路の途中に特定の論理回路が存在する場合に、前記スキャンクロックが通過できるような値に、前記特定の論理回路の状態を設定するステップと
    を含む
    テストパターン生成方法。
  6. 半導体集積回路のテストパターンを生成するテストパターン生成ステップと、
    前記テストパターンを用いて前記半導体集積回路のスキャンテストを実行するテストステップと
    を具備し、
    前記テストパターン生成ステップは、
    (a)前記半導体集積回路を構成する論理回路に対するスキャンテストを実行するテスト回路を示すテスト回路情報を生成するステップと、
    (b)前記テスト回路情報に基づいて前記テストパターンを自動的に生成するステップと
    を備え、
    前記テストステップは、
    (c)前記論理回路に供給するスキャンクロックを制御するクロック制御回路からクロックオン情報信号を出力するステップと、
    (d)前記クロックオン情報を受けて、他のクロック制御回路に供給するスキャンイネーブル制御信号を生成するステップと、
    (e)前記スキャンイネーブル制御信号に基づいて、前記他のクロック制御回路のスキャンイネーブル信号の値を特定するステップと、
    (f)前記論理回路に含まれるスキャンパスフリップフロップが、前記スキャンクロックと前記スキャンイネーブル信号とを受け、前記スキャンイネーブル信号の値に従って、データ出力端子から固定値を出力するステップと
    を備える
    半導体集積回路のテスト方法。
  7. 請求項6に記載の半導体集積回路のテスト方法において、
    前記(a)ステップは、
    前記クロック制御回路を示すクロック制御回路情報を読み出すステップと、
    スキャンイネーブル信号を制御するためのスキャンイネーブル制御信号を生成し、前記スキャンイネーブル制御信号を前記クロック制御回路に供給するスキャンイネーブル制御信号生成回路を示すスキャンイネーブル制御信号生成回路情報を読み出すステップと、
    前記クロック制御回路情報と前記スキャンイネーブル制御信号生成回路情報とに基づいて前記テスト回路情報を生成するステップと
    を含む
    半導体集積回路のテスト方法。
  8. 請求項7に記載の半導体集積回路のテスト方法において、
    前記(b)ステップは、
    互いに独立な複数のスキャンクロックを同時に印加するようなパタンを、前記テストパターンとするステップと、
    スキャンクロックが通る経路の途中に特定の論理回路が存在する場合に、前記スキャンクロックが通過できるような値に、前記特定の論理回路の状態を設定するステップと
    を含む
    半導体集積回路のテスト方法。
  9. 半導体集積回路に含まれる論理回路の構成を示す論理回路情報を読み出す論理回路情報読み出しステップと、
    スキャンパステストを実行するテスト回路の構成を示すテスト回路情報を生成するテスト回路情報生成ステップと
    を具備し、
    テスト回路情報生成ステップは、
    (a)前記スキャンパステストに使用されるスキャンクロックを制御するクロック制御回路を示すクロック制御回路情報を読み出すステップと、
    (b)前記クロック制御回路に供給されるスキャンイネーブル信号を制御するスキャンイネーブル制御信号を生成するスキャンイネーブル制御信号生成回路を示すスキャンイネーブル制御信号生成回路情報を生成するステップと、
    を備え、
    前記(b)ステップは、
    前記論理回路情報に基づいて、同じパタンで同時に印加しても良いスキャンクロックの組み合わせを抽出してクロックグループ情報を生成するクロックグループ情報生成ステップと、
    前記論理回路情報に基づいて、テストパターンの生成に必要な前記論理回路の接続関係を抽出してクロック関係情報を生成するクロック関係情報生成ステップと、
    前記クロックグループ情報と前記クロック関係情報とに基づいて、前記スキャンイネーブル制御信号生成回路情報を生成する制御回路作成ステップと
    を含む
    半導体集積回路の設計方法。
  10. 請求項9に記載の半導体集積回路の設計方法において、
    前記制御回路作成ステップは、
    特定のスキャンクロックを抽出し、前記特定のスキャンクロックに関連する他のスキャンクックを、関連スキャンクロックとして前記クロック関係情報から抽出するステップと、
    前記関連スキャンクロックを非活性化させるスキャンイネーブル制御信号を出力するように、前記スキャンイネーブル制御信号生成回路情報を生成するステップと
    を含む
    半導体集積回路の設計方法。
JP2008314766A 2008-12-10 2008-12-10 半導体集積回路およびその半導体集積回路のテスト方法 Withdrawn JP2010139322A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008314766A JP2010139322A (ja) 2008-12-10 2008-12-10 半導体集積回路およびその半導体集積回路のテスト方法
US12/591,885 US20100146349A1 (en) 2008-12-10 2009-12-03 Semiconductor integrated circuit including logic circuit having scan path and test circuit for conducting scan path test

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008314766A JP2010139322A (ja) 2008-12-10 2008-12-10 半導体集積回路およびその半導体集積回路のテスト方法

Publications (1)

Publication Number Publication Date
JP2010139322A true JP2010139322A (ja) 2010-06-24

Family

ID=42232433

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008314766A Withdrawn JP2010139322A (ja) 2008-12-10 2008-12-10 半導体集積回路およびその半導体集積回路のテスト方法

Country Status (2)

Country Link
US (1) US20100146349A1 (ja)
JP (1) JP2010139322A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013508731A (ja) * 2009-10-23 2013-03-07 日本テキサス・インスツルメンツ株式会社 パーティションを設けたスキャン・チェーンを備えた集積回路のスキャンテストにおける向上した制御

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8418008B2 (en) * 2008-12-18 2013-04-09 Lsi Corporation Test technique to apply a variable scan clock including a scan clock modifier on an integrated circuit
WO2013141666A1 (ko) * 2012-03-23 2013-09-26 (주)휴맥스 Mmt 패키지화된 svc 비디오 콘텐츠의 하이브리드 전송 방법 및 수신 방법
KR101992205B1 (ko) 2012-12-12 2019-06-24 삼성전자주식회사 온칩 클록 제어회로 및 시스템 온 칩
US9110136B2 (en) * 2013-09-27 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit and method for monolithic stacked integrated circuit testing

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2871291B2 (ja) * 1992-05-20 1999-03-17 日本電気株式会社 論理集積回路
JP2522140B2 (ja) * 1992-11-18 1996-08-07 日本電気株式会社 論理回路
JP4428489B2 (ja) * 1999-08-23 2010-03-10 パナソニック株式会社 集積回路装置及びそのテスト方法
JP4488595B2 (ja) * 2000-06-08 2010-06-23 株式会社アドバンテスト テストパターン生成方法
US7007213B2 (en) * 2001-02-15 2006-02-28 Syntest Technologies, Inc. Multiple-capture DFT system for detecting or locating crossing clock-domain faults during self-test or scan-test
JP2002257903A (ja) * 2001-03-01 2002-09-11 Nec Corp 半導体集積回路の試験方法と試験パタン生成方法及び装置並びにプログラム
US6954887B2 (en) * 2001-03-22 2005-10-11 Syntest Technologies, Inc. Multiple-capture DFT system for scan-based integrated circuits
JP4108374B2 (ja) * 2002-05-29 2008-06-25 富士通株式会社 スキャンフリップフロップ回路,スキャンフリップフロップ回路列,および集積回路装置
US7685491B2 (en) * 2006-04-05 2010-03-23 Xijiang Lin Test generation methods for reducing power dissipation and supply currents
US7647540B2 (en) * 2006-07-21 2010-01-12 Janusz Rajski Decompressors for low power decompression of test patterns
JP2008117372A (ja) * 2006-10-13 2008-05-22 Nec Electronics Corp 半導体集積回路およびその制御方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013508731A (ja) * 2009-10-23 2013-03-07 日本テキサス・インスツルメンツ株式会社 パーティションを設けたスキャン・チェーンを備えた集積回路のスキャンテストにおける向上した制御

Also Published As

Publication number Publication date
US20100146349A1 (en) 2010-06-10

Similar Documents

Publication Publication Date Title
JP4177807B2 (ja) 回路テストシステム
EP2428808B1 (en) Integrated circuit with scan chain and chip testing method
JP4922055B2 (ja) スキャンテスト回路、及びスキャンテスト制御方法
US10156607B2 (en) Bidirectional scan chain structure and method
US20110276849A1 (en) System, circuit, and device for asynchronously scan capturing multi-clock domains
JP2009270832A (ja) 論理回路
JP2010139322A (ja) 半導体集積回路およびその半導体集積回路のテスト方法
JP2011149775A (ja) 半導体集積回路及びコアテスト回路
US20060041806A1 (en) Testing method for semiconductor device and testing circuit for semiconductor device
Swaminathan et al. On using twisted-ring counters for test set embedding in BIST
WO2006106626A1 (ja) 半導体論理回路装置のテスト方法及びテストプログラム
JP3257425B2 (ja) テスト回路及びテスト方法
JP3987585B2 (ja) コアのテスト制御
JPH0763821A (ja) テスト回路
US7155649B2 (en) Scan test control method and scan test circuit
JP2003121497A (ja) 論理回路テスト用スキャンパス回路及びこれを備えた集積回路装置
JP2005257366A (ja) 半導体回路装置及び半導体回路に関するスキャンテスト方法
JP3963158B2 (ja) 半導体回路装置及びそのテスト方法
JP2021124371A (ja) 半導体集積回路
US20050289421A1 (en) Semiconductor chip
JP4610919B2 (ja) 半導体集積回路装置
JP3328160B2 (ja) 論理集積回路のテスト装置
JP2004004047A (ja) 集積回路のための入力/出力特徴付けチェーン
KR101384540B1 (ko) 클록 분배 회로 및 이를 포함하는 반도체 칩
JP2011094986A (ja) 半導体集積回路、半導体集積回路設計方法、スキャンテストパタン生成方法及びそのプログラム

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20120306