TW200303035A - Method and apparatus for substrate processing - Google Patents

Method and apparatus for substrate processing Download PDF

Info

Publication number
TW200303035A
TW200303035A TW092102121A TW92102121A TW200303035A TW 200303035 A TW200303035 A TW 200303035A TW 092102121 A TW092102121 A TW 092102121A TW 92102121 A TW92102121 A TW 92102121A TW 200303035 A TW200303035 A TW 200303035A
Authority
TW
Taiwan
Prior art keywords
plasma
scope
item
patent application
gas
Prior art date
Application number
TW092102121A
Other languages
English (en)
Inventor
John M White
Kam S Law
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200303035A publication Critical patent/TW200303035A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

200303035 政、發明說明 【發明所屬之技術領域】 本發明係關於基材處理之方法與設備。更明確的說, 本發明係關於執行製程步驟的方法與設備,例如一基材之 沈積及/或蝕刻及/或製程反應室的淨化。 【先前技術】 在積體平面顯示器(FPD)和太陽能電池的製造中,電致 動設備(electrically functional device)係藉由從一基材上沈 積並移除多個傳導層、半導體層、以及介電材料層來形成。 用來製造平面顯示器和太陽能電池的製程技術包含化學氣 相沈積(CVD)、電漿輔助化學氣相沈積(pecvd)、物理氣相 沈積(PVD)、餘刻、以及諸如此類者。 電漿製程特別適於用來製造積體平面顯示器,因為只 需要相對低的製程溫度就能夠沈積出品質良好的薄膜。通 常’電漿製程應用的特徵在於電漿内離子的動能,以及被 處理之基材或薄膜直接接觸電漿的程度。例如,對基材或 薄膜的損傷較為敏感的應用通常需要來自電漿之低動能的 離子’而例如二氧化矽之非等向性蝕刻的應用則需要動能 較高的離子。 電漿製程的基本方法包含直流放電、射頻放電、以及 微波放電。一電漿製程反應室的例子將基材置於一基材支 撐上,其具有一與平面電極相反的電極。該平面電極係被 用來將鬲頻電力與該電極柄合,以在該電極和該平面電極 4 200303035 之間形成電漿。但是,某些設備或材料與此型式的電漿獾 態不相容,因為該電漿包含了可導致不預期的基材加熱之 高能量光子。為克服這個問題,另一個電漿製程方法在相 隔較遠處產生電漿,即一遠端電漿源(RPS),並且將電漿與 該製程反應室連結◎多種型式的遠端電漿產生器已被開發, 包含連結至一腔室的磁控源、指向電漿前驅物之微波輻射、 及其他。不幸的是,電漿内一部份的能量會在用來從遠端 傳送電漿的導管内流失,其可能影響基材處理效率。 習知的感應耦合式射頻電漿源常被利用,因為他們町 以產生大面積電渡,並且與電容耦合式電榮源和大部分的 遠端電製源比較起來通常有較高的製程速率。原則上,感 應搞合電漿系統允許高密度電漿在該製程反應室的一部份 中產生(例如,在被處理的基材上)並且距離夠遠,因此基材 不會直接接觸電漿。 外部環形電漿系統已被發展以更保護基材避開電漿產 生處、在基材表面上提供更均勻的電漿、並且克服習知感 應耦合式電漿源的缺點。一個這樣的系統在2〇〇〇年8月i i 日提出申請,標題為『外部激發環形電漿源』之美國專利 申請案第09/638075號中被敘述。在此案中,電漿在一個或 夕個導管中產生,該導管在一製程反應室内之製程區域的 外部延伸並與其連結。$管和製程區域界定出—個封閉的 電聚迴路(例如環形)通道。電漿和電漿電流被形成在多個傳 導表面之電E鞘限制在該通道内,該等傳導表面包含該基 材和鄰接的製程區域壁以及導管内、表面。 5 200303035 習知的用來處理例如蝕刻之環形電漿製程系統已證實 可以有效地處理大至約釐米大的小尺寸圓形基材。通 常,流動通過該環形製程區域的電漿電流被限制在一上反 應室表面鞘和該基材之間以覆蓋更多基材表面區域,因此 而最小化所需的電漿量並且最大化使用的電漿能量。但是, 有效地利用環形電漿製程系統來處理基材被尺寸日漸增加 的基材所阻礙。環形電漿製程系統的問題在表面積接近一 平方公尺的矩形基材上特別嚴重,例如平面顯示器、太陽 能板、以及諸如此類者。隨著基材尺寸增加,電漿電流通 道距離和表面覆蓋的增加會導致電漿電流阻力的增加。此 外,尺寸日漸增加的基材對電漿密度均勻性有不良的影響。 隨著基材尺寸增加,電漿密度均勻性變得更難維持而導致 例如不均勻的沈積和蝕刻等製程問題。例如,在邊緣和接 近角落的沈積層可能會令人無法接受的厚或薄,而實質地 減少可用的基材表面積。 隨著時間經過,製程循環(例如沈積和蝕刻)會在反應室 構件上留下殘留物。在某些例子中,此殘留物會干擾在該 反應室中進行的製程並導致缺陷基材的產生。因此製程反 應室需要定期淨化以確保運作正常。進行定期淨化的一個 普遍方法是利用一電漿激發氣體混合物,其與該殘留物反 應並將其轉化成一揮發性化合物,因此可以從該系統被沖 出以為下一個基材處理做準備。通常,淨化電漿係藉由對 一對電極(通常是一喷嘴和一基材支撐構件)施加偏壓以電感 輕合能量至製程反應室的製程區域中來提供。不幸的是, 200303035 直接與電漿接觸的結果,該喷嘴和基材支撐構件會被電漿 離子損害。反應室構件的損害通常會降低隨後製程的有效 性並需要額外的製程反應室維護,因此增加了製造成本。 因為這個問題,近來在一完全與製程電極隔離處遠距 激發淨化氣體變得更為普遍。但是,此作法有其限制,因 為該受激反應物是在遠距產生的,其因此必須被傳送一段 距離至該製程區域以有效從該製程系統中淨化殘留物。此 傳送距離可以盡可能的縮短,但是仍然有一些反應物會因 為沿途不可避免的壁反應(wall interaction)的發生而變為非 活性。因此’有對於可在一適於處理大面積基材之基材處 理系、、絶内提供均勻的電漿處理,包含有效的淨化之方法與 設備的需要。 【發明内容】 本發明之態樣一般係在提供執行例如沈積、蝕刻、和 反應室淨化等電漿處理的設備和方法。在一實施例中,一 反應至含有一主體、一底部.、一上蓋、以及一配置在該反 應室内的基材支撐構件。該上蓋、基材支撐、和主體界定 出一與一幫浦連結之製程區域,該幫浦係用於維持該製程 區域内的氣體壓力。該反應室更含有一射頻源以在其内激 發電榮* 外部結構定義出一延伸通過該製程區域之第一 %狀電漿電流通道,並且至少一電漿形塑設備被配置在該 第一環狀電裴電流通道内以管理在該製程區域内的電漿分 佈。 7 200303035 在另一個實施例中,本發明提供一電漿產生系 含有界定出一第一電漿電流通道之第一中空構件以 出一第二電漿電流通道之第二中空構件,該第二中 實質上係與該第一中空構件交叉配置。一第一電磁 該第一中空構件之至少一部份配置並且適於在該第 構件内製造一第一磁場。一第二電磁源沿著該第二 件之至少一部份配置並且適於在該第二中空構件内 第二磁場。該電漿產生系統也包含一配置在該第一 件之至少一端上的第一電漿形塑設備,以及一配置 二中空構件之至少一端上的第二電漿形塑設備。 在另一個實施例中,本發明提供一含有一主體 形塑設備,該主體包含一内表面,其界定出一對稱 以讓電漿電流從其中流過,其中該開口的剖面具有 寸以影響流動通過該開口之電漿電流的密度分佈。 在另一個實施例中,本發明提供一種基材處理 含有將一第一氣體通入一由位於一製程區域外部之 空構件界定出來的第一電漿電流通道中,施加電力 該中空構件鄰接的第一天線上以感應耦合能量至該 漿電流通道以提供一第一電漿電流並且從該第一氣 一第一電漿。該方法更包含將該第一電漿電流流動 與一基材鄰接之製程區域並且通過該第一中空構件 端以界定出一第一封閉電漿電流通道。該方法更包 製程氣體流動通過一喷嘴至該製程區域内並在使用 氣體電漿之基材鄰近產生該製程氣體的電漿。 統,其 及界定 空構件 源沿著 一中空 中空構 製造一 中空構 在該第 之電漿 的開口 變動尺 方法, 第一中 至一與 第一電 體產生 通過一 的另一 含將一 該第一 200303035 【實施方式】 本發明之態樣在一多重反應室製程系統中具有特別的 優勢,多重反應室製程系統也被稱為群集設備(cluster tool),其在半導體業中常被使用。此外,本發明之態樣是 為了並且也非常適於支持在此所述的環形基材電漿處理反 應室。一群集設備係一含有多個可執行不同功能之反應室 的組合系統,該等功能包含基材加熱、找尋中心點(centep finding)和定位、回火、沈積、蝕刻、以及諸如此類者。該 等多重反應室係設置在一中樞傳送反應室上,其容置有適 於在該等反應室之間運送基材的機械手臂。該傳送反應室 通常維持在真空狀態並且提供一中間階段以將基材從一個 反應室運送至另一個反應室及/或至一配置在該群集設備 前端的負載反應室中。 第1圖係一半導體製程用之製程系統1〇〇的平面圖。 該製程系統100 —般含有複數個反應室和機械手臂,並且 較佳者配置有製程系統控制器102,其被程式化以執行在該 製程系統1〇〇内實施的多個製程方法。一前端環境1〇4係 如所示般配置而與一對負载反應室106選擇性地交流。配 置在該前端環境104内的晶圓盒載入器1〇8AB能夠線性 的、旋轉的、以及垂直的移動以在該負載反應室ι〇6和設 置在該前端環境104上之複數個基材£ 1〇5之間運送基材。 該等負載反應室106在該前端環境1〇4和一傳送反應 室U0之間提供-第-真空介面。兩個負載反應室1〇6被 200303035 提供以藉由交互與該傳送反應室110以及該前端環境104 交流而增加產量。因此,當一個負載反應室100與該傳送 反應室交流時’第二負載反應室106可以與該前端環境104 交流。一機械手臂113被配置在該傳送反應室11 〇中央以 將基材從該負載反應室106傳送至多個製程反應室114或 暫存室116(holding chamber)的其中一個内。該等製程反應 室114適用於執行任何數量的製程,例如薄膜沈積、回火、 钱刻,而暫存室1 1 6係適用於例如定位和冷卻等製程。 第2、3、和4圖分別為上透視圖、上視圖、和側視圖, 說明一製程反應室1 14之一實施例❶通常,該製程反應室u 4 是多邊形的以便容納多邊形的基材。該製程反應室114包 含一主體116’其具有一形成在其内的開口 156並且該開口 的形狀被設計以適於利用該機械手臂11 3 (示於第1圖)的運 作傳送基材進或出該製程反應室114。該開口 156利用一密 封機構被選擇性密封,例如一閘闕或狹縫閥設備(未示出)。 為例示使然’只有一個開口 15 6被示出。但是,在其他實 施例中,兩個或更多開口可以被提供以容許透過其他反應 室壁來進入該反應室。 該製程反應室114更包含一第一外部中空導管124和 第二外部中空導管125,其適於將一製程及/或淨化氣體 保持在其内。該等氣體係分別透過導管進氣口 ηι、123被 引進至該第一和第二中空導管124、125中。該等導管124、 125可以被連結至一個或多個含有例如氬氣、氦氣、氫氣、 氧氣、三氟化氮、以及諸如此類的氣體之外部氣體源(未示 10 200303035 出)。該等導管124、125可以由相對薄的導體形成,例如鋁、 電鍍鋁、不銹鋼、聚合物、陶瓷、以及諸如此類者,其強 度足夠禁得起其内的真空環境。 該第一外部中空導管124和第二外部中空導管125配 置在該製程反應室114之一上蓋118上方並橫越過該上蓋。 該等導管124、125通常互相成正交排列並且其中一個配置 在另一個的上方,其中該第一導管124就上蓋118而言是 比較高的,以容許該第二導管125從該上蓋118和該第— 導管124之間穿過。在一態樣中,該等導管124、125係利 用例如螺絲、螺閂、及諸如此類的緊固件來與該主體1 ^ 6 連結。該第一和第二導管124、125被連結至該製程反應室 114的内部製程腔,其在下面參考第5圖敘述。雖然所示者 為以分離構件的型態在該製程反應室i丨4的外部向上延伸, 該第一和第二導管124、125可以被形成為與該上蓋118整 合〇 第一和第二線圈天線13 7、1 3 8分別被配置為緊鄰該導 管124、125,並且係適於耦合射頻能量至分別在導管124、 125中的製程氣體及/或淨化氣體。該射頻能量激發分別在 導管124、125中的氣體以在其内形成電漿。該等導管 125,該等線圈天線137、138,以及該製程反應室ιΐ4的細 節和運作將會在下面參考第5圖討論。雖然該線圈天線137、 138可以被用來耦合射頻能量至該導管124、125,可以預 期的是該射頻能量也可以利用例如亞鐵鹽(ferrites)的磁通量 濃縮材料被輕合至該導管124' 125中的電漿。 200303035 第5圖係一製程反應室114之一實施例的剖面圖。如 果需要的話,第丨-4圖可以在第5圖的討論中被參考。該製 程反應室I14包含一製程反應室主體116和上蓋118。該製 程反應室主體116和上蓋ns界定出一個位在該製程反應 室114内的内含一製程區域120的腔室。一配置在該上蓋n8 内的喷嘴122界定出該製程區域12〇的上邊界。該喷嘴i22 含有一進氣口 117和複數個分散孔121以容許一種或多種 製程氣體’例如曱石夕烧(SiHO、氧化二氮、氨氣、甲烷、矽 酸乙酯(TEOS)、氧氣、氫氣、氦氣、六氟化鎢、三氟化氮、 氟化碳(CF)、CxHyFz、CxFy、三甲基矽烷(Tms)等,從其中 通過而傳送到該製程區域120中。在一態樣中,該喷嘴in 作用如同一耦合至一喷嘴射頻源119和配送網絡128之陽 極,以電容耦合射頻能量至該製程區域12〇。 該製程反應室114也含有一可動的基材支撐構件13〇, 也稱為晶座’其可以在該製程反應室1 1 4中利用一起重設 備133升南或降低。該基材支撐構件13〇的基材支禮表面131 界定出該製程區域120的下邊界。該基材支撐構件13〇可 以利用阻抗加熱器、燈、或其他常用在電子設備製造領域 的加熱設備來加熱《該基材支撐構件13〇的軸132可動地 配置通過該主體116的底層。在一態樣中,一位於該底層 内並且被配置成圍繞該軸1 32的絕緣〇形環144可以被用 來隔離該支撐構件130並同時提供真空密封。在一態樣中, 一波紋管(bellows) 156被連結至一配置在該主體116上之上 密封環157A,並且也連結至一配置在該軸132周圍的下密 12 200303035 封環157B,藉以提供另一類的真空密封。該基材支撐ι3〇 然後可以透過一配送網絡147連結至一偏壓射頻源ι46。在 操作時’該偏壓射頻源14 6被調整為可以改變吸引至該基 材的離子種類。 在一態樣中’該上蓋118含有一排氣埠142以容許製 程氣體從該製程區域120中排出,其係由一設在外圍的調 節結構143(pleiium structure)所界定出來,該調節結構係附 著於並環繞該上蓋1 1 8的周邊。一絕緣環丨5 5將該設在外 圍的調郎結構143和上蓋118與該喷嘴122電氣絕緣。一 真空幫浦139被連結至該製程反應室114以控制其内的製 程壓力。該真空幫浦1 3 9可以是任何適於達到並維持一預 期壓力的幫浦。可以被有利的使用的幫浦的例子包含渦輪 幫浦(turbopump)、低溫冷凝幫浦(cryo pump)、薄-膜幫浦 (roughing pump)、以及任何其組合物。例示性的,該真空 幫浦139係透過一排氣耦合件140與該製程反應室114交 流。更明確的說,該排氣耦合件140的一端與該真空幫浦139 連接,而另一端則與該調節結構143連接。雖然,在圖示 中氣體從該上蓋118排出的幫浦位置形成一頂部幫浦配置, 但可以預期的是該真空幫浦可以從任何位置連結至該腔 室。例如,該真空幫浦1 39可以透過一底部排氣埠(未示出) 連結至該本體1 1 6的底部而形成一底部幫浦配置。 該第一和第二外部中空導管1 24、125被配置為與形成 在該本體116内之第一開口對170A-B和第二開口對mA-B對齊以將導管124、125與該製程區域120連結。該第一 13 200303035 和第二開口對170A-B、171 A-B通常是軸向排列在該基材支 撐1 30的相反端,並且被設置得使其可以在製程期間界定 出延伸通過該製程區域120以及介於該基材支撐構件13〇 和喷嘴122之間的一電製電流通道。在内部,每一個導管 124、125與該反應室腔室内的其他地方,包含該製程區域 120,享有同樣的排氣環境。在操作期間,導管124、125 從該製程區域1 2 0提供一外部電漿電流流動通道並且分別 透過該第一和第二開口對170A-B、171A-B和延伸通過該製 程區域之内部電漿電流通道連結。因此,導管124、125和 該内部製程區域1 20界定出兩個分離的環狀電漿電流通道, 提供電漿電流進出該製程反應室1丨4。例示性的,該第一導 管124和製程區域120界定出一第一環狀電漿電流通道 160。該第二導管125和製程區域120定義出一第二環狀電 漿電流通道161。儘管使用『環形』一詞,通過導管丨24、 125和該製程區域120的封閉通道的軌跡可以是圓形、非圓 形、方形、矩形、或任何其他規則或不規則的形狀。例示 性的’導管124、125和該環狀電漿電流通道16〇、161通 常在剖面上是矩形,但也可以是任何其他剖面形狀,例如 多邊形、圓形、橢圓形和諸如此類者。 在一態樣中,為確保實質上相等的電漿密度,藉由調 整導管124、125的長度來保持電漿電流通道16〇、161在 大約相同的長度是恰當的。因為該基材,因此該製程反應 至114 ’通常是矩形的,該製程反應室114與其長度相比下 較窄的寬度使得將該第一中空導管124,其橫跨該寬度,安 200303035 置在該第二中空導管125上方是較妥當的。 在另一態樣中,第一和第二中空導管124、125的寬度 通常比該製程反應室〗丨4的寬度窄以促進該激發源能量感 應耦合該導管内的電漿。因此,為了與該第一和第二開口 對170A-B和171A-B配對,該第一和第二中空導管124、125 的寬度從一較窄的上構件124A、125A增加為兩個較寬的下 端124B-C、125B-C,其適於與其個別的開口對n〇A-B、 171A-B配對。例如,該第一中空導管124係與一第一下端 124B-C套合並連結,至該第一進口對η〇Α·Β。該第二中空
導管125係與一第二下端125B-C套合並連結,至該第二進 口對 171A-B 在一態樣中,該第一線圈天線137包含—個或多個名 縱軸上的轉折並且適於從一第一感應射頻源125透過一面 送網絡126耦合能量(例示的射頻能量)至該第一導管u 中。該第-線圈天4 137的縱轴通常被配置為與該第一等 管124的縱轴成正交。該第二線圈天線138包含一個或多 個在縱軸上的轉折並且適於從一第— ^ ^ , •感應射頻源129透站 一選擇性的配送網絡127耦合能 -12, . 例不的射頻能量)至該筹 一導& 125中,以便有更佳的電力利用分言 -1 Q ο - 矛用效率。該第二線圈 天線138的縱軸通常被配置為與 不吞。酷缺祕㈤ 乐一導管125的縱軸成 正交雖…、線圈天線1 3 7、1 3 8通當s姑他 簪124、19SMi 逋韦疋破纏繞為分別沿著導 管124、125延伸之平面橢圓形,可以 ^ 預期的疋線圈天線137、 138可以疋任何適於分別耦合射 124、125中的形狀或長度。 帛一或第二導管 15 200303035 線圈天線137、138分別形成一初級變麼線圈 (tr_f0rmer turn)並且該環狀電漿電流通道i6〇 i6i分別界 定出-次級變壓線圈。例如,該第一線圈天線137形成二 初級變壓線圈,而該第一環形通道16〇内的電聚形成一欠
級變壓線圈。為了避免可導電的中空導管124、125使該等 線圈天線的磁場產生的電場短路(因此消除了在該等導管内 產生電漿的可能性),一絕緣間隙153(只示出一個間隙)延伸 通過中空導管124、125。該等間隙i 53被一由絕緣材料製 成的環154圍繞,例如陶瓷、玻璃、以及諸如此類者,該 絕緣材料適於在提供電隔離的同時維持該等導管124、i25 的真空狀態。此外,該4中空導管124、125可以由非導電 材料形成,例如陶瓷、玻璃、以及諸如此類者,以完全消 除任何電通道而不需要該等間隙1 5 3。
在一態樣中’該第一和第二線圈天線i 3 7、丨3 8被彎折 以使該線圈天線1 3 7、1 3 8内的電流約與分別在該第一和第 二電漿電流通道1 60、161内的電漿電流平行。因此,由每 一個線圈天線1 3 7、1 3 8内的電流所產生的磁場通常與分別 流過該第一和第二電漿電流通道的電流方向正交。 雖然線圈137、138相對於其分別的導管124、125的 軸校準將該線圈天線1 3 7、1 3 8内的電流與他們分別的電漿 電流校準,但線圈天線1 3 7、1 3 8可以被置於任何位置以達 到預期的電漿能量密度。例如,線圈天線13 7、13 8可以被 彎折而使線圈天線1 3 7、1 3 8的軸普遍來說與他們個別的導 管124、125的縱軸垂直。例示性的,第6A和6B圖描繪出 16 200303035 一態樣’其中該第一線圈天線137被彎折以使該第一線圈 天線137的軸普遍來說與其個別的導管124的縱軸垂直。 在另一個態樣中,每一個線圈天線1 3 7、1 3 8的一部份在他 們個別的導管1 24、1 25的相反端被彎折以強化能量耦合。 例如,第6B圖示出該第一線圈天線137在其導管124的相 反端被彎折。
該等線圈天線137、138也可以被彎折成螺旋平面線圈, 而使該等線圈的位置較鄰近該等導管124、125,因此增加 了耦合至該電漿的射頻能量。例如,第7A和7B圖示出另 一個配置,其中該第一線圈天線13 7被彎折成平面螺旋形 狀,並且該第一線圈天線137、138的縱轴普遍來說與他們 個別的導管124、125的縱軸正交。柄合至該電漿的能量也 可以藉由將該導管安置在該等線圈之間來增加,因此該等 線圈天線137、138的一部份會在該導管124、125的相反 側。例如例如,第7B圖示出該第一線圈天線1 3 7在該第一 導管12 4的相反側被彎折為一平面螺旋形狀。
再參考第5圖,在一態樣中,要使該基材表面有均勻 的覆蓋,該環狀電漿電流通道1 6 0、1 6 1通常排列成互相垂 直,因此從該第一電漿電流通道160越過製程區域120的 電漿通常與該第二電漿電流通道161垂直。該等環狀電漿 電流通道16〇、161通常被限制在他們個別的導管124、i25 内,但可以預期的是,形成在該製程區域12〇内基材上方 之共用空間内的電漿能夠容許在該等電漿電流通道160、161 之間的『漏』流。某種程度上這樣的漏電漿有助於在該基 17 200303035 材上方達到均勻的電漿密度 達到均勻沈積和餘刻的程度 通道160至該第二通道ι61 ’但是,其必須被控制在可以 。在一態樣中,要控制該第一 之間的漏電漿量,一第一電漿 形塑設備對150A-B被設置在該第一開口對17〇a b内。該 第電水开y塑δ又備對1 50Α-Β的每一個構件通常被排列為面 向製程區域120另一端的另一個構件。為了控制從該第二 通道161至該第一通道16〇的漏電漿量,一第二電漿形塑
设備對15 1Α-Β被配置在該第二開口對171Α-Β内。該第二 電漿形塑設備對1 5 1 Α-Β的每一個構件通常被排列為面向 製程區域1 20另一端的另一個構件。該等電漿形塑設備對 150Α-Β、151Α-Β的作用也是在確保每一個環狀電漿電流迴 路160、161内的電漿之取道該共用空間範圍内盡可能最短 (阻力最小)的通道的自然傾向不會導致電漿被限制在橫跨該 區域互相垂直的中線之狹窄的『帶』中。例如,若電漿電 流密度沿著該基材中間的部分比較高的話,則沈積或蝕刻 製程的效果在基材中間部分會擴大而影響製程均勻度。
該第一導管 124、該第一開口對 170Α-Β、以及該第一 電漿形塑設備對150Α-Β界定出一第一外部結構149Α,代 表該第一環狀電漿電流通道160的一部份。該第二導管125、 該第二開口對171 Α-Β、以及該第二電漿形塑設備對151Α-Β 界定出一第二外部結構1 49Β,代表該第二環狀電漿電流通 道161的一部份。雖然該第一和第二電漿形塑設備對150Α- Β、151Α-Β係分別配置在該第一和第二開口對170Α-Β、 1 7 1 Α-Β内,可以預期到的是該第一和第二電漿形塑設備對 18 200303035 15 0A-B、151A-B可以被設置在沿著其個別的通道16〇、161 之任何位置上。例如,該第一和第二電漿形塑設備對i 5〇A_ B、151A-B可以設在該等導管124、125的第一和第二下端 124B-C、125B_C處,或可以是一適於將該等下端124β_€、 125B-C連結至鄰近於該等開口對170A-B、171A-B的主體 11 6部分之連結構件。 該等電漿形塑設備對150A-B、151A-b的每一個構件都 有一開口,其形狀決定了該等設備對150A-B、151A-B兩端 的空間内的電漿分佈。由感應電場所製造出的電聚電流, 該感應電場在每一個環狀電漿電流通道160、161内創造並 維持電漿,被該開口的較小部分所壓縮以改變製程區域1 2〇 内的電漿分佈。在一態樣中,該等電漿形塑設備對15〇A-B、 151A-B係由約一英吋至約1/4”英吋厚的材料形成以提供一 電漿壓縮而瞬間增加電漿電流密度。通常,該等電聚形塑 設備對150A-B、151A-B係由例如叙、不銹鋼、電鑛銘等金 屬料所形成。 在一態樣中,該等電漿形塑設備對15〇a_b、. 151Α·Β係 可以在兩個製程之間及/或製程期間改變以在該製程區域 120範圍内製造出不同的電衆電流型態。例如,第8圖示出 該第一電漿形塑設備對150Α-Β的構件15〇Α的實施例,其 具有一較大的中央刻面區域166Α和兩個較小的外侧區域 167Α。該内表面163Α的作用為藉由對電漿中的電聚電流動 創造出一分佈阻力來界定出該製程區域12〇内的預期電装 電流分佈。例如可以在該開口的中央166Α處使用較高的電 19 200303035 漿電流密度,以透過該電漿形塑設備對150α·β來增加沿著 平行於電漿電流之基材的中央區域的沈積效果。 第9圖示出該第一電漿形塑設備對15〇Α_Β的構件ΐ5〇Α 的另一實施例,其中一内表面163Β界定出一較窄的中央部 分1 66Β和兩個通常彼此相對且位在該中央部分1 66β的兩 側之較大的外側部分1 67Β。當該電漿電流通過該開口時, 中央部分166Β的壓縮迫使較多的電漿電流通過該開口較寬 的部分167Β,因此在製程區域12〇内降低了沿著該電衆電 流中央部分的電漿密度。在基材處理時,降低沿著該電漿 電流中央部分的電漿密度可以降低沿著該基材中央部分的 沈積或银刻速率。 可以預期的是該内表面163 Α-Β可以建立任何型態的開 口以將電漿電流塑造成任何預期的密度分佈。例如,第工〇 圖示出外側部分167A-B和中央部分i66A_b可以界定出兩 個或更多個開口 166C,其在製程區域的邊緣和中央壓縮電 漿電流。在另一個實施例中,關於淨化,該等電漿形塑設 備對1 50A-B、1 5 1 A-B可以完全移除。此外,該等電漿形塑 設備對150A-B、151A-B可以被調整成具有一較窄或較大的 開口以在同樣的反應室中分別容置較小的或較大的基材, 或控制該製程區域1 2 0内的總離子密度分佈量。 在一實施例中,該電漿電流可以被磁性塑造。第11圖 係一含有四個磁性電漿形塑設備18〇a_d的製程反應室n4 之〆上視圖。在一實施例中,四個磁性電漿形塑設備1 8〇A_ D的每一個係安置在鄰接該反應室114之較寬的下端124B- 20 200303035 C、125B-C之一的上方和下方並橫跨其長度。該四個磁性 電漿形塑設備180A-D適於分別在中空導管124、125内下 端124B-C、125B-C處提供一磁場,以形成一磁性開口以塑 造在其内的電漿電流。
該磁性電漿形塑設備1 80A-D包含複數個磁性元件 1 84,例如電磁鐵、永久磁鐵、以及諸如此類者,配置在該 第一和第二·下端UIB-C、125B-C的上方及/或下方。該等 磁性元件適於提供一預期磁場剖面,其轉而在該下端1 24B-C、125B-C内界定出一電聚電流剖面以控制通過每一個通 道1 60-1 6 1和製程區域1 20的電漿電流。例如,藉由利用複 數個磁場強度不同的磁性元件1 84及/或藉由沿著該等下 端124B-C、125BC的寬度改變該等磁性元件184的位置及 /或與在其内的電漿電流的鄰近程度,複數個電漿電流剖 面可以被形成。在一態樣中,該等磁性元件18 4含有一個 或多個連結至一直流電源或來源(未示出)的電磁線圈,以設 定其内的電磁場程度。可以預期的是每一個電磁線圈内的 電流強度可以被調整以改變磁場強度進而根據製程的不同
或在特定的製程期間調整及/或界定出一預期的電聚電流 剖面。 在一態樣中,該等磁性元件1 84的磁極被設為平行的 以相對於該電漿界定出一通用磁場極化,因此最小化汽漏 至該等中空導管124、125壁的電漿。例如,每一個磁性元 件184的南極被設為垂直於並面向該電漿。 可以預期的是該等磁極可以被設在任何預期的位置咬 21 200303035 配置以得到預期的磁場剖面。例如,第UA-Β圖到第21Α· Β圖示出一第一磁性電漿形塑設備1 8〇Α的多種配置的剖面 上視圖及侧視圖,其利用包含電磁線圈及/或永久磁鐵的 磁性元件1 84。只有一個磁性電漿形塑設備1 80Α被示出的 同時,第12A-B圖到第21A-B圖只示出四個磁性電漿形塑 設備180A_D之每一個的複數個配置的一小部分。 第12A-B圖示出該第一磁性電漿形塑設備18〇a之一實 施例。複數個尺寸各異的電磁線圈201A-G在該第一不端 124B的上方、下方、以及沿著其寬度設置,並且他們的縱 軸被調整為通常與該第一電漿電流通道16〇成正交。在一 態樣中,複數個第一電磁線圈201A-F被配置在該第一下端 124B的上方。該等第一電磁線圈2〇1 a-F的磁極與設置於 該第一下端124B下方的複數個第二電磁線圈201G校準、 鄰接、和並列。要形成一相反的磁場,該等第一電磁線圈 201A-F之該等磁極通常與該第二電磁線圈2〇ig的磁.極校 準並且型式與其相同。此外,鄰接的不連續線圈之磁北極 和南極是鄰接的。例如,電磁線圈201A的磁北極係面向並 且鄰接於電磁線圈2 0 1B的磁南極。例示性的,該等第一電 磁線圈201A-F提供一鄰接於該環狀通道16〇的上磁場 188A。該第二電磁線圈201G提供一鄰接於該環狀通道16〇 並且在該上磁場188A下方的的下磁場188B。該等上和下 磁場188A、188B界定出一鄰接於該下端124B的磁開口 189A。該磁開口 189A係配置在該電漿電流通道内並且約與 其成正交。 22 200303035 第13A-B圖示出該第一磁性電漿形塑設備i8〇A的另一 個配置。複數個第一電磁線圈2〇2A在該第一下端i24B的 上方以及下方並且沿著其寬度設置。該第一電磁線圈202A 的縱轴被調整為通常與該第一電漿電流通道16〇成正交。 在一態樣中,複數個第一電磁線圈2〇2A被配置在該第一下 端124B的上方。該第一電磁線圈2〇2A的磁極與設置於該 第一下端124B下方的複數個第二電磁線圈2〇2G校準、鄰 接、和並列。要形成一相反的磁場,該第一電磁線圈2〇2八 之該等磁極通常與該第二電磁線圈2〇2G的磁極校準並且型 式與其相同(例如南極被校準)。此外,鄰接的不連續線圈之 磁北極和南極是相對的。例如,一第一不連續電磁線圈2〇2a, 的磁北極係面向並鄰接於一鄰接的第二電磁線圈2〇2A”的磁 南極。例示性的,該第一電磁線圈2〇2A提供一鄰接於該環 狀通道1 60的上磁場1 88c。該第二電磁線圈202H提供一 鄰接於該環狀通道160並且在該上磁場188C下方的的下磁 場18 8D。該等上和下磁場i88C、i88D界定出一鄰接於該 下端124B的磁開口 ι89Β ,其通常係配置在該電漿電流通 道内並且約與其成正交。 第14A-B圖示出該第一磁性電漿形塑設備ι8〇Α的另一 個配置。複數個長度各異的第一和第二電磁線圈204A_f沿 著該第一下端124B的寬度以及其上方和下方設置,並且他 們的縱軸被調整為通常與該第一電漿電流通道160成正交》 在一態樣中,複數個第一電磁線圈204A-E被配置在該第一 下端124B的上方。該等第一電磁線圈2〇4A-e的磁極被調 23 200303035 整為與設置於該第一下端124B下方的複數個第二電磁線圈 204F鄰接且並列。要形成一相反的磁場,該等第一電磁線 圈204A-E之該等磁極與該第二電磁線圈2〇4F的磁極校準。 此外,鄰接的不連續線圈之磁北極和南極被校準。例如, 一第一不連續電磁線圈204A的磁北極係與一鄰接的第二電
磁線琴204B的磁北極校準。例示性的,該等第一電磁線圈 204八-£&供一鄰接於該環狀通道160的上磁場iggE。該第 二電磁線圈202F提供一鄰接於該環狀通道16〇並且在該上 磁場188E下方的的下磁場188F。該等上和下磁場188e、i88f 界定出一鄰接於該下端124B並且通常與該電漿電流通道 160成正交的磁開口 1 89C。 第15A-B圖示出該第一磁性電漿形塑設備18〇A的另一 個實施例。複數個第一和第二電磁線圈2〇6A_b在該第一下 端124B的上方、下方、以及沿著其寬度設置,並且他們的 縱轴被調整為通常與該第一電漿電流通道160成正交。在 一態樣中,複數個第一電磁線圈2〇6A被配置在該第一下端 124B的上方。該第一電磁線圈2〇6A的磁極與設置於該第 下鈿124B下方的複數個第二電磁線圈206B校準。要形
成 相反的磁場,豐/gf- 句 需將該第一電磁線圈206A之該等磁極與 該第二電磁線圈 上 園2〇6B的磁極校準(例如,該第一線圈的南 極與該第二線圍&土 k λ 圈的南極相對)。此外,鄰接的不連續線圈之 磁北極和南極被姑i 校旱°例如,一第一不連續電磁線圈2〇6A, 的磁北極係與— 例示性的,該第 鄰接的第二電磁線圈206Α”的磁北極校準。 一電磁線圈206Α提供一鄰接於該環狀通道 24 200303035 160的上磁場188G。該第二電磁線圈2〇6H提供一鄰接於該 衣狀通道160並且在該上磁場188g下方的的下磁場188H。 該等上和下磁場188G、188H界定出一鄰接於該下端i24B 並且通常與該電漿電流通道160成正交的磁開口 189d。 第16A-B圖示出該第一磁性電漿形塑設備18〇A的另一 個配置。複數個第一和第二電磁線圈2〇8A_f在該第一下端 124B的上方、下方、以及沿著其寬度設置,並且他們的縱 轴被調整為通常與該第一電漿電流通道16〇成正交。在一 態樣中,複數個第一電磁線圈208A-E被配置在該第一下端 124B的上方並且使他們的磁極調整為與設置於該第一下端 124B下方的複數個第二電磁線圈2〇8f鄰接且並列。要形成 一相反的磁場,需將該等第一電磁線圈2〇8A_E之該等磁極 與該第二電磁線圈208F的磁極校準。此外,鄰接的不連續 線圈之磁北極和南極被校準。例如,一第一不連續電磁線 圈208A的磁北極係與一鄰接的第二電磁線圈2〇8B的磁南 極校準。例示性的’該第一電磁線圈2〇8A_e提供一鄰接於 該環狀通道160的上磁場1881。該第二電磁線圈208F提供 一鄰接於該環狀通道160並且在該上磁場1881下方的下磁 場188J。該等上和下磁場188I、i88J界定出一鄰接於該下 端124B並且通常與該電漿電流通道16〇成正交的磁開口 189E 〇 第17A-B圖示出該第一磁性電漿形塑設備i8〇a的另一 個配置。複數個第一和第二電磁線圈2丨〇A-D沿著該第一下 端124B的寬度設置,並且他們的縱軸被調整為通常與該第 25 200303035 一電聚電流通道160成正交。在一態樣中,複數個配置在 該第一下端124B的上方之第一電磁線圈21〇心B的磁極被 校準並與設置於該第一下端124B下方的複數個第二電磁線 圈210C-D鄰接且並列。要形成一相反的礤場,需將該等第 一電磁線圈210A-B之該等磁極與該鄰接的第二電磁線圈 210C-D的磁極校準。此外,鄰接的不連續線圈21〇α·β以 及2 1 0C-D之磁北極和南極是相對的。例如,一第一不連續 電磁線圈210Α’的磁北極係與一鄰接的第二電磁線圈2ι〇β, 的磁南極校準。另外,鄰接的第一和第二電磁線圈2i〇a_d 的磁北極和南極疋相對的。例如,該第一不連續電磁線圈 210A的磁南極係與一鄰接的第二電磁線圈2i〇c的南極相 對。例示性的,該第一電磁線圈21〇A提供一鄰接於該環狀 通道160的上磁場188K。該複數個第二電磁線圈2i〇Cj 提供一鄰接於該環狀通道16〇並且在該上磁場188K下方的 的下磁% 188L。該等上和下磁場188K、188L界定出一鄰 接於該下端124B並且通常與該電漿電流通道16〇成正交的 磁開口 189F。 第18A-B圖示出該第一磁性電漿形塑設備18〇八的另一 個配置。在一態樣中,複數個第一和第二電磁線圈212A-B 在該第-下端124B的上方、T方、以及沿著其寬度設置, 並且他們的縱軸被調整為通常與該第一電漿電流通道16〇 成正交。要形成一相反的磁場,需將位於該第一下端ΐ24β 上方的複數個第一電磁線圈212A的磁極調整為與位於該第 下鳊124B的下方之複數個第二電磁線圈212B的磁極鄰 26 200303035 接並列例如,該第一電磁線圈2 1 2 A的北極係與該第二 第電磁線圈212B的北極校準。此外,鄰接的不連續線圈 之磁北極和南極被校準。例如,一第一不連續電磁線圈2丨2 A, 的磁南極係與一鄰接的第二電磁線圈212A,,的磁南極校準。 例τΓ ϋ的,該第_電磁線圈2 i 2 a提供—鄰接於該環狀通道 160的上磁場188p。該第二電磁線圈2i2B提供一鄰接於該 衣狀通道160並且在該上磁場188p下方的下磁場 該等上和下磁場188P、188Q界定出一鄰接於該下端12化 並且通常與該電漿電流通道16〇成正交的磁開口 i89G。 第19A-B圖示出該第一磁性電漿形塑設備i8〇A的另一 個配置。具有長度各異線圈的第一和第二電磁線圈214A-B 沿著該第一下端124B的寬度設置並且他們的縱軸被調整為 通常與該第-電漿電流通道160成正交。在一態樣中,·要 形成一相反的磁場,該第一電磁線圈214a被配置在該第一 下縞 4B的上方,並使其磁極與配置於該第一下端124b 的下方之5亥第二電磁線圈214b的磁極校準。該第一電磁線 圈214A的磁極通常與該第二電磁線圈21 的磁極校準。 此外該第一和第二電磁線圈2 14A-B面對彼此的磁極是相 同的例如,該第一電磁線圈2 14 A的磁北極係與該第二電 磁線圈2 1 4B的磁北極相對。例示性的,該第一電磁線圈2丨4 a 提供一鄰接於該環狀通道16〇的上磁場188R。該第二電磁 線圈214B提供一鄰接於該環狀通道16〇並且在該上磁場 18 8R下方的下磁場188S。該等上和下磁場188尺、界 疋出鄰接於該下端124B並且通常與該電漿電流通道16〇 27 200303035 成正交的磁開口 1 89H。在另一個態樣中,該第一和第二線 圈可以包含複數個長度各異的線圈,其配置在彼此上方並 使他們的縱軸被校準。例如,該第一電磁線圈2丨4 A可能含 有六個長度各異的線圈,其中每一個都是分離的線圈,而 六個中每一個的縱軸皆被校準。 第20A-B圖示出該第一磁性電漿形塑設備i8〇a的另一 個配置。複數個上和下永久磁鐵216A_b在該第一下端124B 的上方、下方、以及沿著其寬度設置,並且他們的縱軸被 調整為通常與該第一電漿電流通道160成正交。在一態樣 中’複數個配置在該第一下端124B上方之第一永久磁鐵 216A的磁極被校準並與設置於該第一下端ι24Β下方的複 數個第二永久磁鐵216B鄰接且並列。要形成一相反的磁場, 需將該等第一永久磁鐵216A之該等磁極與該第二久久磁鐵 216B之相同磁極校準。例如,該第一永久磁鐵2i6A的北 極係與該第二永久磁鐵2 1 6B的北極相對。此外,鄰接的不 連續永久磁鐵之磁北極和南極被校準,可是是相對的。例 如,一第一不連續永久磁鐵216A,的磁北極係與一鄰接的第 二不連續永久磁鐵216A”的磁南極校準。例示性的,該複數 個第一永久磁鐵216A提供一鄰接於該環狀通道16〇的上磁 場188τ。該複數個第二永久磁鐵216B提供一鄰接於該環 狀通道160並且鄰接於該上磁場188T的下磁場i8su。該 等上和下磁場188T、188U界定出一鄰接於該下端i24B並 且通常與該電漿電流通道160成正交的磁開口 1891。 第21A-B圖示出該第一磁性電漿形塑設備i8〇a的另一 28 200303035
個配置。複數個尺寸各異之第一和第二永久磁鐵218A-E在 該第下端124B的上方、下方、以及沿著其寬度設置,並 且他們的縱轴被調整為通常與該第一電漿電流通道1 60成 正交在一態樣中,複數個配置在該第一下端124B上方之 第永久磁鐵218A-D的磁極被校準並與設置於該第一下端 124B下方的複數個第二永久磁鐵218e鄰接且並列。要形 成相反的磁場,需將該等第一永久磁鐵21 8A-D之該等磁 極與該第二久久磁鐵2 1 8 E之相同磁極校準。例如,該第一 永久磁鐵21 8A-D的北極係與該第二永久磁鐵21 8E的北極 相對此外’鄰接的不連續永久磁鐵之磁北極和南極被校 準°例如’一第一不連續永久磁鐵2 1 8 A的磁北極係與一鄰 接的第二不連續永久磁鐵2 1 8B的磁北極校準。例示性的, 該複數個第一永久磁鐵2丨8 A-D提供一鄰接於該環狀通道 160的上磁場188v。該複數個第二永久磁鐵218E提供一鄰 接於該環狀通道160並且鄰接於該上磁場188V的下磁場 188W。該等上和下磁場188V、i88W界定出一鄰接於該下 端124B並且通常與該電漿電流通道160成正交的磁開口 189J 〇 第12A-B圖至第21A-B圖只示出複數個磁性元件184 之配置的一小部分。例如,在一態樣中該磁性元件18 4可 以是電磁鐵和永久磁鐵兩者的組合。在另一個態樣中,該 電磁元件184可以形成為一單一的可交換設備。在又另一 個態樣中,該電磁元件1 8 4相對於該電漿的距離可以被調 整以增加或降低磁場強度。在另一個態樣中,複數個永久 29 200303035 磁鐵可以被形成為一個單一磁鐵。雖然在一態樣中該磁性 電襞形塑設備18〇A-D可以單獨使用,可以預期的是一個或 多個該磁性電漿形塑設備180A-D可以與該電漿形塑對 150A-B、15 1A-B組合使用以界定出一預期的電漿電流剖 面。 操作 基材處理期間,氣體分別透過進氣口 111和123通入 該中空導管124、125内。各自的激發源125和126在該線 圈天線137、138内產生電流以轉合電磁能量至每一個導管 124、125内的氣體,因此在其内產生電漿。一分離的起動 電路(圖示中未示出)也可以被使用以促進電漿點火。電漿電 流和電漿接著透過每一個環狀電漿電流通道160-161和個別 的電漿形塑設備對150A-B和151A-B及/或磁性電漿形塑 設備1 8 0 A - D循環以控制製程區域1 2 0内的電流流動和電漿 密度。應用至該線圈天線丨37、138的電量也決定了耦合至 基材和噴嘴122之間的電漿的電量。 在一沈積製程期間,通常一不含矽的氣體,例如氮氣、 氫氣、氧氣、氧化氮、氨氣、任何Μ族惰性氣體,包含氬 氣和氦氣,或諸如此類者透過進氣口 111、123被流過每一 個環狀電漿電流通道16〇-161。隨後或同時,一含矽氣體, 例如三曱基石夕燒、石夕燒、石夕酸乙酯、或諸如此類者從一進 氣口 117流入該喷嘴122,然後通過該喷嘴氣體分散孔121。 一些不含矽氣體也可以與該含矽氣體混合然後流過該喷嘴 122。從該喷嘴122進入的氣體或氣體混合物變成製程氣體 200303035 並且構成該環狀電漿迴路16〇、161的置於該基材支撐構件 130上之基材上方的部分以在該基材表面上沈積一沈積層。 因為該電聚係誘導形成並且形成在該喷嘴122的外部,用 來分解製程氣體的電量並不會施加在該喷嘴122以及,更 重要的’該基材上,其係位於該支撐構件130上。因此, 可以在該喷嘴122和基材之間得到較高密度的電漿而不會 直接將基材暴露在較高能量的離子轟擊下。在對離子損害 敏感的薄膜沈積應用中這是一個重要的考量。
在蝕刻製程期間,通常一非聚合蝕刻氣體,例如氣氣、 三氯化硼、氯化氫、或諸如此類者或其他氣體,例如氧氣、 :何獲族惰性氣體,包含氬氣和氣氣或諸如此類者經由進 軋口 111、123流過每一個環狀通道16〇_161,而同樣的氣 體或任何其他蝕刻氣體,例如四氟化碳、$氟化碳或諸如 f類者透過進氣口 117通人該喷嘴組合122中,然後通過 只噴嘴氣體刀散孔121。該餘刻氣體在該電聚内分解以在該 =嘴122和-置於該基材支撐構件13()上的基材之間產生 一蝕刻物種。因為該電漿係誘導形成並且形成在該喷嘴122 的卜°卩用來分解製程氣體的電量並不會施加在該喷嘴i 22 乂及^重要的,該基材丨,其係位於該支撐構件i3〇上。 因此,可以在該㈣122和基材之間得到較高密度的電裝 而:會直接將基材暴露在較高能量的離子A擊下。在對離 子損害敏感的薄⑭刻應用中這是—個重要的考量。 在一淨化操作期間,一淨化氣體,例如三敦化氮從該 ' 7通入該喷嘴122,然後通過該噴嘴氣體分散孔 31 200303035 121。該淨化氣體或另外的氣體,例如氫氣、任何观族惰性 氣體 氬氧和氣氣、或諸如此類者也可以透過進氣口 111、123通入每一個環狀電漿電流通道160、161中。該淨 化氣體在該電漿中分解以在該製程區域12〇中產生一淨化 物種。因為產生該淨化物種的電力係應用在該噴嘴122以 及基材支撐構件i 3〇的外部,這些部分不會受到淨化物種 之離子轟擊的損傷,否則若該噴嘴122和基材支撐構件13〇 係直接被供電來產生該淨化電漿,他們就會暴露在該損害 中。此外,若該淨化氣體,例如三氟化氮係透過該喷嘴1Μ 刀政並且惰性氣體流過該中空導管124、125,該導管表 面矛“喷冑122内部通道的表面就不會暴露在淨化氣體離 子和自由電子的攻擊中’並且該淨化氣體不會因為與沒有 沈積層的表面接觸而產生不必要#『消耗』或中和。 在另個實施例中,一些製程可以直接經由該喷嘴或 藉由增加射頻偏壓至該基材支撐構件130來增加更多的射 . 該製程電漿而受益。無論該製程是沈積、蝕刻或 淨化,可以箱 預期的是可以分離的射頻電源供應器和配送網 絡來驅動該哈趣π / 嘴122及/或該基材支撐構件13〇以應用額 外的電力至該製程電漿。 雖然多個含有本發明技術之實施例已在此被示出並說 明’那些孰塑社站, “、、&技藝者可以輕易地想出許多其他不同的落在 本發明圍, 内的實施例。例如,可能只有一種該第一和第 一電漿形塑 濾叹備對150AB、151A-B及/或磁性電漿形塑設 ^0, 18 0 之電漿形塑設備需要被用來達到適當的電漿分 32 200303035
佈。此外,可以使用複數個導管以界定出多個環狀電漿電 流通道’每一個都至少有一個電漿形塑設備。另外,可以 預期的是製程時可能只用到一個電漿電流通道,該電漿形 塑設備對150A-B及/或磁性電漿形塑設備180A-D的其中 一組被用來封住一個電漿電流通道。在另一個態樣中,多 於一個電漿形塑設備對1 50A_B及/或磁性電漿形塑設備 180A-D可以被安置成同軸(in-line)以創造不同的開口型態。 更’該電漿形塑設備150A-B、151A-B及/或磁性電漿形塑 設備1 80A-D可以藉由使整個電漿形塑設備或其某些元件成 為可移動的而可被現場(in-situ)調整以改電製程區域内的電 漿分佈。
在另一個態樣中,可以預期的是每一個射頻源11 5、12 7 的狀態和電力可以被獨立調整以在該製程區域1 20内得到 預期的製程電漿能量密度分佈。藉由選擇該喷嘴射頻源 119、該偏壓射頻源146、以及每一個誘導射頻源115、127 之多種電力和狀態的組合,在較大的矩形基材上之電漿密 度可以被控制以克服不均勻的沈積或蝕刻及/或增加沈積 或14刻速率。 在另一個態樣中,該喷嘴射頻源12 8可以被用來改變 該製程區域内的電漿放電,因此影響沈積或餘刻。例如, 該射頻源128可以增加電力以增加耦合至與該喷嘴122鄰 接的電漿電流通道的電力。 在又另一個態樣中,該射頻源146被用來改變沈積或 钱刻製程,藉由調整離子物種被吸引至該基材表面的量及 33 200303035 /或能量。例如,該射頻源146可以增加電力以增加至該 基材支撐構件13〇的離子物種吸引力。 雖然前述者係指向本發明之較佳實施例,本發明之其 他以及更的實施例可以在不背離其基本範圍下被設計出 來,並且其範圍係由下面的申請專利範圍來界定。 【圖式簡單說明】 因此上述之本發明的特徵、優點和態樣被實現並且可 以詳細地被瞭解的方式,即對本發明更詳細的描述,簡短 地在前面概述過,可以藉由參考在所附的圖示中說明之實 施例來得到。 但是需要注意的是,所附的圖示只說明本發明之一般 實施例,因此不可被用來限制其範圍,因為本發明可允許 其他專效的實施例。 第1圖係一大面積電漿製程設備之平面圖。 第2圖係第1圖之大面積電漿處理設備之一製程反應室的 上透視圖。 第3圖係第丨圖之大面積電漿處理設備之一製程反應室的 上視圖。 第4圖係第丨圖之大面積電漿處理設備之一製程反應室的 侧視圖。 第5圖係第1圖之大面積電漿處理設備之一製程反應室的 側剖面視圖。 第6A和6B圖分別是一種線圈天線配置形式的上視圖和側 34 200303035 視圖。 第7A和7B圖分別是一種線圈天線配置形式的上視圖和側 視圖。 第8圖係一電漿形塑設備之側視圖。 第9圖係一電漿形塑設備之側視圖。 第1 0圖係一電漿形塑設備之側視圖。 第1 1圖係第1圖之大面積電漿處理設備之一包含四個磁性 電漿形塑設備之製程反應室的上視圖。
第1 2A和1 2B圖係第1 1圖之電磁電漿形塑設備之一實施例 的上視圖和側視圖。 第1 3A和1 3B圖係第1 1圖之電磁電漿形塑設備之一實施例 的上視圖和側視圖。 第1 4A和1 4B圖係第1 1圖之磁性電漿形塑設備之一實施例 的上視圖和側視圖。 第1 5A和1 5B圖係第1 1圖之磁性電漿形塑設備之一實施例 的上視圖和側視圖。
第1 6A和1 6B圖係第1 1圖之磁性電漿形塑設備之一實施例 的上視圖和側視圖。 第1 7A和1 7B圖係第1 1圖之磁性電漿形塑設備之一實施例 的上視圖和側視圖。 第1 8A和1 8B圖係第1 1圖之磁性電漿形塑設備之一實施例 的上視圖和側視圖。 第1 9A和1 9B圖係第1 1圖之磁性電漿形塑設備之一實施例 的上視圖和側視圖。 35 200303035 第20A和20B圖係第1 1圖之磁性電漿形塑設備之一實施例 的上視圖和側視圖。 第2 1 A和2 1 B圖係第1 1圖之磁性電漿形塑設備之一實施例 的上視圖和側視圖。 【元件代表符號簡單說明】 100 製程系統 102 製程系統控制器 104 前端環境 105 基材匣 106 負載反應室 108A 晶圓盒載入器 108B 晶圓盒載入器 110 傳送反應室 111 導管進氣口 113 機械手臂 114 製程反應室 116 暫存室 117 進氣口 118 上蓋 119 噴嘴射頻源 121 分散孔 122 喷嘴 123 導管進氣口 124 第一外部中空導管 124A 上構件 124B-C 下端 125 第二外部中空導管 、第一 感應射頻源 125A 上構件 125B -C下端 126 > 127 、 128 網絡 129 第二感應射頻源 130 基材支撐構件 131 基材支撐表面 132 軸 133 起重設備 137 第一線圈天線 138 第二線圈天線 139 真空幫浦 140 排氣耦合件
36 200303035 142 排氣埠 144 Ο形環 147 網絡 149Β 第二外部結構 151Α-Β 第二電漿形塑設 154 絕緣材料環 143 調節結構 146 偏壓射頻源 149Α 第一外部結 150A-B 第一電漿形 備對153 絕緣間隙 155 絕緣環 設備對 156 開口、波紋管 157A 上密封環 157B 下密封環 160 第一環狀電漿電流通道 161 第二環狀電漿電流通道 163A 内表面 163B 内表面 166A 中央剖面區域 166B 中央部分 166C 開口 167 A 外側區域 167B 外側部分 170A-B 第一開口對 171A-B 第二開口對 180A-D磁性電漿形塑設備 184 磁性元件 188A、C、E、G、I、K、P、R、T、V 上磁場 188B、D、F、H、J、L、Q、S、U、W 下磁場 1 8 9 A、B、C、D、E、F、G、H、I、J 磁開口 201 A-G 電磁線圈 ‘圈 電磁線圈 圈 202A 第一電磁線圈 202G 第二電磁销 202A’ 第一不連續電磁線圈202A”第二不連續 204A-E第一電磁線圈 204F 第二電磁练 206A 第一電磁線圈 206B 第二電磁線ί 37 200303035 206A, 第一不連續電磁線圈206A” 第二不連續電磁線圈 208A-E 第一電磁線圈 208F 第二電磁線圈 210A-B第一電磁線圈 210C-D 第二電磁線圈 212A 第一電磁線圈 212B 第二電磁線圈 212A’ 第一不連續電磁線圈212 A” 第二不連續電磁線圈 214A 第一電磁線圈 214B 第二電磁線圈 216A 第一永久磁鐵 216B 第二永久磁鐵
216A, 第一不連續永久磁鐵216A” 第二不連續永久磁鐵 218A-D 第一永久磁鐵 218E 第二永久磁鐵
38

Claims (1)

  1. 200303035 拾、申請專利範圍 1. 一種基材處理設備,包含: 一含有一底部、一頂部、以及一設置於該底部和該頂 部之間的主體之反應室; 一設置在該反應室周圍並在其内界定出一第一電漿電 流通道之第一電漿源;以及 至少一與該第一電漿電流通道鄰接的電漿形塑設備。
    2. 如申請專利範圍第1項所述之設備,其中上述之第一 電漿源含有一中空構件,且其中該至少一個電漿形塑 設備係設置在該中空構件的一端。 3. 如申請專利範圍第1項所述之設備,其中上述之第一 電漿源含有一對出口,其中每一個出口係與其各自形 成在該主體相反侧之相對應的開口套準。
    4. 如申請專利範圍第3項所述之設備,更包含一具有一 基材容納表面之基材支撐構件,並且其中在該主體之 相反侧的各自開口至少與該基材容納表面一樣寬。 5. 如申請專利範圍第4項所述之設備,更包含一與該頂 部連接並且與該基材容納表面成面對狀態的喷嘴,並 且其中在該主體之相反側的各自開口係設置在該喷嘴 和該基材容納表面之間。 39 200303035 6. 如申請專利範圍第1項所述之設備,更包含一設置在 該反應室周圍並且與至少一部份的該第一電漿源重疊 之第二電漿源,其中該第二電漿源在其内界定出一第 二電漿通道。
    7. 如申請專利範圍第6項所述之設備,其中上述之第一 和第二電漿源每一個都在每一個各自的末端界定出一 出口,並且其中該第一電漿源的出口與形成於該主體 相反側的第一對開口之各自開口套準,且該第二電漿 源的出口與形成於該主體相反側的第二對開口之各自 開口套準。 8. 如申請專利範圍第6項所述之設備,其中上述之第一 和第二電漿源的每一個皆包含:
    一中空構件,其中每一個中空構件在其内界定出該各 自的第一和第二電漿通道之至少一部份。 9. 如申請專利範圍第8項所述之設備,更包含一緊鄰每 一個該等中空構件的線圈,該線圈係可在該等中空構 件内產生一磁場。 10.如申請專利範圍第8項所述之設備,更包含至少一設 置在鄰接於該各自的中空構件之其他電漿形塑設備。 40 200303035 11 ·如申請專利範圍第1 〇項所述之設備,其中上述之每一 個電漿形塑設備係設置在該各自的中空構件之出口 處。 12·如申請專利範圍第1項所述之設備,其中上述之第一 電滎:源包含: 一中空構件,其係可於其内界定出至少一部份的該第 一電漿電流通道; 一與該構件的每一端連結的調節體(plenum),其中每一 個凋節體與形成在該主體内的各自開口套準。 A如申請專利範圍帛12項所述之設備,其中上述之中空 構件在該頂部的約中央部分線性地横越該頂部。 14.如申請專利範圍帛12項所述之設備,其中上述之中空 $件包含至少一適於防止一封閉電子通道形成在該中 二構件上大約長度方向上之短的絕緣構件之橫斷部 15·如申請專利範圍帛12項所述之設備,更包含一設置在 該頂。卩上方並且適於感應耦合能量至界定在至少一部 份的中空構件內^ 之該第一電水電流通道内的第一天 41 200303035 1 6 · 如申請專利笳圊g 乾圍第1 5項所述之設備,其中上述之天線 沿者至^少一*袖、S A v 個通常與該第一電漿電流通道成正交 的軸之周邊捲繞的線圈。 17.如申請專利_ 12項所述之設備,其中上述之至少 . 一個電聚形塑設備係可以用一個或多個電漿形塑設備 加以置換’其中該每一個電漿形塑設備都可界定出一 不同幾何形狀之電漿形狀開口。 · 1 8.如申請專利範圍第丨項所述之設備,其中上述之至少 一電漿形塑設備界定出一與該第一電漿源之一開口套 準之電裝形狀開口,並且其中該電漿形狀開口界定出 至少一第一部分和一第二部分,其中該第一部份的剖 面區域與該第二部分的剖面區域不同。 1 9·如申明專利範圍帛i 8項所述之設備,其中上述之電漿 开y塑λ備包含一長度和寬度,該長度和寬度係比深度 尺寸來得大。 2〇.如申請專利範圍帛18J員所述之設備,#中上述之該開 口的尺寸大約與該第一電漿源之開口的寬度和高度相 同,並且其中該電漿形狀開口界定出至少兩個外側部 · 刀f至y個内側部分,其中該至少兩個外側部分比 42 200303035 21. 22. 23. 该至少一個内側部分小。 如申請專利範圍第]TS & 1項所述之設備,其中上述之至少 一個電漿形塑設備後& 你為一磁性電漿形塑設備,其在該 第電漿通道内提供-磁性電漿形狀開口。 女申"月專範圍帛21項所述之設備,其中上述之該磁 性電聚形塑設備包含至少-個磁性元件。 如申請專利範圍帛20項所述之設備,其中上述之至少 -個磁性元件包含至少一個磁鐵、永久磁鐵、電磁鐵、 以及其組合。 24. 25. 26. 設 如申請專利範圍第21項所述之設備,其中上述之磁性 電漿形塑設備的位置係可相對於電漿的位置來調整。 如申請專利範圍第21項所述之設備,其中上述之該磁 性元件的位置係可相對於電漿的位置來調整。 一種電漿產生系統,至少包含: 一第一中空構件,界定出一第一電漿電流通道; 一第二中空構件,界定出一第二電漿電流通道並且被 £為與該第一中空構件大約成正交; 一第一射頻源,沿著至少一部份的該第一中空構件設 43 置並且適於在該第一中空構件内產生一第 一第二射頻源,沿著至少一部份的該 置並且適於在該第二中空構件内產生一第 一第一電漿形塑設備,設置在該第一中 以及 一第二電漿形塑設備,設置在該第二中 29. 200303035 27·如申請專利範圍第26項所述之系統, 和第二中空構件係由一係選自鋁、電< 陶究、玻璃、以及其組合物的材料製成 28.如申請專利範圍第26項所述之系統, 和第二中空構件的每一個都有一進氣口 如申請專利範圍第26項所述之系統 對電漿形塑設備界定出一第一轴並」 塑設備界定出一實質上與該第一軸成 30.如申請專利範圍第26項所述之系統, 對電滎形塑設備的每一個係成面對面 對電聚形塑設備的每一個係成面對面關 31·如申請專利範圍第26項所述之系統, 和第二對電漿形塑設備界定出一開口 一磁場; 第一中空構件設 二磁場; 空構件的一端; 空構件的一端。 其中上述之第一 渡铭、不銹鋼、 〇 其中上述之第— 〇 其中上述之第一 凌第二對電漿形 交的第二轴β 其中上述之第一 W係並且該第二 係。 其中上述之第一 ’其寬度至少與 44 200303035 位在該等由該電漿形塑設備界定 ®垠的開 域内之將被處理的基材相等。 口之間的區 32. 如申請專利範圍第26項所述之系統, 一基材支撐構件以及一與該基材支 壓射頻源。 更包含: 標構件連結之偏 33. 34. 35. 如申請專利範圍第31項所述之系統,更包含: 一喷嘴以及一與該喷嘴連結的噴嘴射頻源。 φ 如申請專利範圍第26項所述之系統,其中上述之第一 和第二對電漿形塑設備的每一個都界定出一電襞形狀 開口 ,該電漿形狀開口界定出一通過其間的預期的電 漿密度範型。 如申請專利範圍第3 3項所述之系統,其中上述之每一 個電漿形狀開口都界定出至少雨個與彼此幾何形狀不 同之電漿开)塑區· 36. 一種電漿形塑設備,至少包含: 一主體,包含一可界定出一開口以容許電漿從其間 通過的内表面,其中該開口具有尺寸各異的剖面以影 響流過該開口的電漿電流。 45 200303035 37.如申請專利範圍第36項所述之設備,更包含一適於與 一真空反應室表面配對的外部真空反應室配對表面, 以及一適於與一電漿源連結之電漿源耦合面。 38.如申請專利範圍第36項所述之設備,更包含一適於與 一真空反應室的製程區域交流之内面,其界定出該真 空反應室表面。
    39.如申請專利範圍第36項所述之設備,其中上述之主體 係可以用一個或多個其他電漿形塑設備加以置換,其 中每一個電漿形塑設備都具有一幾何形狀剖面不同的 開口0 40.如申請專利範圍第36項所述之設備,包含可在一製程 期間或在連續製程之間容許該開口的形狀被改變之可 動部分,以在該製程區域内製造一預期的電漿分佈。
    4 1 ·如申請專利範圍第3 6項所述之設備,包含至少一個可 界定出該内表面以提供至少一個磁場進而在其内形成 該開口的磁性元件。 42.如申請專利範圍第40項所述之設備,其中上述之至少 一個磁性元件包含電磁鐵、永久磁鐵、以及其之組合。 46 200303035 43. 如申請專利範圍第40項所述之設備,其中上述之開口 係由至少一個磁場所界定出,其中該至少一個磁場被 調整以界定出通常與該電漿電流成正交並且位在其内 之該磁性開口。 44. 如申請專利範圍第4〇項所述之設備,其中上述之至少 一個磁性元件係由一設置為與一第二磁性元件鄰接且 並列的第一磁性元件所界定出來,其中由該第一和第 二磁性元件產生的磁場界定出該至少一個磁性開口。 45. 一種基材處理方法,包含: 將一第一氣體通入一第一電漿電流通道内,其係由 一位在一製程區域外部之第一中空構件界定出來; 應用電力至一鄰接於該第一中空構件之第一天線以 感應耦合能量至該第一氣體中,進而形成一可從該第 一氣體產生一第一電漿的第一電漿電流; 將該第一電漿產生電流流動通過該製程區域並且通 過該第一中空構件的另一端以界定出一第一封閉電漿 電流通道;以及 將一製程氣體流動通過一喷嘴至該製程區域内並且 在一基材鄰近利用該第-氣體之第-電裂形成該製程 氣體電漿。 46. 如申請專利範圍第45項所述之方法 其中上述之第一 47 200303035 氣體包含氮氣、氫氣、氧氣、氧化氮、包含氬氣和氦 氣之任何观族惰性氣體、氨氣、氯氣、三氯化硼、氣 化氫、以及其之組合之至少一種。 47. 如申請專利範圍第45項所述之方法,其中上述之製程 氣體包含一沈積氣體、淨化氣體、蝕刻氣體、以及其 之組合之至少一種。 48. 如申請專利範圍第45項所述之方法,其中上述之製程 氣體包含三甲基矽烷(Trimethylsilane)、矽烷(silane)、 乙矽烧(disilane)、氣化矽烷、矽酸乙酯(TE〇s)、氫氣、 三氟化氮、氬氣、氦氣、以及其之組合。 49. 如申請專利範圍第45項所述之方法,更包含以一鄰接 於該第一中空構件的每一端之第一和第二電聚形塑設 備來形塑該電漿電流。 50. 如申請專利範圍第48項所述之方法,其中上述之將該 第-氣體緊鄰個別電衆形塑設備的每一個流動包含將 該氣體流動通過一由該個別的電漿形塑設備的每一個 界定出來的開口,其中备一 /〇. as 、 八甲母個開口界定出幾何形狀不 51. 如申請專利範圍第49項所述之方法 包含調整該電漿 48 200303035 形塑設備的幾何形狀。 5 2.如申請專利範圍第4 9項所述之方法,包含以一個或多 個具有不同的幾何形狀區域之電漿形塑設備置換一個 或多個電漿形塑設備的步驟。
    53.如申請專利範圍第49項所述之方法,其中上述之開口 係與該外部電漿源的開口套準,並且其中該電漿形狀 開口界定出一第一部分和一第二部分,其中該第二部 分比該第一部份窄。 54.如申請專利範圍第48項所述之方法,更包含將一第二 氣體通入一第二電漿電流通道,其係由一位在該製程 區域外部之第二中空構件所界定出來。
    55.如申請專利範圍第53項所述之方法,更包含應用射頻 電力至一第二天線以感應耦合能量至該第二電漿電流 通道並從該第二氣體產生一第二電漿的步驟。 56.如申請專利範圍第54項所述之方法,其中上述之第一 和第二氣體包含氮氣、氫氣、氧氣、氧化氮、包含氬 氣和氦氣之任何Μ族惰性氣體、氨氣、氣氣、三氣化 硼、氯化氫、以及其之組合之至少一種。 49 200303035 57. 58. 59. 60. 61. 如申請專利範圍第54項所述之方法,其中上述之第— 氣體和第二氣體是一樣的。 如申請專利範圍第54項所述之方法,其中上述之 i製程 氣體包含一沈積氣體、淨化氣體、蝕刻氣體、以及其 之組合之至少一種。 如申請專利範圍第54項所述之方法,其中上述之製程 氣體包含三曱基矽烷(Trimethylsilane)、矽烷(SiHj、 乙矽烷(disilane)、氣化矽烷、矽酸乙酯(丁E〇s)、氫氣、 二氟化氮、氬氣、氦氣、以及其之組合。 如申請專利範圍第54項所述之方法,更包含將第二電 聚電流緊鄰一第三電漿形塑設備流動,其鄰接於該第 二中空構件的一端,並且將一第二電漿電流流動通過 該製程區域並緊鄰一與該第二中空構件的另一端鄰接 之第四電漿形塑設備以界定出一第二封閉電漿電流通 道。 如申請專利範圍第58項所述之方法,其中上述之將第 一氣體和第二氣體緊鄰該個別的電漿形塑設備的每一 個動包含將該氣體流動通過一由該個別的電漿形塑 設備的每一個界定出來的開口,其中每一個開口界定 出幾何形狀不同的區域。 50 200303035 62.如申請專利範圍第59項所述之方法,包含調整該電漿 形塑設傷的幾何形狀。 63 ·如申請專利範圍第5 9項所述之方法,包含以一個或多 個具有不同的幾何形狀區威之電聚形塑設備置換一個 或多個電漿形塑設備的步驟。 64·如申請專利範圍第59項所述之方法,其中上述之開口 係與該外部電漿源的開口套準,並且其中該電漿形狀 開口界定出一第一部分和/第二部分,其中該第二部 分比該第一部份窄。 65·如申請專利範圍第48項所述之方法,其中上述之電漿 形塑設備是一磁性電漿形塑設備。
    66·如申請專利範圍第63項所述之方法,其中上述之電漿 形塑設備在該開口内包含至少一個磁場以在該第一電 漿電流通道内形塑該電漿。 67·如申請專利範圍第64項所述之方法,包含在一製程期 間或連續製程之間改變磁場以形塑該電漿。 68·如申請專利範圍第65項所述之方法,其中上述之電漿 51 200303035 形塑設備包含至少一個磁性元件,並且其中改變該磁 場包含調整該至少一個磁性元件。 69.如申請專利範圍第66項所述之方法,其中上述之調整 該磁性元件包含將該磁性元件置於更靠近或更遠離該 電聚的位置。
    70.如申請專利範圍第66項所述之方法,其中上述之磁性 元件係一連結至一電流源以產生一磁場的電磁鐵,並 且其中調整該磁性元件包含調整該電流源以增強或減 弱該磁場。
    52
TW092102121A 2002-01-30 2003-01-30 Method and apparatus for substrate processing TW200303035A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/066,131 US20030141820A1 (en) 2002-01-30 2002-01-30 Method and apparatus for substrate processing

Publications (1)

Publication Number Publication Date
TW200303035A true TW200303035A (en) 2003-08-16

Family

ID=27610434

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092102121A TW200303035A (en) 2002-01-30 2003-01-30 Method and apparatus for substrate processing

Country Status (3)

Country Link
US (1) US20030141820A1 (zh)
TW (1) TW200303035A (zh)
WO (1) WO2003063947A2 (zh)

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
JP4727170B2 (ja) * 2004-06-23 2011-07-20 東京エレクトロン株式会社 プラズマ処理方法、および後処理方法
NL1026532C2 (nl) * 2004-06-30 2006-01-02 Tno Methode en middelen voor generatie van een plasma bij atmosferische druk.
US7879409B2 (en) 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
JP2006216903A (ja) * 2005-02-07 2006-08-17 Hitachi High-Technologies Corp プラズマ処理装置
JP4159584B2 (ja) * 2006-06-20 2008-10-01 エルピーダメモリ株式会社 半導体装置の製造方法
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7999173B1 (en) 2007-03-21 2011-08-16 The United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration Dust removal from solar cells
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
JP2010538475A (ja) * 2007-08-31 2010-12-09 アプライド マテリアルズ インコーポレイテッド 多サイズの光起電デバイスを形成するための生産ラインモジュール
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8196546B1 (en) 2010-11-19 2012-06-12 Corning Incorporated Semiconductor structure made using improved multiple ion implantation process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
CN103094402B (zh) * 2011-10-28 2016-04-27 上海太阳能工程技术研究中心有限公司 Pecvd法制备双面异质结太阳能电池的团簇式设备和工艺
CN103094403B (zh) * 2011-10-28 2016-06-08 上海太阳能工程技术研究中心有限公司 Pecvd法制备双面异质结太阳能电池的串行式设备和工艺
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8884524B2 (en) * 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016187166A1 (en) * 2015-05-21 2016-11-24 Plasmability, Llc Toroidal plasma processing apparatus with a shaped workpiece holder
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11499229B2 (en) 2018-12-04 2022-11-15 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2120491A5 (zh) * 1971-01-06 1972-08-18 Anvar
DE4214417C1 (en) * 1992-05-06 1993-09-02 Gesellschaft Fuer Schwerionenforschung Mbh, 6100 Darmstadt, De Plasma lens e.g. for focussing charged particle beam - has insulating wall enclosing cylindrical discharge plasma between two opposing electrodes with aligned apertures for passage of particle beam
US5698168A (en) * 1995-11-01 1997-12-16 Chorus Corporation Unibody gas plasma source technology
DE19643865C2 (de) * 1996-10-30 1999-04-08 Schott Glas Plasmaunterstütztes chemisches Abscheidungsverfahren (CVD) mit entfernter Anregung eines Anregungsgases (Remote-Plasma-CVD-Verfahren) zur Beschichtung oder zur Behandlung großflächiger Substrate und Vorrichtung zur Durchführung desselben
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6474258B2 (en) * 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
EP1156511A1 (en) * 2000-05-19 2001-11-21 Applied Materials, Inc. Remote plasma CVD apparatus
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6468388B1 (en) * 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6453842B1 (en) * 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US6471831B2 (en) * 2001-01-09 2002-10-29 Novellus Systems, Inc. Apparatus and method for improving film uniformity in a physical vapor deposition system

Also Published As

Publication number Publication date
WO2003063947A2 (en) 2003-08-07
US20030141820A1 (en) 2003-07-31
WO2003063947A3 (en) 2004-04-08

Similar Documents

Publication Publication Date Title
TW200303035A (en) Method and apparatus for substrate processing
KR101920842B1 (ko) 플라즈마 소스 디자인
TWI704845B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
JP4216243B2 (ja) ヘリカル共振器型のプラズマ処理装置
EP1727186B1 (en) Plasma chamber with discharge inducing bridge
KR200253559Y1 (ko) 회전방향으로 균일한 플라즈마 밀도를 발생시키는유도결합형 플라즈마 발생장치의 안테나구조
US5082542A (en) Distributed-array magnetron-plasma processing module and method
KR100472582B1 (ko) 플라즈마처리장치
KR200478935Y1 (ko) 플라즈마 처리 챔버를 위한 c-형상 한정 링
EP0228865A2 (en) Magnetron-enhanced plasma etching process
US20110114601A1 (en) Plasma source design
US20040168769A1 (en) Plasma processing equipment and plasma processing method
TW200405769A (en) Externally excited torroidal plasma source with magnetic control of ion distribution
US6887341B2 (en) Plasma processing apparatus for spatial control of dissociation and ionization
TW200421946A (en) Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7088047B2 (en) Inductively coupled plasma generator having low aspect ratio
KR100798352B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
TWI715938B (zh) 用於半導體處理腔室的磁感應電漿系統及其使用方法
US6674241B2 (en) Plasma processing apparatus and method of controlling chemistry
KR100862685B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
KR100488363B1 (ko) 회전방향으로 균일한 플라즈마 밀도를 발생시키는유도결합형 플라즈마 발생장치의 안테나구조
JP5665265B2 (ja) チャンバー部品を介してプロセス流体を導入する方法及びシステム
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
US20080236494A1 (en) Plasma processing apparatus
TWI423336B (zh) 半導體元件及其製造方法,以及製造半導體元件之裝置