KR20230127969A - 실리콘 나이트라이드의 선택적 성장 - Google Patents

실리콘 나이트라이드의 선택적 성장 Download PDF

Info

Publication number
KR20230127969A
KR20230127969A KR1020230112200A KR20230112200A KR20230127969A KR 20230127969 A KR20230127969 A KR 20230127969A KR 1020230112200 A KR1020230112200 A KR 1020230112200A KR 20230112200 A KR20230112200 A KR 20230112200A KR 20230127969 A KR20230127969 A KR 20230127969A
Authority
KR
South Korea
Prior art keywords
silicon
gas
substrate
plasma
silicon nitride
Prior art date
Application number
KR1020230112200A
Other languages
English (en)
Inventor
데이비드 찰스 스미스
데니스 엠. 하우스만
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230127969A publication Critical patent/KR20230127969A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

실리콘 옥사이드 표면들에 대하여 실리콘 표면들 상에 실리콘 나이트라이드를 선택적으로 증착하고 실리콘 표면들에 대하여 실리콘 옥사이드 표면들 상에 실리콘 나이트라이드를 선택적으로 증착하는 방법들 및 장치들이 본 명세서에 제공된다. 방법들은 열적 ALD (atomic layer deposition) 를 사용하여 실리콘 옥사이드 표면들 상에 선택적으로 실리콘 나이트라이드를 증착하기 전에 실리콘 표면 상에 유기 모이어티를 형성함으로써 실리콘 표면을 차폐하도록 실리콘 표면과 선택적으로 반응하는 알켄에 기판을 노출하는 단계를 수반한다. 방법들은 열적 ALD를 사용하여 실리콘 표면들 상에 선택적으로 실리콘 나이트라이드를 증착하기 전에 실리콘 옥사이드 표면 상에 유기 모이어티를 형성함으로써 실리콘 옥사이드 표면을 차폐하도록 실리콘 옥사이드 표면과 선택적으로 반응하는 알킬실릴할라이드에 기판을 노출하는 단계를 수반한다.

Description

실리콘 나이트라이드의 선택적 성장{SELECTIVE GROWTH OF SILICON NITRIDE}
반도체 디바이스 제조는 실리콘 나이트라이드 막들의 증착을 수반할 수도 있다. 실리콘 나이트라이드 박막들은 고유한 물리적, 화학적, 및 기계적 특성들을 갖고, 따라서 다양한 애플리케이션들에 사용된다. 예를 들어, 실리콘 나이트라이드 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 캡슐화 층들, 트랜지스터들 내 스트레인드 (strained) 막들, 등에 사용될 수도 있다. 실리콘 나이트라이드 막들을 증착하는 종래의 방법들은 유전체 재료들에 선택적이지 않다.
반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 반도체 기판 상에 실리콘 나이트라이드를 증착하는 방법을 수반하고, 방법은 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면을 갖는 반도체 기판을 제공하는 단계; 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면 중 하나 상에 유기 모이어티를 형성함으로써, 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면 중 다른 하나는 블로킹되지 않은 채로 남는 동안 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면 중 하나를 블로킹하도록 블로킹 시약에 반도체 기판에 노출하는 단계; 및 하나 이상의 열적 ALD (atomic layer deposition) 사이클들 동안, 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면 중 다른 하나 상에 실리콘 나이트라이드를 선택적으로 증착하는 단계로서, 사이클 각각은, 실리콘-함유 전구체를 블로킹되지 않은 표면에 흡착시키도록 블로킹된 표면 및 블로킹되지 않은 표면을 갖는 반도체 기판을 실리콘-함유 전구체에 노출하는 단계; 및 블로킹된 표면에 상대적으로, 블로킹되지 않은 표면 상에 실리콘 나이트라이드를 선택적으로 형성하도록 플라즈마를 점화하지 않고 반도체 기판을 질소-함유 반응물질에 노출하는 단계를 포함하는, 하나 이상의 열적 ALD 사이클들에 의해 실리콘 나이트라이드를 선택적으로 증착하는 단계를 포함한다. 다양한 실시예들에서, 노출된 실리콘 옥사이드 표면은 하이드록실기들을 포함한다.
다양한 실시예들에서, 블로킹 시약은 알켄이다. 예를 들어, 일부 실시예들에서, 알켄은 1-옥타데신 (1-octadecene) 이다.
다양한 실시예들에서, 블로킹 시약은 다음의 화학 구조를 갖는 알킬실릴할라이드이고,
여기서 X는 할로겐이고, n 은 1 내지 20 이하의 정수이다. 예를 들어, 일부 실시예들에서, 알킬실릴할라이드는 옥타데실트리클로로실란이다.
다양한 실시예들에서, 방법은 또한 실리콘 나이트라이드를 선택적으로 증착한 후, 유기 모이어티를 제거하는 단계를 포함한다.
일부 실시예들에서, 유기 모이어티는 헬륨 및 아르곤으로 구성된 그룹으로부터 선택된 불활성 가스에 반도체 기판을 노출하고 약 500 W 내지 약 2000 W의 플라즈마 전력에서 플라즈마를 점화함으로써 제거될 수도 있다. 플라즈마 전력은 300 ㎜ 웨이퍼 표면적 당 약 500 W 내지 약 2000 W일 수도 있다.
일부 실시예들에서, 유기 모이어티는 불소-함유 가스 및 산소-함유 가스의 혼합물에 반도체 기판을 노출하고 약 75 W 내지 약 200 W의 플라즈마 전력에서 플라즈마를 점화함으로써 제거될 수도 있다. 플라즈마 전력은 300 ㎜ 웨이퍼 표면적 당 약 75 W 내지 약 200 W일 수도 있다. 플라즈마는 리모트 플라즈마 생성기 내에서와 같이 리모트로, 또는 직접적으로 (즉 인시츄) 생성될 수도 있다.
일부 실시예들에서, 방법은 또한 반도체 기판을 블로킹 시약에 노출하기 전에, 노출된 실리콘 표면 상에 수소화기들을 형성하도록 반도체 기판을 수소에 노출하는 단계를 포함한다.
다양한 실시예들에서, 실리콘-함유 전구체는 실리콘 할라이드 또는 아미노실란이다. 다양한 실시예들에서, 질소-함유 반응물질은 질소, 암모니아, 하이드라진, 및 이들의 조합 중 임의의 하나이다.
반도체 기판은 약 25 ℃ 내지 약 300 ℃의 온도로 설정된 페데스탈 상에 놓일 수도 있다. 일부 실시예들에서, 반도체 기판은 약 10 mTorr 내지 약 10 Torr의 챔버 압력으로 설정된 챔버 내에 놓일 수도 있다.
다양한 실시예들에서, 열적 ALD 사이클 각각은 또한 반도체 기판을 실리콘-함유 전구체에 노출하는 단계와 반도체 기판을 질소-함유 반응물질에 노출하는 단계 사이에 반도체 기판을 하우징하는 챔버를 퍼지하는 단계를 포함한다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는, 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; 진공으로 커플링하기 위한 적어도 하나의 유출부; 하나 이상의 알켄 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 실리콘-함유 전구체 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 질소-함유 반응물질 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 제어기로서, 적어도 하나의 프로세스 챔버 내에 하우징된 기판의 실리콘 표면들을 블로킹하도록 적어도 하나의 프로세스 챔버로 알켄 가스를 도입하고; 그리고 알켄 가스를 도입한 후, 열적 ALD에 의해 기판의 비-실리콘 표면들 상에 실리콘 나이트라이드를 선택적으로 형성하도록 시간적으로 분리된 펄스들로 실리콘-함유 전구체 가스 및 질소-함유 반응물질 가스를 도입하기 위한 머신-판독가능 인스트럭션들을 포함하는 제어기를 포함하고, 실리콘-함유 전구체 가스의 펄스 및 질소-함유 반응물질 가스의 펄스는 열적 ALD 일 사이클을 구성한다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는, 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; 진공으로 커플링하기 위한 적어도 하나의 유출부; 하나 이상의 알킬실릴할라이드 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 실리콘-함유 전구체 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 질소-함유 반응물질 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 제어기로서, 적어도 하나의 프로세스 챔버 내에 하우징된 기판의 실리콘 옥사이드 표면들을 블로킹하도록 적어도 하나의 프로세스 챔버로 알킬실릴할라이드 가스를 도입하고; 그리고 알킬실릴할라이드 가스를 도입한 후, 열적 ALD에 의해 기판의 비-실리콘 옥사이드 표면들 상에 실리콘 나이트라이드를 선택적으로 형성하도록 시간적으로 분리된 펄스들로 실리콘-함유 전구체 가스 및 질소-함유 반응물질 가스를 도입하기 위한 머신-판독가능 인스트럭션들을 포함하는 제어기를 포함하고, 실리콘-함유 전구체 가스의 펄스 및 질소-함유 반응물질 가스의 펄스는 열적 ALD 일 사이클을 구성한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 특정한 개시된 실시예들에 따른 방법을 위한 동작들을 도시하는 프로세스 흐름도이다.
도 2 및 도 3은 특정한 개시된 실시예들에 따라 기술된 동작들을 겪는 기판들의 개략적인 예시들이다.
도 4a 내지 도 4c는 특정한 개시된 실시예들에 따라 기술된 동작들을 겪는 기판들의 개략적인 예시들이다.
도 5a 내지 도 5c는 특정한 개시된 실시예들에 따라 기술된 동작들을 겪는 기판들의 개략적인 예시들이다.
도 6은 특정한 개시된 실시예들에 따른 방법의 사이클들의 예를 도시하는 타이밍 시퀀스도이다.
도 7은 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 8은 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 전체적인 이해를 제공하도록 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 제조 프로세스들은 종종 실리콘 나이트라이드 재료의 증착을 수반한다. 일 예에서, 실리콘 나이트라이드는 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 및 캡슐화 층들로서 반도체 디바이스 제조에 사용될 수도 있다. 컨포멀한 실리콘 나이트라이드 층들은 또한 다른 애플리케이션들에 사용될 수도 있다. 예를 들어, 실리콘 나이트라이드는 메모리 구조체들의 제조 동안 사용될 수도 있다.
실리콘 나이트라이드 층들을 증착하기 위한 종래의 ALD (atomic layer deposition), PEALD (plasma-enhanced atomic layer deposition), CVD (chemical vapor deposition), 및 PECVD (plasma-enhanced chemical vapor deposition) 기법들은 일반적으로 유전체 재료들에 선택적이지 않다. 일부 기법들이 금속에 상대적으로 옥사이드 재료들 상에 금속 옥사이드를 선택적으로 증착하고, 그리고 옥사이드에 상대적으로 금속 재료들 상에 금속 옥사이드를 선택적으로 증착하기 위해 존재하지만, 이러한 기법들은 다른 유전체 재료에 상대적으로 유전체 재료를 선택적으로 증착하기 불충분하다.
실리콘 옥사이드에 상대적으로 실리콘 표면 상에 실리콘 나이트라이드를 선택적으로 증착하기 위한 방법들 및 장치들 그리고 실리콘에 상대적으로 실리콘 옥사이드 표면 상에 실리콘 나이트라이드를 선택적으로 증착하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 본 명세서에 기술된 기법들은 블로킹되지 않은 표면 상에 실리콘 나이트라이드를 선택적으로 증착하도록 다른 표면은 블로킹되지 않은 채로 남는 동안 일 표면을 블로킹하기 위해 실리콘 옥사이드 표면 및 실리콘 표면들의 상대적인 반응성들을 사용하는 것을 수반한다. 기판은 블로킹될 표면 상에 유기기 또는 모이어티를 형성함으로써 실리콘 표면 또는 실리콘 옥사이드 표면을 블로킹하도록 선택된 유기 블로킹 시약에 노출되고, 실리콘 옥사이드 표면 또는 실리콘 표면, 각각을 블로킹되지 않은 채로 남긴다. 실리콘 나이트라이드의 증착은 증착이 플라즈마를 사용하지 않고 수행되도록 열적 ALD를 사용하여 수행된다. 많은 실시예들에서, 플라즈마를 점화하는 것은 유기기 또는 모이어티를 제거할 것이고, 따라서 블로킹된 표면으로 하여금 실리콘 나이트라이드 증착에 민감하게 한다. 따라서, ALD는 플라즈마를 점화하지 않고 수행된다.
ALD는 순차적인 자기 제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면으로 적어도 하나의 반응물질을 전달하고 흡착시키고, 이어서 부분적인 막층을 형성하도록 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키는 동작들을 포함한다. 예로서, 실리콘 옥사이드 증착 사이클은 다음의 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘 전구체의 퍼지, (iii) 산소-함유 반응물질 또는 산소-함유 가스의 전달, 및 (iv) 챔버로부터 산소-함유 반응물질의 퍼지를 포함할 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하기 위해 표면 매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면은, 기판을 하우징하는 챔버에 제공된 도즈 내의 실리콘 함유 전구체와 같은 제 1 전구체의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 기판 표면 상에 흡착되고, 제 1 전구체의 화학흡착 종 및/또는 물리흡착 분자들을 포함한다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것을 이해해야 한다. 예를 들어, 실리콘 함유 전구체의 흡착된 층은 실리콘 함유 전구체뿐만 아니라 실리콘 함유 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후에, 이어서 챔버는 주로 또는 흡착된 종만 남도록 가스 상으로 남아 있는 제 1 전구체의 대부분 또는 모두를 제거하도록 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 챔버는 가스 상의 제 1 전구체의 분압이 반응을 완화시키게 충분히 낮도록 배기될 수도 있다. 제 2 반응물질, 예컨대 질소-함유 반응물질이 챔버로 도입되어 제 2 반응물질의 분자들의 일부가 표면 상에 흡착된 제 1 전구체와 반응한다. 일부 프로세스들에서, 제 2 반응물질은 흡착된 제 1 전구체와 즉시 반응한다. 이어서 챔버는 결합되지 않은 제 2 반응물질 분자들을 제거하기 위해 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 부가적인 ALD 사이클들은 막 두께를 구축하기 위해 사용될 수도 있다.
특정한 실시예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 고르게 포화시키기 위해 전구체가 기판과 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이때 턴오프되거나 방향이 바뀌고, 퍼지 가스만 흐른다. 이 반-포화 (sub-saturation) 레짐에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간씩 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 전체가 본 명세서에 참조로서 인용된, 2013년 10월 23일 출원된 명칭이 "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 14/061,587 호 (현재 미국 특허 제 9,355,839 호) 에 제공된다.
기술된 바와 같이, 일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은 CFD (conformal film deposition) 방법들일 수도 있고, 이는 각각 전체가 본 명세서에 참조로서 인용된, 명칭이 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 대체적으로 기술된다.
도 1은 특정한 개시된 실시예들에 따라 수행된 방법의 예시적인 동작들을 도시하는 프로세스 흐름도를 제공한다. 동작 102에서, 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면을 갖는 기판이 프로세스 챔버로 제공된다. 프로세스 챔버는 약 10 mTorr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 3 Torr의 챔버 압력으로 설정될 수도 있다. 이러한 챔버 압력들은 본 명세서에 기술된 바와 같이 동작들 102 내지 114 내내 사용될 수도 있다. 기판은 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 기판 온도로 가열될 수도 있다. 본 명세서에 사용된 바와 같은 기판 온도는 기판을 홀딩하는 페데스탈이 설정된 온도를 지칭하고, 일부 실시예들에서, 프로세스 챔버에 제공될 때 페데스탈 상의 기판은 기판을 프로세싱하기 전에 목표된 기판 온도로 가열될 수도 있다는 것이 이해될 것이다. 기판 온도는 본 명세서에 기술된 바와 같이 동작들 102 내지 114 내내 동일할 수도 있다.
기판은, 실리콘 웨이퍼, 예를 들어, 재료의 하나 이상의 층들, 예컨대 유전체, 도전성 또는 반도전성 재료가 상부에 증착된 웨이퍼들을 포함하여 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 하부 층들의 비제한적인 예들은 유전체층들 및 도전층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 일부 실시예들에서, 기판은 도 2에 도시된 바와 같이, 실리콘 옥사이드 및 실리콘을 포함한다.
도 2는 노출된 실리콘 옥사이드 표면 (203) 및 노출된 실리콘 표면들 (201) 을 갖는 기판 (200) 을 도시한다. 노출된 실리콘 표면들 (201) 은 일부 수소화된 실리콘 원자들을 포함한다. 2 개의 노출된 실리콘 표면들 (201) 이 도 2에 도시되지만, 하나 또는 복수의 노출된 실리콘 표면들이 기판 (200) 상에 존재할 수도 있다는 것이 이해될 것이다. 노출된 실리콘 표면 (201) 은 수소-종단된 실리콘 표면, 예컨대 폴리-실리콘 또는 비정질 실리콘 표면이다. 수소-종단된 실리콘 표면은 기판의 표면 상의 실리콘 원자들을 수소화하도록 기판을 수소 가스에 노출함으로써 형성될 수도 있다. 일부 실시예들에서, 증착될 때 실리콘 표면들은 수소화된다. 일부 실시예들에서, 도 1의 동작 104에 주지된 바와 같이, 기판은 실리콘 표면들을 수소화하도록 선택가능하게 수소에 노출될 수도 있다. 도 3에 도시된 바와 같이, 수소 (204) 가 완전히 수소화된 실리콘 표면 (202) 을 형성하도록 도입된다.
도 2의 노출된 실리콘 옥사이드 표면 (203) 은, 주변 공기 (H2O 및 O2) 로부터 또는 H2O 내 1 % 하이드로플루오르산 (HF) 과 같은 약한 에천트로부터 형성될 수도 있는, 하이드록실 말단기들을 포함한다. 노출된 실리콘 옥사이드 표면 (203) 상의 하이드록실 말단기들은 또한 실리콘 옥사이드 재료를 형성하도록 사용된 기법으로 인해 형성될 수도 있다. 예를 들어, 실리콘 옥사이드를 증착하기 위한 증기 프로세스들은 실리콘 옥사이드 재료 상에 하이드록실-종단된 표면을 발생시킬 수도 있다. 예시적인 증기 프로세스들은 CVD (chemical vapor deposition) 및 ALD를 포함한다. 일 노출된 실리콘 옥사이드 표면 (203) 이 도 2에 도시되지만, 기판 상의 하나 이상의 표면들이 실리콘 옥사이드를 포함할 수도 있다는 것이 이해될 것이다.
도 1을 다시 참조하면, 동작 106에서, 기판이 실리콘 또는 실리콘 옥사이드 표면 각각 상에 유기기 또는 모이어티를 형성하여 각각의 표면을 블로킹하도록 알켄 또는 알킬실릴할라이드 블로킹 시약에 노출된다. 플라즈마는 동작 106에서 점화되지 않는다.
기판은 실리콘 표면이 블로킹되고 재료가 기판의 비-실리콘 표면들 상에 선택적으로 증착되는 실시예들에서 알켄에 노출된다. 예를 들어, 알켄은 실리콘 나이트라이드가 실리콘 옥사이드 표면들 상에 선택적으로 증착될 수 있도록 실리콘 표면들을 블로킹하기 위해 사용된다. 노출된 실리콘 표면 상에 형성된 유기 모이어티는 실리콘 나이트라이드가 다른 표면들 (예를 들어, 실리콘 옥사이드 표면) 상에 선택적으로 형성되도록 실리콘 나이트라이드의 증착 동안 실리콘-함유 전구체의 흡착을 방지한다.
동작 106에 사용하기 적합한 알켄들은 다음의 일반식을 가질 수도 있고,
여기서 R1, R2, R3, 및 R4는 각각 수소 원자 또는 알킬기일 수도 있다. 예를 들어, 일부 실시예들에서, 알켄은 다음의 구조를 가질 수도 있고,
여기서 R' 및 R''은 알킬기들이다. 일 구체적인 예는 다음의 구조를 가질 수도 있는, 1-옥타데신이다:
알켄들은 유기기가 실리콘 원자에 결합하여, 표면 비반응성을 렌더링하거나 후속하는 막 증착 화학물질과의 반응성을 거의 갖지 않도록, 실리콘 표면의 표면 상에 Si-H 기들과 선택적으로 반응하도록 선택된다. 예가 도 4a에 제공되고, 화학식 CH2CHR을 갖는 알켄이 노출된 실리콘 표면들 (402) 및 하이드록실기들을 갖는 노출된 실리콘 옥사이드 표면들 (403) 을 갖는 기판 (400) 으로 도입된다. 도 4a의 기판 (400) 은 도 3과 유사하고, 실리콘 표면은 수소화된다. 도 4b에서, 노출된 실리콘 표면들 (402) 은 표면 상에 Si-R 기들을 갖는 블로킹된 실리콘 표면들 (412) 을 형성하도록 알켄 CH2CHR과 반응하였다. 선택된 알켄이 하이드록실-종단된 실리콘 옥사이드 표면 (403) 과 반응성을 거의 갖지 않거나 전혀 갖지 않기 때문에, 노출된 실리콘 옥사이드 표면 (403) 은 반응되지 않은 채로 남는다.
도 1의 동작 106에서, 기판은 알킬실릴할라이드에 노출되어, 하이드록실-종단된 기들을 갖는 실리콘 옥사이드 표면이 블로킹되고 재료가 기판의 비-실리콘-옥사이드 표면들 상에 선택적으로 증착된다. 본 명세서에서 사용된 알킬실릴할라이드는 다음의 화학식을 갖고:
여기서 X는 염소와 같은 할로겐이고, n 은 1 내지 20 이하의 정수이다. 일 예시적인 알킬실릴할라이드는 옥타데실트리클로로실란일 수도 있고, 다음의 화학식을 갖는다:
알킬실릴할라이드들은 유기기가 실리콘 원자에 결합하여, 표면 비반응성을 렌더링하거나 후속하는 막 증착 화학물질과 반응성을 거의 갖지 않도록 실리콘 옥사이드 표면의 표면 상의 Si-OH 기들과 선택적으로 반응성이도록 선택된다. 일 예가 도 5a에 제공되어, 알킬실릴할라이드 (X-SiH2R) 가 노출된 실리콘 표면들 (502) 및 하이드록실기들을 갖는 노출된 실리콘 옥사이드 표면들 (503) 을 갖는 기판 (500) 으로 도입된다. 도 5b에서, 도 5a의 노출된 실리콘 옥사이드 표면들 (503) 은 표면 상에 Si-O-R 기들을 갖는 블로킹된 실리콘 옥사이드 표면들 (513) 을 형성하도록 알킬실릴할라이드와 반응하였다. 선택된 알킬실릴할라이드 수소-종단된 실리콘 표면들 (502) 과 반응성이 거의 없거나 전혀 없기 때문에, 노출된 실리콘 표면들 (502) 은 처리되지 않은 채로 남는다.
도 1을 다시 참조하면, 동작 108에서, 기판이 실리콘-함유 전구체에 노출된다. 실리콘-함유 전구체는 기판의 비-블로킹된 표면 상에 흡착된다. 일부 실시예들에서, 실리콘-함유 전구체가 블로킹된 표면의 임의의 부분에 흡착하더라도, 전구체는 벌크 유기기들 상에 완전히 흡착할 수 없고, 후속하는 퍼지 동작들이 블로킹된 표면들로부터 전구체를 용이하게 제거할 수도 있다. 동작들 108 내지 114는 ALD 사이클을 구성할 수도 있다. 본 명세서에 기술된 실시예들은 실리콘-함유 전구체 노출 또는 질소-함유 반응물질로의 노출 동안 플라즈마가 점화되지 않도록, 사이클들로 수행된 열적 ALD를 수반한다.
동작 108 동안 사용된 실리콘-함유 전구체는 일부 실시예들에서 아미노실란일 수도 있다. 본명세서에 참조된 아미노실란들은 아미노실란들, 예컨대 비스(터트부틸)아미노실란 및 실릴아민들, 예컨대 트리실릴아민을 포함한다. 일부 실시예들에서, 아미노실란 분자들은 블로킹된 표면들 및 블로킹되지 않은 표면들 모두에 흡착할 수도 있지만, 동작 112에 대해 이하에 기술된 바와 같이, 실리콘 나이트라이드는 블로킹된 표면들이 아니라 블로킹되지 않은 표면들 상에 선택적으로 형성된다. 다양한 실시예들에서, 동작들 110 및 114에 대해 이하에 기술된 바와 같이 후속하는 퍼지 동작들은 블로킹된 표면들로부터 흡착된 아미노실란을 제거할 수도 있다.
일부 실시예들에서, 기판의 표면 상의 흡착은 기판의 표면 상에 아미노실란의 박층을 형성할 수도 있다. 박층은 모노레이어보다 작을 수도 있고, 약 0.2 Å 내지 약 0.4 Å의 두께를 가질 수도 있다.
동작 108 동안, 불활성 가스가 흐를 수도 있다. 불활성 가스는 동작 108에 대해 상기 열거된 바와 같이 임의의 불활성 가스일 수도 있다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 기화, 반응물질의 보다 신속한 전달을 보조하도록 및/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 제공될 수도 있다.
동작 108에서 사용된 아미노실란은 다음과 같은 화학 구조를 갖는다:
여기서 x는 1 내지 3 이하의 정수이고, x + y = 4이고, R5 및 R6 각각은 수소 원자 또는 알킬 리간드이다. 예를 들어, 일부 실시예들에서, 아미노실란은 다음의 화학 구조를 갖는 모노아미노실란이다:
여기서 R1 및 R2 각각은 수소 또는 알킬 리간드이다.
일부 실시예들에서, 아미노실란은 모노아미노실란, 디아미노실란, 트리아미노실란, 테트라아미노실란 및 이들의 조합들 중 임의의 것일 수도 있다. 이들 예들에 대한 화학 구조는 다음과 같다:
상기 주지된 바와 같이, R1 및 R2는 임의의 알킬 리간드일 수도 있다. 일 예에서, 아미노실란은 다음의 구조를 갖는, N'N'-디메틸실란디아민일 수도 있다:
다른 실리콘-함유 전구체들이 일부 실시예들에서 사용될 수도 있는 실리콘 알콕사이드들 및 실리콘 할라이드들을 포함한다. 일부 실시예들에서, 사용될 수도 있는 실리콘 할라이드들은 이로 제한되는 것은 아니지만, 실리콘 클로라이드, 실리콘 브로마이드, 및 실리콘 아이오다이드 (iodide) 를 포함한다.
동작 110에서, 프로세스 챔버는 기판 표면 상에 흡착되지 않은 실리콘-함유 전구체 분자들을 제거하도록 선택가능하게 퍼지된다. 퍼지는, 벌크 유기기들을 갖고 실리콘-함유 전구체의 흡착에 보다 덜 민감한 블로킹된 표면들로부터 실리콘-함유 전구체 분자들을 제거할 수도 있다.
챔버를 퍼지하는 것은 다른 동작들에서 사용된 캐리어 가스일 수도 있고 또는 상이한 가스일 수도 있는 퍼지 가스 또는 스윕핑 가스를 흘리는 것을 수반할 수도 있다. 일부 실시예들에서, 퍼지하는 것은 챔버를 배기하는 것을 수반할 수도 있다. 예시적인 퍼지 가스들은 아르곤, 질소, 수소, 및 헬륨을 포함한다. 다양한 실시예들에서, 퍼지 가스는 불활성 가스이다. 퍼지 가스는 하나 이상의 가스들을 포함할 수도 있다. 일부 실시예들에서, 동작 110은 프로세스 챔버를 배기하기 위한 하나 이상의 배기 서브페이즈들을 포함할 수도 있다. 대안적으로, 동작 110이 일부 실시예들에서 생략될 수도 있다는 것이 이해될 것이다. 동작 110은 약 0 초 내지 약 60 초, 예를 들어 약 0.01 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 실시예들에서, 하나 이상의 퍼지 가스들의 플로우 레이트를 상승시키는 것은 동작 110의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 동작 110의 지속기간을 조절하기 위해 다양한 반응물질 열역학적 특징들 및/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙의 기하학적 특징들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 퍼지 페이즈의 지속기간은 퍼지 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이는 증착 사이클 시간을 감소시킬 수도 있고, 이는 기판 쓰루풋을 개선할 수도 있다. 퍼지 후, 실리콘-함유 전구체 분자들은 기판 표면 상에 흡착된 채로 남는다. 일부 실시예들에서, 실리콘-함유 전구체는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 기판을 하우징하는 챔버로 흐른다.
동작 112에서, 기판은 블로킹된 표면들에 상대적으로, 블로킹되지 않은 표면 상에 실리콘 나이트라이드를 선택적으로 형성하도록 플라즈마를 사용하지 않고 질소-함유 반응물질 가스에 노출된다. 다양한 실시예들에서, 질소-함유 반응물질은 질소 가스이다. 다양한 실시예들에서, 질소-함유 반응물질은 하이드라진이다. 일부 실시예들에서, 질소-함유 반응물질은 암모니아이다. 도 4c에 도시된 바와 같이, 블로킹된 실리콘 표면 (412) 이 블로킹된 채로 남아 있고 상부에 실리콘 나이트라이드가 증착되지 않는 동안, 실리콘 나이트라이드 (405) 는 블로킹되지 않은 표면 (하이드록실-종단된 실리콘 옥사이드 표면들 (403)) 상에 선택적으로 형성된다. 도 5c에서, 블로킹된 실리콘 옥사이드 표면 (513) 이 블로킹된 채로 남아 있고 상부에 실리콘 나이트라이드가 증착되지 않는 동안, 실리콘 나이트라이드 (505) 는 블로킹되지 않은 표면 (수소-종단된 실리콘 표면 (502)) 상에 선택적으로 형성된다.
상기 기술된 바와 같이, 일부 실시예들에서, 질소-함유 반응물질 가스는 질소, 암모니아, 하이드라진, 또는 이들의 조합들일 수도 있다. 동작 112 동안 사용된 하이드라진들은 다음의 구조를 갖는다:
여기서 R7, R8, R9, 및 R10은 각각 수소 원자 또는 알킬기이다. 예를 들어, 하이드라진이 사용될 수도 있고, 하이드라진은 다음의 구조를 갖는다:
또 다른 예에서, 다음의 구조를 갖는 t-부틸하이드라진이 사용될 수도 있다:
또 다른 예에서, 다음의 구조를 갖는 테트라메틸 하이드라진이 사용될 수도 있다:
일부 실시예들에서, 하나 이상의 하이드라진들이 혼합물로서 도입될 수도 있다. 하이드라진들은 가스로서 기판으로 전달될 수도 있다. 일부 실시예들에서, 하이드라진은 캐리어 가스 및 하이드라진 가스가 기판으로 함께 흐르도록 (co-flow) 캐리어 가스를 사용하여 전달될 수도 있다. 일부 실시예들에서, 캐리어 가스는 동작 108에 대해 상기 기술된 바와 같이, 불활성 가스일 수도 있다. 일부 실시예들에서, 캐리어 가스는 기판을 하우징하는 챔버 내로 하이드라진 가스을 전달하기 전에 방향전환된다. 일부 실시예들에서, 하이드라진은 기판의 업스트림에서 액체상으로부터 기화될 수도 있고 가스로서 챔버 내로 도입될 수도 있다. 기화된 하이드라진은 유사하게 기화된 하이드라진 전구체 캐리어 가스와 함께 흘림으로써 캐리어 가스를 사용하여 도입될 수도 있다. 유사하게, 캐리어 가스는 일부 실시예들에서 기화된 하이드라진 전구체를 기판으로 전달하기 전에 방향전환될 수도 있다. 동작 112에서 사용된 하이드라진은 약 100 sccm 내지 약 10000 sccm, 또는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 기판을 하우징하는 챔버로 전달될 수도 있다. 상기 기술된 바와 같이, 기판을 하우징하는 챔버의 챔버 압력은 동작들 102 내지 118 내내 일정할 수도 있다. 즉, 다양한 실시예들에서, 동작 112 동안 챔버 압력은 동작들 108, 110, 및 114 동안 챔버 압력과 같다. 다양한 실시예들에서 동작 112 동안 챔버 압력은 동작들 104, 또는 동작 106, 또는 동작 104 및 동작 106 둘다 동안과 같을 수도 있다.
동작 114에서, 챔버는 모든 잔여 부산물들을 제거하도록 선택가능하게 퍼지된다. 동작 114는동작 110에 대해 상기 기술된 조건들 중 임의의 조건들을 사용하여 수행될 수도 있다. 예를 들어, 일부 실시예들에서, 챔버는, 과잉 분자들 및/또는 잔여 부산물들을 챔버로부터 펌핑하도록 아르곤과 같은 불활성 가스를 흘림으로써 퍼지된다.
동작 116에서, 목표된 두께의 실리콘 나이트라이드 막이 증착되었는지 여부가 결정된다. 그렇지 않다면, 동작들 108 내지 114는 목표된 두께의 실리콘 나이트라이드를 증착하도록 충분한 사이클들로 반복된다. 목표된 두께의 실리콘 나이트라이드를 증착하도록 임의의 적합한 수의 증착 사이클들이 ALD 프로세스에 포함될 수도 있다. 예를 들어, 약 20 내지 약 40 증착 사이클들이 개시된 실시예들을 사용하여 기판 상에 실리콘 나이트라이드 막을 증착하도록 수행될 수도 있다. 일부 실시예들에서, 20 내지 40 증착들이 수행된다.
일부 실시예들에서, 동작 112는 동작 108 전에 수행된다는 것을 주의한다. 일부 실시예들에서, 동작 108은 동작 112 전에 수행된다. 다양한 실시예들에서, 챔버가 동작 112 및 동작 108의 교번하는 노출들 사이에 퍼지된다.
일부 실시예들에서, 실리콘 또는 실리콘 옥사이드 표면들 상에 선택적으로 목표된 양의 실리콘 나이트라이드를 증착하도록 충분한 사이클들이 수행된 후에, 기판은 기판의 블로킹된 표면들로부터 유기 모이어티들 또는 유기기(들)를 제거하기 위한 제거 동작 118을 겪을 수도 있다.
블로킹된 실리콘 표면으로부터 유기기들을 제거하기 위해, 300 ㎜ 웨이퍼의 표면적 당 약 500 W 내지 약 2000 W와 같은 고 플라즈마 전력들을 사용하여 스퍼터링함으로써 제거를 위해 플라즈마를 점화하는 동안 기판이 아르곤, 또는 헬륨 가스, 또는 아르곤과 헬륨 가스의 혼합물에 노출될 수도 있다. 다양한 실시예들에서, 이러한 고 플라즈마 전력들은 기판을 가열하고 블로킹된 실리콘 표면으로부터 유기기들을 제거하는 것 모두에 충분할 수도 있다.
일부 실시예들에서, 블로킹된 실리콘 옥사이드 표면으로부터 유기기들을 제거하기 위해, 300 ㎜ 웨이퍼의 표면적 당 약 500 W 내지 약 2000 W와 같은 고 플라즈마 전력들을 사용하여 스퍼터링함으로써 제거를 위해 플라즈마를 점화하는 동안 기판이 아르곤, 및/또는 헬륨 가스에 노출될 수도 있다. 다양한 실시예들에서, 이러한 고 플라즈마 전력들은 기판을 가열하고 블로킹된 실리콘 표면으로부터 유기기들을 제거하는 것 모두에 충분할 수도 있다. 일부 실시예들에서, 블로킹된 실리콘 옥사이드 표면으로부터 유기기들을 제거하기 위해, 기판은 플라즈마가 점화되는 동안 아르곤 및/또는 헬륨 가스에 노출될 수도 있고, 또는 플라즈마가 점화되는 동안 불소-함유 가스, 산소 가스, 또는 불소-함유 및 산소 가스의 혼합물에 노출될 수도 있다. 플라즈마는 (리모트 플라즈마 생성기에서와 같이) 리모트로 또는 기판을 하우징하는 챔버 내에서 바로 (즉 인시츄) 생성될 수도 있다. 다양한 실시예들에서 유기기들은 불소-함유 및 산소 가스의 혼합물을 사용하여 블로킹된 실리콘 옥사이드 표면으로부터 제거되고, 고 산소 가스 대 불소-함유 가스 플로우 레이트비, 예컨대 약 50:1의 O2 대 NF3가 사용된다. 예를 들어, 일부 실시예들에서, 약 5000 sccm의 산소가 약 100 sccm의 NF3와 함께 흐를 수도 있다. 불소-함유 가스, 산소 가스, 또는 불소-함유 및 산소 가스의 혼합물이 흐르는 동안 점화된 플라즈마의 전력은 300 ㎜ 웨이퍼의 표면적 당 약 75 W 내지 약 200 W일 수도 있다. 플라즈마 전력은 기판의 표면 상의 재료의 스퍼터링을 방지하도록 낮게 선택된다.
도 6은 특정한 개시된 실시예들에 따른 방법의 사이클들의 예를 도시하는 타이밍 시퀀스도를 제공한다. 타이밍 시퀀스도는 캐리어 가스, 실리콘-함유 전구체 가스, 질소-함유 반응물질 가스, 및 블로킹 시약 가스 예컨대 알켄 또는 알킬실릴할라이드의 플로우들에 대한 온 또는 오프 페이즈를 도시한다. 도 6은 블로킹 시약 노출 페이즈 601A 및 2 개의 증착 사이클들 - 증착 사이클 610A 및 증착 사이클 610B를 갖는 프로세스 600을 포함한다. 2 개의 증착 사이클들만이 도시되지만, 보다 많은 증착 사이클들이 수행될 수도 있다는 것을 주의한다.
블로킹 시약 노출 페이즈 601A 동안, 캐리어 가스가 흐를 수도 있다. 일부 실시예들에서, 캐리어 가스는 블로킹 시약을 전달하도록 사용될 수도 있고, 이어서 블로킹 시약을 챔버 내로 전달하기 위해 샤워헤드의 업스트림으로 방향전환될 수도 있다. 일부 실시예들에서, 캐리어 가스는 불활성 가스이다. 일부 실시예들에서, 캐리어 가스 및 블로킹 시약 가스 둘다 기판으로 전달된다. 이 페이즈 동안, 실리콘-함유 전구체 가스 플로우는 턴오프되고, 질소-함유 반응물질 가스 플로우는 턴오프되고, 블로킹 시약 가스 플로우는 턴온된다. 이 페이즈는 도 1의 동작 106에 대응할 수도 있다.
블로킹 시약 노출 페이즈 601A에 이어서, 증착 사이클 610A이 수행된다. 증착 사이클 610A는 실리콘-함유 전구체 노출 페이즈 657A, 퍼지 페이즈 659A, 질소-함유 반응물질 노출 페이즈 661A, 및 퍼지 페이즈 663A를 포함한다. 실리콘-함유 전구체 노출 페이즈 657A 동안, 캐리어 가스 플로우는 계속해서 온될 수도 있는 한편, 실리콘-함유 전구체 가스 플로우가 턴온된다. 질소-함유 반응물질 가스 플로우는 오프된 채로 남고 블로킹 시약 가스 플로우는 턴오프된다. 이는 도 1의 동작 108에 대응할 수도 있다. 퍼지 페이즈 659A 동안, 캐리어 가스는 계속해서 흐를 수도 있다. 일부 실시예들에서 캐리어 가스는 챔버로부터 과잉 분자들을 제거하는 것을 보조하는 퍼지 가스로서 작용할 수도 있다. 이 페이즈 동안, 실리콘-함유 전구체 가스 플로우는 턴오프되고, 질소-함유 반응물질 가스 플로우는 오프되고, 블로킹 시약 가스 플로우 또한 턴오프된다. 이는 도 1의 동작 110에 대응할 수도 있다. 질소-함유 반응물질 노출 페이즈 661A 동안, 캐리어 가스가 계속해서 흐를 수도 있다. 일부 실시예들에서, 캐리어 가스는 챔버로 질소-함유 반응물질 가스를 전달하는 것을 보조하도록 사용되고 챔버 내로 방향전환되거나 흐를 수도 있다. 이 페이즈 동안, 실리콘-함유 전구체 가스 플로우는 턴오프되고 블로킹 시약 가스 플로우는 턴오프되는 한편, 질소-함유 반응물질 가스 플로우는 턴온된다. 이는 도 1의 동작 112에 대응할 수도 있다. 퍼지 페이즈 663A 동안, 캐리어 가스 플로우는 계속해서 온될 수도 있고 캐리어 가스는 과잉 분자들 및/또는 부산물들을 챔버로부터 제거하기 위한 퍼지 가스로서 작용할 수도 있다. 실리콘-함유 전구체 가스 플로우, 질소-함유 반응물질 가스 플로우, 및 블로킹 시약 가스 플로우는 턴오프된다. 도 6의 이 예에서, 증착된 실리콘 나이트라이드 막이 불충분한 두께인지 여부가 결정되고, 또 다른 증착 사이클 610B가 수행된다. 증착 사이클 610B는 실리콘-함유 전구체 노출 페이즈 657B, 퍼지 페이즈 659B, 질소-함유 반응물질 노출 페이즈 661B, 및 퍼지 페이즈 663B를 포함한다. 실리콘-함유 전구체 노출 페이즈 657B 동안, 캐리어 가스가 흐를 수도 있고 실리콘-함유 전구체 가스 플로우가 턴온되는 한편, 질소-함유 반응물질 가스 플로우 및 블로킹 시약 가스 플로우는 턴오프된다. 이는 동작 116에서 막이 적절한 두께로 증착되지 않았다는 결정 후, 도 1의 동작 108의 반복된 동작에 대응할 수도 있다. 퍼지 페이즈 659B 동안, 캐리어 가스 플로우는 온인 채로 남아 있는 한편, 실리콘-함유 전구체 가스 플로우는 턴오프되고 질소-함유 반응물질 가스 플로우 및 블로킹 시약 가스 플로우 모두는 오프된 채로 남는다. 이는 도 1의 동작 110의 반복된 동작에 대응할 수도 있다. 질소-함유 반응물질 노출 페이즈 661B 동안, 캐리어 가스 플로우는 온인 채로 남을 수도 있는 한편, 질소-함유 반응물질 가스 플로우는 턴온되고 실리콘-함유 전구체 가스 플로우 및 블로킹 시약 가스 플로우는 턴오프된다. 이는 도 1의 동작 112의 반복된 동작에 대응할 수도 있다. 퍼지 페이즈 663B 동안, 캐리어 가스 플로우는 온인 채로 남아 있을 수도 있는 한편, 실리콘-함유 전구체 가스 플로우, 질소-함유 반응물질 가스 플로우, 및 블로킹 시약 가스 플로우들은 턴오프된다. 2 개의 증착 사이클들이 도 6에 도시되지만, 부가적인 증착 사이클들이 또한 수행될 수도 있다. 일부 실시예들에서, 목표된 수의 증착 사이클들이 수행된 후, 부가적인 제거 종 노출 페이즈 (미도시) 가 기판의 블로킹된 표면들로부터 유기기들을 제거하도록 수행될 수도 있다는 것이 또한 이해될 것이다.
장치
도 7은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (702) 를 갖는 ALD 프로세스 스테이션 (700) 의 실시예의 개략적 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (700) 은 공통 저압 프로세스 툴 분위기 내에 포함될 수도 있다. 예를 들어, 도 8은 멀티-스테이션 프로세싱 툴 (800) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 ALD 프로세스 스테이션 (700) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (700) 은 분배 샤워헤드 (706) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (701a) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (701a) 은 샤워헤드 (706) 로의 전달을 위해 프로세스 가스들, 예컨대 알켄 가스, 또는 알킬실릴할라이드 가스, 또는 실리콘-함유 전구체 가스, 또는 질소-함유 가스를 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (704) 을 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (720) 이 혼합 용기 (704) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
예로서, 도 7의 실시예는 혼합 용기 (704) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (703) 을 포함한다. 일부 실시예들에서, 기화 지점 (703) 은 가열된 기화기일 수도 있다. 이러한 증발기들로부터 생산된 포화된 반응 물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (703) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (704) 가 또한 열 추적될 수도 있다. 일 비제한적 예에서, 기화 지점 (703) 의 파이프 다운스트림은 혼합 용기 (704) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질이 액체 주입기에서 기화될 수도 있다. 예를 들어, 이러한 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (703) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (704) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (706) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (703) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세싱 스테이션 (700) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (706) 는 프로세스 가스들을 기판 (712) 을 향해 분배한다. 도 7에 도시된 실시예에서, 기판 (712) 은 샤워헤드 (706) 밑에 위치되고 페데스탈 (708) 상에 놓인 것으로 도시된다. 샤워헤드 (706) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (712) 으로 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (708) 은 기판 (712) 을 기판 (712) 과 샤워헤드 (706) 사이의 볼륨에 노출하도록 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (708) 의 높이를 조정하는 것은 플라즈마가 점화되는 실시예들의 프로세스에서 플라즈마 밀도로 하여금 플라즈마 활성화 동안 가변하게 할 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (708) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (708) 로부터 기판 (712) 의 제거를 허용하도록 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (708) 은 히터 (710) 를 통해 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (708) 은 개시된 실시예들에 기술된 바와 같이 실리콘 나이트라이드 막들의 선택적인 증착 동안 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 온도로 가열될 수도 있다. 일부 실시예들에서, 페데스탈은 약 25 ℃ 내지 약 400 ℃의 온도, 또는 약 200 ℃ 내지 약 300 ℃의 온도로 설정된다.
또한, 일부 실시예들에서, 프로세싱 스테이션 (700) 을 위한 압력 제어가 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 도 7의 실시예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세싱 스테이션 (700) 의 압력 제어는 또한 프로세싱 스테이션 (700) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다.
일부 실시예들에서, 샤워헤드 (706) 의 위치는 기판 (712) 과 샤워헤드 (706) 사이의 체적을 변화시키도록 페데스탈 (708) 에 상대적으로 조정될 수도 있다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (708) 은 기판 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
플라즈마가 상기 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 예를 들어, 플라즈마는 실리콘 나이트라이드를 증착한 후 기판 표면으로부터 유기 모이어티들을 제거하도록 사용될 수도 있다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디칼 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 약 150 W 내지 약 6000 W이다. 블로킹된 실리콘 표면으로부터 유기기들을 제거하기 위해, 300 ㎜ 웨이퍼 표면적 당 약 500 W 내지 약 2000 W와 같은 고 플라즈마 전력들을 사용하여 스퍼터링함으로써 제거를 위해 RF 전력 공급부 (714) 및 매칭 네트워크 (716) 를 사용하여 플라즈마를 점화하는 동안 기판은 아르곤, 또는 헬륨 가스, 아르곤 및 헬륨 가스의 혼합물에 노출될 수도 있다. 다양한 실시예들에서, 이러한 고 플라즈마 전력들은 기판을 가열하는 것 및 블로킹된 실리콘 표면으로부터 유기기들을 제거하는 것 모두를 위해 충분할 수도 있다.
일부 실시예들에서, 블로킹된 실리콘 옥사이드 표면으로부터 유기기들을 제거하기 위해, 300 ㎜ 웨이퍼 표면적 당 약 500 W 내지 약 2000 W와 같은 고 플라즈마 전력들을 사용하여 스퍼터링함으로써 제거를 위해 플라즈마를 점화하는 동안 기판은 아르곤 및/또는 헬륨 가스에 노출될 수도 있다. 일부 실시예들에서, 블로킹된 실리콘 옥사이드 표면으로부터 유기기들을 제거하기 위해, 플라즈마가 점화되는 동안 기판은 아르곤 및/또는 헬륨 가스에 노출될 수도 있고, 또는 플라즈마를 점화하는 동안 기판은 불소-함유 가스, 산소 가스, 불소-함유 및 산소 가스의 혼합물에 노출될 수도 있다. 플라즈마는 리모트로 (예컨대 리모트 플라즈마 생성기 내에서) 또는 기판을 하우징하는 챔버 내에서 바로 (즉 인시츄) 생성될 수도 있다. 다양한 실시예들에서 유기기들이 불소-함유 및 산소 가스의 혼합물을 사용하여 블로킹된 실리콘 옥사이드 표면으로부터 제거되면, 예컨대 약 50:1의 O2 대 NF3와 같은 고 산소 가스 대 불소-함유 가스 플로우 레이트 비가 사용된다. 예를 들어, 일부 실시예들에서, 약 5000 sccm의 산소가 약 100 sccm의 NF3와 함께 흐를 수도 있다. RF 전력 공급부 (714) 를 사용하여 점화된 플라즈마의 전력은 불소-함유 가스, 산소 가스, 또는 불소-함유 및 산소 가스의 혼합물을 흘리는 동안 300 ㎜ 웨이퍼의 표면적 당 약 75 W 내지 약 200 W일 수도 있다. 플라즈마 전력은 기판의 표면 상의 재료의 스퍼터링을 방지하도록 낮게 선택된다. RF 전력 공급부 (714) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (714) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 큰, 또는 27 ㎒보다 큰, 또는 40 ㎒보다 큰, 또는 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (750) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들이 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 알켄 (예를 들어, 1-옥타데신) 또는 알킬실릴할라이드 (예를 들어, 옥타데실트리클로로실란) 와 같은 블로킹 시약 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 레시피 페이즈는 불활성 및/또는 실리콘-함유 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 2 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 질소-함유 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 4 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 5 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 개시된 실시예들의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제어기 (750) 는 도 8의 시스템 제어기 (850) 대해 이하에 기술된 임의의 특징들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 프로세싱 툴에 포함될 수도 있다. 도 8은 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 중 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 을 갖는, 멀티스테이션 프로세싱 툴 (800) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (806) 은, 카세트로부터 포드 (808) 를 통해 인바운드 로드록 (802) 으로 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (802) 내의 페데스탈 (812) 상에 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (802) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 선택가능한 H2O 내 HF의 약한 에천트에 노출될 수도 있고, 또는 프로세싱 챔버 (814) 내로 도입되기 전에 로드록 내 분위기에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (802) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 8에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (814) 는 도 8에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 818로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD 프로세스 모드 사이에서 스위칭할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (814) 는 ALD 프로세스 스테이션 및 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (814) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 8은 프로세싱 챔버 (814) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 실시예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서들 (852) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 에 저장되고 메모리 디바이스 (856) 내로 로딩되어 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 대안적으로, 제어 로직은 제어기 (850) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-Programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (800) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (818) 상에 기판을 로딩하고 기판과 프로세스 툴 (800) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 알켄 가스들, 알킬실릴할라이드 가스들, 실리콘-함유 전구체 가스들, 질소-함유 가스들, 캐리어 가스들, 불활성 가스들 및/또는 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 및 디지털 출력 접속부들에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, 압력 센서들 (예컨대 압력계들), 써모커플들, 등을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이들 센서들로부터 데이터를 사용할 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (850) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (850) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (850) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (850) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (850) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (850) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (850) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (850) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (850) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (850) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (850) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (850) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 적절한 장치는, 각각 전체가 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (1)

  1. 반도체 기판 상에 실리콘 나이트라이드를 증착하는 방법에 있어서,
    노출된 실리콘 옥사이드 표면 및 노출된 실리콘 표면을 갖는 반도체 기판을 제공하는 단계;
    상기 노출된 실리콘 옥사이드 표면 및 상기 노출된 실리콘 표면 중 하나 상에 유기 모이어티를 형성함으로써, 상기 노출된 실리콘 옥사이드 표면 및 상기 노출된 실리콘 표면 중 다른 하나는 블로킹되지 않은 (unblocked) 채로 남는 동안 상기 노출된 실리콘 옥사이드 표면 및 상기 노출된 실리콘 표면 중 상기 하나를 블로킹하도록 블로킹 시약에 상기 반도체 기판을 노출하는 단계; 및
    하나 이상의 열적 ALD (atomic layer deposition) 사이클들에 의해 상기 노출된 실리콘 옥사이드 표면 및 상기 노출된 실리콘 표면 중 상기 다른 하나 상에 실리콘 나이트라이드를 선택적으로 증착하는 단계로서, 상기 사이클 각각은,
    실리콘-함유 전구체를 상기 블로킹되지 않은 표면에 흡착시키도록 상기 블로킹된 표면 및 상기 블로킹되지 않은 표면을 갖는 상기 반도체 기판을 상기 실리콘-함유 전구체에 노출하는 단계; 및
    상기 블로킹된 표면에 상대적으로, 상기 블로킹되지 않은 표면 상에 실리콘 나이트라이드를 선택적으로 형성하도록 플라즈마를 점화하지 않고 상기 반도체 기판을 질소-함유 반응물질에 노출하는 단계를 포함하는, 상기 하나 이상의 열적 ALD 사이클들에 의해 실리콘 나이트라이드를 선택적으로 증착하는 단계를 포함하고,
    상기 블로킹 시약은 알켄 또는 다음의 화학 구조를 갖는 알킬실릴할라이드이고,

    여기서 X는 할로겐이고, 그리고 n은 1 이상 20 이하의 정수인, 반도체 기판 상에 실리콘 나이트라이드를 증착하는 방법.
KR1020230112200A 2017-03-17 2023-08-25 실리콘 나이트라이드의 선택적 성장 KR20230127969A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/462,695 US9911595B1 (en) 2017-03-17 2017-03-17 Selective growth of silicon nitride
US15/462,695 2017-03-17
US15/878,349 US10490413B2 (en) 2017-03-17 2018-01-23 Selective growth of silicon nitride
US15/878,349 2018-01-23
KR1020180030157A KR102572854B1 (ko) 2017-03-17 2018-03-15 실리콘 나이트라이드의 선택적 성장

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180030157A Division KR102572854B1 (ko) 2017-03-17 2018-03-15 실리콘 나이트라이드의 선택적 성장

Publications (1)

Publication Number Publication Date
KR20230127969A true KR20230127969A (ko) 2023-09-01

Family

ID=61257878

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180030157A KR102572854B1 (ko) 2017-03-17 2018-03-15 실리콘 나이트라이드의 선택적 성장
KR1020230112200A KR20230127969A (ko) 2017-03-17 2023-08-25 실리콘 나이트라이드의 선택적 성장

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020180030157A KR102572854B1 (ko) 2017-03-17 2018-03-15 실리콘 나이트라이드의 선택적 성장

Country Status (6)

Country Link
US (2) US9911595B1 (ko)
JP (1) JP2018164079A (ko)
KR (2) KR102572854B1 (ko)
CN (1) CN108630524B (ko)
SG (1) SG10201802228YA (ko)
TW (1) TW201903829A (ko)

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
TWI804369B (zh) 2017-07-14 2023-06-01 荷蘭商Asm Ip控股公司 用於將自組裝單層沈積於基板之表面上的設備
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
KR20230137501A (ko) * 2018-05-28 2023-10-04 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN112424916A (zh) * 2018-07-17 2021-02-26 株式会社国际电气 半导体装置的制造方法、基板处理装置和程序
WO2020016914A1 (ja) * 2018-07-17 2020-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) * 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020167972A1 (en) * 2019-02-14 2020-08-20 Entegris, Inc. Selective deposition of silicon nitride
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP6783888B2 (ja) * 2019-03-15 2020-11-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6960953B2 (ja) * 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN110265288A (zh) * 2019-06-05 2019-09-20 深圳市华星光电技术有限公司 一种在基板上制备二氧化硅膜的方法及装置、阵列基板
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR102156663B1 (ko) 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7433016B2 (ja) * 2019-10-28 2024-02-19 東京エレクトロン株式会社 基板処理方法および基板処理システム
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
JP7118099B2 (ja) * 2020-01-15 2022-08-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7072012B2 (ja) * 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR102406174B1 (ko) * 2020-09-08 2022-06-08 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) * 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) * 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
KR100646296B1 (ko) 2001-09-12 2006-11-23 닛본 덴끼 가부시끼가이샤 반도체 장치 및 그 제조 방법
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
WO2012112553A1 (en) 2011-02-14 2012-08-23 Dionex Corporation Nanometer size chemical modified materials and uses
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
WO2014209327A1 (en) 2013-06-27 2014-12-31 Intel Corporation Non-lithographically patterned directed self assembly alignment promotion layers
EP3050084A4 (en) * 2013-09-27 2017-05-24 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9873613B2 (en) 2014-02-28 2018-01-23 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
JP6604596B2 (ja) 2014-09-26 2019-11-13 インテル・コーポレーション 半導体デバイス用の選択的ゲートスペーサ
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9589790B2 (en) * 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
WO2016138284A1 (en) 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
CN114121605A (zh) 2015-06-26 2022-03-01 应用材料公司 氧化硅膜的选择性沉积
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset

Also Published As

Publication number Publication date
CN108630524B (zh) 2024-05-28
KR102572854B1 (ko) 2023-08-29
US10490413B2 (en) 2019-11-26
US20180269058A1 (en) 2018-09-20
SG10201802228YA (en) 2018-10-30
KR20180106933A (ko) 2018-10-01
JP2018164079A (ja) 2018-10-18
US9911595B1 (en) 2018-03-06
CN108630524A (zh) 2018-10-09
TW201903829A (zh) 2019-01-16

Similar Documents

Publication Publication Date Title
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US20160293398A1 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US20230154754A1 (en) Loss prevention during atomic layer deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal