KR20160118961A - 3d nand 메모리 디바이스들에서의 개선된 수직 에칭 성능을 위한 막들의 플라즈마 강화 화학 기상 증착 - Google Patents

3d nand 메모리 디바이스들에서의 개선된 수직 에칭 성능을 위한 막들의 플라즈마 강화 화학 기상 증착 Download PDF

Info

Publication number
KR20160118961A
KR20160118961A KR1020160039312A KR20160039312A KR20160118961A KR 20160118961 A KR20160118961 A KR 20160118961A KR 1020160039312 A KR1020160039312 A KR 1020160039312A KR 20160039312 A KR20160039312 A KR 20160039312A KR 20160118961 A KR20160118961 A KR 20160118961A
Authority
KR
South Korea
Prior art keywords
film layer
layer
silicon
film
silicon nitride
Prior art date
Application number
KR1020160039312A
Other languages
English (en)
Other versions
KR102456460B1 (ko
Inventor
프라켓 피. 자
알렌 코
신하이 한
토마스 종완 권
복헌 김
병호 길
륜관 김
상혁 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160118961A publication Critical patent/KR20160118961A/ko
Application granted granted Critical
Publication of KR102456460B1 publication Critical patent/KR102456460B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L27/11556
    • H01L27/11582
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

본 개시의 구현들은 일반적으로, 고 종횡비 피처 정의들을 포함하는 박막들, 및 그러한 박막들을 형성하기 위한 방법들에 관한 것이다. 게이트 높이가 증가됨에 따라, 3D NAND 게이트 스택들은 더 높은 종횡비 에칭을 받는다. 에칭 기법들의 현재의 제한들로 인해, 수직 에칭 프로파일은 전형적으로, 게이트 스택 내로의 깊이가 증가됨에 따라, 테이퍼링(taper)한다. 본 발명자들은, 새로운(novel) 플라즈마-강화 화학 기상 증착(PECVD) 막 증착 방법에 의해 딥(deep) 트렌치들에서의 에칭 성능 저하를 보상하는 고유한 증착 스킴(scheme)을 고안하였다. 본 발명자들은, 증착-직후의(as-deposited) 막들(예컨대, 실리콘 질화물)의 다양한 특성들(예컨대, 막의 굴절률, 응력(stress), 막에서의 도펀트 농도)을 그레이딩(grading)함으로써, 건식 및 습식 에칭 레이트들 양자 모두에서의 차이들을 보상하여, 더 균일한 에칭 프로파일이 달성될 수 있다는 것을 발견하였다.

Description

3D NAND 메모리 디바이스들에서의 개선된 수직 에칭 성능을 위한 막들의 플라즈마 강화 화학 기상 증착{PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF FILMS FOR IMPROVED VERTICAL ETCH PERFORMANCE IN 3D NAND MEMORY DEVICES}
[0001] 본 개시의 구현들은 일반적으로, 고 종횡비 피처 정의(feature definition)들을 포함하는 박막들, 및 그러한 박막들을 형성하기 위한 방법들에 관한 것이다.
[0002] 차세대 디바이스들에 대해 회로 밀도들이 증가됨에 따라, 피처(feature)들의 종횡비(aspect ratio)들을 증가시키는 것의 결과로, 비아(via)들, 트렌치(trench)들, 콘택(contact)들, 게이트 구조들 및 다른 피처들과 같은 상호연결부들, 뿐만 아니라, 이들 사이의 유전체 재료들의 폭들이 45 nm 및 32 nm 치수들로 감소되는 반면에, 유전체 층들의 두께는 실질적으로 일정하게 유지된다. 차세대 디바이스들 및 구조들의 제조를 가능하게 하기 위하여, 트랜지스터들의 성능을 개선하기 위해, 반도체 칩들의 3차원(3D) 스태킹(stacking)이 종종 활용된다. 통상적인 2차원 대신에 3차원으로 트랜지스터들을 배열함으로써, 다수의 트랜지스터들은 집적 회로들(IC들)에서 서로에 대해 매우 가깝게 배치될 수 있다. 반도체 칩들의 3차원(3D) 스태킹은 와이어(wire) 길이들을 감소시키고, 와이어링 지연(wiring delay)을 낮게 유지한다. 반도체 칩들의 3차원(3D) 스태킹의 제조 시에, 계단(stair)-형 구조들이 종종 활용되어, 다수의 상호연결 구조들이 그 위에 배치되게 허용하여, 고-밀도의 수직 트랜지스터 디바이스들을 형성한다.
[0003] 수직으로 스태킹된 디바이스들의 밀도가 증가됨에 따라, 피처들의 종횡비가 대응하여 증가된다. 종횡비들에서의 증가에 따라, 균일한 에칭 프로파일을 달성하는 것이 더 어렵게 된다. 균일한 에칭 프로파일을 달성하기 위한 하나의 종래의 접근법은 다중-동작(multi-operation) 에칭 레시피의 사용이다. 제 1 동작은 측벽들을 개방(open)하고, 그에 이어서, 고-에너지 충격(bombardment)의 후속 동작들이 후속되어, 균일한 에칭 프로파일을 갖는 직선의(straight) 벽이 형성된다. 그러나, 종횡비들이 증가됨에 따라, 종래의 다중-동작 에칭 레시피들을 사용하여, 균일한 에칭 프로파일을 달성하는 것이 더 어렵게 되었다. 다른 종래의 접근법에서, 이방성(anisotropic) 에칭을 제공하기 위해, 매우 높은 이온 충격을 이용하는 단일 동작 에칭 레시피가 사용된다. 그러나, 종래의 단일 동작 에칭 레시피들을 사용하여 직선의 에칭 프로파일을 달성하기 위해 요구되는 고 에너지들은, 구조의 상단 표면 상의 플라즈마 손상을 야기한다.
[0004] 따라서, 균일한 에칭 프로파일들을 달성하기 위한 부가적인 방법들에 대한 필요성이 존재한다.
[0005] 본 개시의 구현들은 일반적으로, 고 종횡비 피처 정의들을 포함하는 박막들, 및 그러한 박막들을 형성하기 위한 방법들에 관한 것이다. 일 구현에서, 고 종횡비 피처를 형성하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버에 기판을 위치시키고, 진공의 존재 시에 기판 상에 하나 또는 그 초과의 실리콘 산화물/실리콘 질화물 함유 스택들을 증착하는 단계를 포함한다. 하나 또는 그 초과의 실리콘 산화물/실리콘 질화물 함유 스택들을 증착하는 단계는, 제 1 플라즈마로 제 1 프로세스 가스를 에너자이징(energizing)하는 단계, 제 1 플라즈마로부터 기판 상에 제 1 막 층을 증착하는 단계, 제 2 플라즈마로 제 2 프로세스 가스를 에너자이징하는 단계, 제 2 플라즈마로부터 제 1 막 층 상에 제 2 막 층을 증착하는 단계를 포함하며, 제 2 막 층은 제 1 굴절률을 갖는다. 위의 동작들은, 미리 결정된 수의 제 1 막 층들 및 제 2 막 층들이 기판 상에 증착될 때까지, 반복되고, 제 1 막 층 및 제 2 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 제 1 막 층은 제 2 막 층과 상이하다. 하나 또는 그 초과의 실리콘 산화물/실리콘 질화물 함유 스택들을 증착하는 단계는, 제 3 플라즈마로 제 3 프로세스 가스를 에너자이징하는 단계, 제 3 플라즈마로부터 이전의 층 상에 제 3 막 층을 증착하는 단계, 제 4 플라즈마로 제 4 프로세스 가스를 에너자이징하는 단계, 제 4 플라즈마로부터 제 3 막 층 상에 제 4 막 층을 증착하는 단계 ― 제 4 막 층은 제 2 막 층의 굴절률보다 더 큰 굴절률을 가짐 ―, 및 미리 결정된 수의 제 3 막 층들 및 제 4 막 층들이 기판 상에 증착될 때까지, 위의 동작들을 반복하는 단계를 더 포함하며, 제 3 막 층 및 제 4 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 제 3 막 층은 제 4 막 층과 상이하다.
[0006] 다른 구현에서, 고 종횡비 피처들을 갖는 막 구조가 제공된다. 막 구조는, 기판 상에 형성된 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들을 포함하며, 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들은, 기판 상에 형성된 제 1 막 층, 제 1 막 층 상에 형성된 제 2 막 층을 포함하고, 제 2 막 층은 제 1 굴절률을 갖고, 제 1 막 층 및 제 2 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 제 1 막 층은 제 2 막 층과 상이하다. 막 구조는, 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들 상에 형성된 하나 또는 그 초과의 제 2 실리콘 산화물/실리콘 질화물 함유 스택들을 더 포함하며, 하나 또는 그 초과의 제 2 실리콘 산화물/실리콘 질화물 함유 스택들은, 이전의 층 상에 형성된 제 3 막 층, 및 제 3 막 층 상에 형성된 제 4 막 층을 포함하고, 제 4 막 층은 제 2 막 층의 굴절률보다 더 큰 굴절률을 갖고, 제 3 막 층 및 제 4 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 제 3 막 층은 제 4 막 층과 상이하다.
[0007] 또 다른 구현에서, 고 종횡비 피처들을 갖는 막 구조가 제공된다. 막 구조는, 기판 상에 형성된 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들을 포함하며, 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들은, 기판 상에 형성된 제 1 막 층, 및 제 1 막 층 상에 형성된 제 2 막 층을 포함하고, 제 2 막 층은 제 1 굴절률을 갖고, 제 1 막 층 및 제 2 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 제 1 막 층은 제 2 막 층과 상이하다. 막 구조는, 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들 상에 형성된 하나 또는 그 초과의 제 2 실리콘 산화물/실리콘 질화물 함유 스택들을 더 포함하며, 하나 또는 그 초과의 제 2 실리콘 산화물/실리콘 질화물 함유 스택들은, 이전의 층 상에 형성된 제 3 막 층, 및 제 3 막 층 상에 형성된 제 4 막 층을 포함하고, 제 4 막 층은 제 2 막 층의 굴절률보다 더 큰 굴절률을 갖고, 제 3 막 층 및 제 4 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 제 3 막 층은 제 4 막 층과 상이하다. 막 구조는, 하나 또는 그 초과의 제 2 실리콘 산화물/실리콘 질화물 함유 스택들 상에 형성된 하나 또는 그 초과의 제 3 실리콘 산화물/실리콘 질화물 함유 스택들을 더 포함하며, 하나 또는 그 초과의 제 3 실리콘 산화물/실리콘 질화물 함유 스택들은, 이전의 층 상에 형성된 제 5 막 층, 및 제 5 막 층 상에 형성된 제 6 막 층을 포함하고, 제 6 막 층은 제 4 막 층의 굴절률보다 더 큰 굴절률을 갖고, 제 5 막 층 및 제 6 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 제 5 막 층은 제 6 막 층과 상이하고, 제 2 막 층의 굴절률은 약 1.85 내지 약 1.90이고, 제 4 막 층의 굴절률은 약 1.91 내지 약 1.95이고, 제 6 막 층의 굴절률은 약 1.95 내지 약 2.1이다.
[0008] 본 개시의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시의 단지 전형적인 구현들을 도시하는 것이므로 본 개시의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시가 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0009] 도 1은, 본원에서 설명되는 구현들에 따라 막 층들을 형성하기 위해 활용될 수 있는 프로세싱 챔버의 개략적인 측면도를 도시한다.
[0010] 도 2a 내지 도 2b는, 본원에서 설명되는 구현들에 따라 박막들에 고 종횡비 피처 정의들을 형성하는 방법의 흐름도를 도시한다.
[0011] 도 3a 내지 도 3c는, 도 2에서 도시된 방법을 활용하여 기판 상에 형성된 막 구조의 단면도들을 도시한다.
[0012] 도 4는, 실리콘 질화물 재료의 굴절률과 관련된, 실리콘 질화물 재료에 대한 건식 에칭 레이트(Å/초)를 도시하는 플롯(plot)이다.
[0013] 도 5a는, 종래 기술 방법들에 따른, 일정한 굴절률을 갖는 실리콘 질화물 함유 구조에 형성된 트렌치의 에칭 프로파일을 나타내는 마이크로그래프(micrograph)이다.
[0014] 도 5b는, 본원에서 설명되는 구현들에 따른, 굴절률 그레이디언트를 갖는 실리콘 질화물 함유 구조에 형성된 트렌치의 에칭 프로파일을 나타내는 마이크로그래프이다.
[0015] 도 5c는, 본원에서 설명되는 구현들에 따른, 굴절률 그레이디언트를 갖는 실리콘 질화물 함유 구조에 형성된 다른 트렌치의 에칭 프로파일을 나타내는 마이크로그래프이다.
[0016] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 구현에서 개시된 엘리먼트들이, 구체적인 설명 없이 다른 구현들에 대해 유익하게 사용될 수 있다는 것이 고려된다.
[0017] 다음의 개시는, 고 종횡비 피처들이 내부에 형성된 박막들, 박막들의 증착 및 그러한 박막들에서의 고 종횡비 피처의 형성을 위한 프로세스들, 및 전술된 프로세스들을 수행하기 위한 디바이스들을 설명한다. 본 개시의 다양한 구현들의 완전한 이해를 제공하기 위해, 특정한 세부사항들이 다음의 설명에서 그리고 도 1 내지 도 5에서 설명된다. 박막들의 증착과 종종 연관되는 잘-알려진 방법들 및 시스템들을 설명하는 다른 세부사항들은, 다양한 구현들의 설명을 불필요하게 불명료히 하는 것을 회피하기 위해, 다음의 개시에서 설명되지 않는다.
[0018] 본원에서 설명되는 세부사항들, 컴포넌트들, 및 다른 피처들 중 다수는, 단지, 특정한 구현들의 예시일 뿐이다. 따라서, 다른 구현들은, 본 개시의 범위 또는 사상으로부터 벗어나지 않으면서, 다른 세부사항들, 컴포넌트들, 및 피처들을 가질 수 있다. 부가하여, 아래에서 설명되는 세부사항들 중 몇몇이 없이, 본 개시의 추가적인 구현들이 실시될 수 있다.
[0019] 다른 증착 챔버들이 또한, 본 개시로부터 이익을 얻을 수 있고, 본원에서 개시되는 파라미터들은, 본원에서 설명되는 3D NAND 게이트 스택들을 형성하기 위해 사용되는 특정한 증착 챔버에 따라 변화될 수 있다. 예컨대, 다른 증착 챔버들은 더 큰 또는 더 작은 볼륨을 가질 수 있고, 그에 따라, Applied Materials, Inc.로부터 입수가능한 증착 챔버들에 대해 열거된 가스 유량들보다 더 많거나 또는 더 적은 가스 유량들을 요구할 수 있다.
[0020] 게이트 높이가 증가됨에 따라, 3D NAND 게이트 스택들은 매우 높은 종횡비 에칭을 수반한다. 에칭 기법들의 현재의 제한들로 인해, 수직 에칭 프로파일은 전형적으로, 게이트 스택 내로의 깊이가 증가됨에 따라, 테이퍼링(taper)한다. 본 발명자들은, 새로운(novel) 플라즈마-강화 화학 기상 증착(PECVD) 막 증착 방법에 의해 딥(deep) 트렌치들에서의 에칭 성능 저하를 보상하는 고유한 증착 스킴(scheme)을 고안하였다. 본 발명자들은, 증착-직후의(as-deposited) 막들(예컨대, 실리콘 질화물)의 다양한 특성들(예컨대, 막의 굴절률, 응력(stress), 막에서의 도펀트 농도)을 그레이딩(grading)함으로써, 건식 및 습식 에칭 레이트들 양자 모두에서의 차이들을 보상하여, 더 균일한 에칭 프로파일이 달성될 수 있다는 것을 발견하였다. 예컨대, 스택 높이가 증가됨에 따라, 1.90 내지 2.1로 굴절률을 그레이딩함으로써, 건식 및 습식 에칭 레이트들이 최대 20 %만큼 조절될 수 있고, 그에 따라, 에칭 프로파일이 더 균일하게 될 수 있다는 것이 발견되었다. 본원에서 3D NAND 디바이스들에 관하여 설명되지만, 본원에서 설명되는 구현들은 또한, 증가되는 두께에서 감소되는 에칭 프로파일 균일성을 나타내는 다른 증착-에칭 통합 스킴들에 대해 적용가능하다.
[0021] 도 1은, 본원에서 설명되는 구현들에 따라 막 층들을 형성하기 위해 활용될 수 있는 프로세싱 챔버(100)의 개략적인 측면도를 도시한다. 프로세싱 챔버(100)는, 챔버 바디(102), 챔버 바디(102) 내부에 배치된 기판 지지부(104), 및 챔버 바디(102)에 커플링되고 프로세싱 볼륨(120)에 기판 지지부(104)를 인클로징(enclosing)하는 덮개 어셈블리(106)를 특징으로 한다. 기판(302)은 개구(126)를 통해 프로세싱 볼륨(120)에 제공되고, 개구(126)는 통상적으로, 프로세싱을 위해 도어를 사용하여 밀봉될 수 있다. 기판 지지부(104)는, 화살표(145)에 의해 표시된 바와 같이, 기판 지지부(104)의 샤프트(144)가 위치된 축(147)을 따라 회전가능할 수 있다. 대안적으로, 기판 지지부(104)는, 증착 프로세스 동안에, 필요에 따라, 회전하기 위해 리프팅될 수 있다.
[0022] 플라즈마 프로파일 조절기(modulator)(111)가, 기판 지지부(104) 상에 배치된 기판(302)에 걸친 플라즈마 분배(distribution)를 제어하기 위해, 프로세싱 챔버(100)에 배치될 수 있다. 플라즈마 프로파일 조절기(111)는, 챔버 바디(102) 근처에 배치될 수 있고 덮개 어셈블리(106)의 다른 컴포넌트들로부터 챔버 바디(102)를 분리시킬 수 있는 제 1 전극(108)을 포함한다. 제 1 전극(108)은 덮개 어셈블리(106)의 일부일 수 있거나, 또는 개별적인 측벽 전극일 수 있다. 제 1 전극(108)은 환상 또는 링-형 부재일 수 있고, 링 전극일 수 있다. 제 1 전극(108)은, 프로세싱 볼륨(120)을 둘러싸는, 프로세싱 챔버(100)의 둘레 주위의 연속적인 루프일 수 있거나, 또는 원하는 경우에, 선택된 위치들에서 불연속적일 수 있다. 제 1 전극(108)은 또한, 메시(mesh) 전극 또는 천공된 링과 같은 천공된 전극일 수 있다. 제 1 전극(108)은 또한, 플레이트 전극, 예컨대 이차 가스 분배기일 수 있다.
[0023] 유전체 재료, 예컨대 세라믹 또는 금속 산화물, 예를 들어 알루미늄 산화물 및/또는 알루미늄 질화물일 수 있는 하나 또는 그 초과의 아이솔레이터(isolator)들(110a, 110b)(일괄적으로, 110)이 제 1 전극(108)과 접촉하고, 가스 분배기(112)로부터 그리고 챔버 바디(102)로부터 제 1 전극(108)을 전기적으로 그리고 열적으로 분리시킨다. 가스 분배기(112)는 프로세싱 볼륨(120) 내로의 프로세스 가스들의 진입을 허용하기 위한 개구들(118)을 특징으로 한다. 가스 분배기(112)는 전력의 제 1 소스(제 1 전력 소스)(142), 예컨대 RF 생성기, RF 전력 소스에 커플링될 수 있고, DC 전력, 펄스형 DC 전력, 및 펄스형 RF 전력이 또한 사용될 수 있다. 일 구현에서, 전력의 제 1 소스(142)는 RF 전력 소스이다.
[0024] 가스 분배기(112)는 전도성 가스 분배기 또는 비-전도성 가스 분배기일 수 있다. 가스 분배기(112)는 또한, 전도성 및 비-전도성 컴포넌트들로 제조될 수 있다. 예컨대, 가스 분배기(112)의 바디는 전도성일 수 있는 한편, 가스 분배기(112)의 페이스 플레이트는 비-전도성이다. 가스 분배기(112)는, 예컨대, 도 1에서 도시된 바와 같은 전력의 제 1 소스(142)에 의해 전력공급될 수 있거나, 또는 가스 분배기(112)는 접지에 커플링될 수 있다.
[0025] 제 1 전극(108)은, 프로세싱 챔버(100)의 접지 경로를 제어하는 제 1 튜닝 회로(128)에 커플링될 수 있다. 제 1 튜닝 회로(128)는 제 1 전자 센서(130) 및 제 1 전자 제어기(134)를 포함한다. 제 1 전자 제어기(134)는 가변 캐패시터 또는 다른 회로 엘리먼트(들)일 수 있거나, 또는 가변 캐패시터 또는 다른 회로 엘리먼트(들)를 포함할 수 있다. 제 1 튜닝 회로(128)는 하나 또는 그 초과의 인덕터들(132)일 수 있거나, 또는 하나 또는 그 초과의 인덕터들(132)을 포함할 수 있다. 제 1 튜닝 회로(128)는, 프로세싱 동안에, 프로세싱 볼륨(120)에 존재하는 플라즈마 조건들 하에서의 가변 또는 제어가능한 임피던스를 가능하게 하는 임의의 회로일 수 있다. 도 1의 구현에서, 제 1 튜닝 회로(128)는, 제 1 전자 센서(130)와 접지 사이에서 병렬로 커플링된, 제 1 회로 레그(leg)와 제 2 회로 레그를 특징으로 한다. 제 1 회로 레그는 제 1 인덕터(132A)를 포함한다. 제 2 회로 레그는, 제 1 전자 제어기(134)와 직렬로 커플링된 제 2 인덕터(132B)를 포함한다. 제 2 인덕터(132B)는, 제 1 전자 센서(130)에 제 1 및 제 2 회로 레그들 양자 모두를 연결시키는 노드와 제 1 전자 제어기(134) 사이에 배치될 수 있다. 제 1 전자 센서(130)는 전압 또는 전류 센서일 수 있고, 프로세싱 볼륨(120) 내부의 플라즈마 조건들의 폐루프 제어의 정도를 제공하기 위해 제 1 전자 제어기(134)에 커플링될 수 있다.
[0026] 제 2 전극(122)은 기판 지지부(104)에 커플링될 수 있다. 제 2 전극(122)은 기판 지지부(104) 내에 임베딩될(embedded) 수 있거나, 또는 기판 지지부(104)의 표면에 커플링될 수 있다. 제 2 전극(122)은 플레이트, 천공된 플레이트, 메시, 와이어 스크린(wire screen), 또는 전도성 엘리먼트들의 임의의 다른 분배된 배열일 수 있다. 제 2 전극(122)은 튜닝 전극일 수 있고, 예컨대, 기판 지지부(104)의 샤프트(144)에 배치된 50 옴(Ω)과 같은 선택된 저항을 갖는 케이블과 같은 도관(146)에 의해 제 2 튜닝 회로(136)에 커플링될 수 있다. 제 2 튜닝 회로(136)는, 제 2 가변 캐패시터일 수 있는 제 2 전자 제어기(140) 및 제 2 전자 센서(138)를 가질 수 있다. 제 2 전자 센서(138)는 전압 또는 전류 센서일 수 있고, 프로세싱 볼륨(120)에서의 플라즈마 조건들에 대한 추가적인 제어를 제공하기 위해 제 2 전자 제어기(140)에 커플링될 수 있다.
[0027] 바이어스 전극 및/또는 정전 척킹(chucking) 전극일 수 있는 제 3 전극(124)이 기판 지지부(104)에 커플링될 수 있다. 제 3 전극은, 임피던스 정합 회로일 수 있는 필터(148)를 통해 전력의 제 2 소스(제 2 전력 소스)(150)에 커플링될 수 있다. 전력의 제 2 소스(150)는 DC 전력, 펄스형 DC 전력, RF 바이어스 전력, 펄스형 RF 소스 또는 바이어스 전력, 또는 이들의 조합일 수 있다. 일 구현에서, 전력의 제 2 소스(150)는 RF 바이어스 전력이다.
[0028] 도 1의 기판 지지부(104) 및 덮개 어셈블리(106)는, 플라즈마 또는 열 프로세싱을 위한 임의의 프로세싱 챔버와 함께 사용될 수 있다. 덮개 어셈블리(106) 및 기판 지지부(104)가 유익하게 사용될 수 있는 플라즈마 프로세싱 챔버의 일 예는, 캘리포니아, 산타클라라에 위치된 Applied Materials, Inc.로부터 입수가능한 PRODUCER® 또는 PRECISION® 플랫폼 및 챔버들이다. 다른 제조자들로부터의 챔버들이 또한, 위에서 설명된 컴포넌트들과 함께 사용될 수 있다.
[0029] 동작 시에, 프로세싱 챔버(100)는 프로세싱 볼륨(120)에서의 플라즈마 조건들의 실시간 제어를 제공한다. 기판(302)이 기판 지지부(104) 상에 배치되고, 프로세스 가스들이, 임의의 원하는 유동 플랜(plan)에 따라, 유입구(114)를 사용하여, 덮개 어셈블리(106)를 통해 유동된다. 가스들은 배출구(152)를 통해 프로세싱 챔버(100)에서 빠져나간다. 프로세싱 볼륨(120)에서 플라즈마를 설정(establish)하기 위해, 가스 분배기(112)에 전력이 커플링된다. 기판은, 원하는 경우에, 제 3 전극(124)을 사용하여, 전기 바이어스를 받을 수 있다.
[0030] 프로세싱 볼륨(120)에서 플라즈마를 에너자이징할 시에, 제 1 전극(108)과 플라즈마 사이에 전위차가 설정된다. 또한, 제 2 전극(122)과 플라즈마 사이에 전위차가 설정된다. 그 후에, 전자 제어기들(134, 140)이, 2개의 튜닝 회로들(128 및 136)에 의해 표현된 접지 경로들의 유동 특성들을 조정하기 위해 사용될 수 있다. 중심으로부터 에지까지의 플라즈마 밀도 균일성 및 증착 레이트의 독립적인 제어를 제공하기 위해, 제 1 튜닝 회로(128) 및 제 2 튜닝 회로(136)에 세트 포인트가 전달될 수 있다. 전자 제어기들이 양자 모두 가변 캐패시터들인 구현에서, 전자 센서들은 독립적으로, 증착 레이트를 최대화하고 두께 불-균일성을 최소화하기 위해, 가변 캐패시터들을 조정할 수 있다.
[0031] 튜닝 회로들(128, 136) 각각은, 각각의 전자 제어기들(134, 140)을 사용하여 조정될 수 있는 가변 임피던스를 갖는다. 전자 제어기들(134, 140)이 가변 캐패시터들인 경우에, 가변 캐패시터들 각각의 캐패시턴스 범위, 및 제 1 인덕터(132A) 및 제 2 인덕터(132B)의 인덕턴스들은, 플라즈마의 주파수 및 전압 특성들에 따라, 각각의 가변 캐패시터의 캐패시턴스 범위에서 최소치를 갖는 임피던스 범위를 제공하도록 선택된다. 따라서, 제 1 전자 제어기(134)의 캐패시턴스가 최소 또는 최대인 경우에, 제 1 튜닝 회로(128)의 임피던스는 높고, 그에 따라, 기판 지지부에 길친 최소의 에어리얼(aerial)(측방향(lateral)) 커버리지를 갖는 플라즈마 형상이 야기된다. 제 1 전자 제어기(134)의 캐패시턴스가 제 1 튜닝 회로(128)의 임피던스를 최소화하는 값에 접근하는 경우에, 플라즈마의 에어리얼 커버리지가 최대로 성장되어, 기판 지지부(104)의 전체 작업 영역을 유효하게 커버링한다. 제 1 전자 제어기(134)의 캐패시턴스가 최소 임피던스 세팅으로부터 벗어남에 따라, 플라즈마 형상이 챔버 벽들로부터 축소되고, 기판 지지부의 에어리얼 커버리지가 감소된다. 제 2 전자 제어기(140)는, 제 2 전자 제어기(140)의 캐패시턴스가 변화됨에 따라, 기판 지지부에 걸친 플라즈마의 에어리얼 커버리지를 증가시키고 감소시키는 유사한 효과를 갖는다.
[0032] 전자 센서들(130, 138)은, 폐루프로 각각의 회로들(128, 136)을 튜닝하기 위해 사용될 수 있다. 사용되는 센서의 타입에 따라, 전류 또는 전압에 대한 세트 포인트가 각각의 센서에 설치될 수 있고, 세트 포인트로부터 벗어나는 것을 최소화하기 위해, 각각의 개별 전자 제어기(134, 140)에 대한 조정을 결정하는 제어 소프트웨어가 센서에 제공될 수 있다. 이러한 방식으로, 플라즈마 형상이 선택될 수 있고, 프로세싱 동안에, 동적으로 제어될 수 있다. 전술된 논의가, 가변 캐패시터들인 전자 제어기들(134, 140)에 기초하지만, 조정가능한 특성을 갖는 임의의 전자 컴포넌트가, 조정가능한 임피던스를 튜닝 회로들(128 및 136)에 제공하기 위해 사용될 수 있다는 것을 주목해야 한다.
[0033] 도 2a 및 도 2b는, 본원에서 설명되는 구현들에 따른, 박막들에 고 종횡비 피처 정의들을 형성하는 방법(200)의 흐름도를 도시한다. 고 종횡비 피처 정의들은, 적어도 약 5:1 또는 그 초과(예컨대, an 종횡비 of 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 12:1, 16:7 또는 그 초과, 또는 심지어 약 10:1 내지 약 20:1)의 고 높이 대 폭 종횡비들(홀의 폭에 의해 제산된 베어(bare) 홀의 높이의 비율)을 갖는 피처들을 포함한다. 본원에서 설명되는 구현들을 사용하여 형성될 수 있는 예시적인 피처 정의들은, 비아들, 트렌치들, 라인들, 콘택 홀들, 스루-홀들, 또는 고 비율 콘택 플러그(contact plug)들과 같은, 반도체, 솔라(solar), 또는 다른 전자 디바이스들에서 활용되는 다른 피처 정의들을 포함한다.
[0034] 도 3a 내지 도 3c는, 도 2a 및 도 2b에서 도시된 방법을 활용하여 기판(302) 상에서 고 종횡비 피처들이 내부에 형성된 막 구조(300)의 단면도를 도시한다. 일 구현에서, 막 구조(300)는, 3차원(3D) NAND 반도체 애플리케이션들을 위한 게이트 구조들을 형성하기 위해 활용될 수 있다. 3차원(3D) NAND 반도체 애플리케이션들의 제조 시에, 회로 밀도를 증가시키기 위해, 구조들의 계단-형 산화물-질화물 쌍들이 종종, 고 종횡비 게이트 스택 NAND 셀들에 대해 활용된다.
[0035] 막 구조(300)는 기판(302)의 선택적인 베이스 층(304) 상에 형성될 수 있다. 선택적인 베이스 층(304)은 제 1 재료(304a) 및 제 2 재료(304b)를 포함하는 패터닝된 층일 수 있다. 막 구조(300)는, 선택적인 베이스 층(304) 상에 순차적으로 형성된 복수의 재료 층 스택들(3061, 3062, 3063...306n)(일괄적으로, 306)을 갖는다. 각각의 재료 층 스택(306)은, 제 1 막 층(3081, 3082, 3083...308n)(일괄적으로, 308), 및 그 제 1 막 층 상에 형성된 제 2 막 층(3101, 3102, 3103...310n)(일괄적으로, 310)을 포함할 수 있고, 그에 따라, 막 구조(300)는, 교번하여 형성된, 복수의 제 1 막 층들(308) 및 제 2 막 층들(310)을 포함한다. 막들의 다양한 막 특성들(예컨대, 막의 굴절률, 응력, 막에서의 도펀트 농도)이, 피처 깊이가 증가됨에 따른 건식 및 습식 에칭 레이트들에서의 차이들을 보상함으로써, 더 균일한 에칭 프로파일을 달성하기 위해, 막 구조(300) 전반에 걸쳐 그레이딩될 수 있다. 일 구현에서, 복수의 제 1 막 층들(308)은 실리콘 산화물 층들이고, 복수의 제 2 막 층들(310)은 실리콘 질화물 층들이다. 복수의 재료 층 스택들(306)은, 프로세싱 챔버(100)와 같은 하나의 프로세싱 챔버에서 PECVD 증착 기법들에 의해 형성될 수 있다.
[0036] 추가적인 구현들에서, 제 1 재료 층/제 2 재료 층 스택들은, 산화물/실리콘, 실리콘/도핑된 실리콘, 또는 실리콘/질화물일 수 있다. 재료들의 모든 이러한 조합들은, BiCS(Bit-Cost Scalable), TCAT(Terabit Cell Array Transistor), 및 다른 3D 메모리 구조들에서 사용될 수 있다. 다른 구현들에서, 제 1 재료 층/제 2 재료 층 스택들은 재료들의 다른 조합들일 수 있다. 기판(302) 상의 제 1 막 층들(308) 및 제 2 막 층들(310)의 증착 순서는 또한, 반대로 될 수 있다.
[0037] 층들의 수는, 제조되는 메모리 디바이스에 따라 좌우될 수 있다. 일 실시예에서, 스택 수들은 8x일 수 있거나, 또는 16x일 수 있거나, 또는 24x일 수 있거나, 또는 한층 더 높을 수 있고, 여기에서, 8개, 16개, 24개, 32개, 64개, 128개, 또는 그 초과의 층들의 각각의 스택은 하나의 메모리 디바이스에 대응한다. 상이한 재료들의 2개의 층들이 각각의 스택을 형성하고, 따라서, 8x 스택 수에 대한 층들의 대응하는 수는 16일 수 있고, 16x 스택 수는 32개의 층들을 가질 수 있고, 24x 스택 수는 48개의 층들을 가질 수 있고, 더 높은 스택 수는, 각각, 더 높은 수의 층들을 가질 수 있다.
[0038] 방법(200)은, 도 3a에서 도시된 기판(302)과 같은 기판이, 도 1에서 도시된 프로세싱 챔버(100) 또는 다른 적합한 프로세싱 챔버와 같은 프로세싱 챔버 내에 위치되는 동작(210)에서 시작된다. 도 3a에서 도시된 기판(302)은 기판(302) 상에 형성된 선택적인 베이스 층(304)을 포함한다. 선택적인 베이스 층(304)이 존재하지 않는 구현들에서, 막 구조(300)는 기판(302)의 표면 바로 위에 형성될 수 있다. 일 구현에서, 기판(302)은 실질적으로 평탄한 표면, 평탄하지 않은 표면, 또는 위에 구조가 형성된 실질적으로 평탄한 표면을 가질 수 있다. 기판(302)은, 재료, 예컨대, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드(strained) 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 및 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어일 수 있다. 기판(302)은, 직사각형 또는 정사각형 패널들, 뿐만 아니라, 200 mm 또는 300 mm 직경의 웨이퍼들과 같이 다양한 치수들을 가질 수 있다. 다르게 언급되지 않는 한, 본원에서 설명되는 구현들 및 예들은, 200 mm 직경, 300 mm 직경, 또는 450 mm 직경을 갖는 기판들 상에서 실시된다. 일 구현에서, 기판(302)은 결정질 실리콘 기판일 수 있다.
[0039] 동작(220)에서, 제 1 재료 층 스택(3061)이 기판(302) 상에 형성된다. 제 1 재료 층 스택(3061)은, 제 1 막 층(3081), 및 제 1 막 층(3081) 상에 형성된 제 2 막 층(3101)을 포함한다. 제 2 막 층(3101)은 제 1 굴절률을 갖는다. 본 구현에서, 다양한 막 층들의 굴절률이, 막 구조 전반에 걸쳐 변화되지만, 본원에서 설명되는 구현들이 또한, 막 구조(300) 내에서 다른 막 특성들(예컨대, 막 응력, 도펀트 농도)을 변화시키는 것에 대해 적용가능하다는 것이 이해되어야 한다.
[0040] 동작(222)에서, 제 1 막 층(3081)이 기판(302) 상에 형성된다. 제 1 막 층(3081)은, 실리콘 산화물-함유 층, 실리콘 질화물-함유 층, 실리콘-함유 층, 예컨대 비정질 실리콘, 다결정질 실리콘, 또는 임의의 적합한 결정질 실리콘 층들일 수 있다. 도 3a에서 도시된 구현에서, 제 1 재료 층 스택(3061)은, 실리콘 산화물 층과 같은 실리콘 산화물-함유 층이다.
[0041] 동작(222) 동안에, 증착 가스 혼합물이 프로세싱 챔버 내로 제공된다. 증착 가스 혼합물은 실리콘-함유 가스 및 반응 가스를 포함할 수 있다. 실리콘-함유 가스의 적합한 예들은, 실란(SiH4), 디실란(Si2H6), 실리콘 사플루오르화물(SiF4), 실리콘 사염화물(SiCl4), 디클로로실란(SiH2Cl2), 테트라에틸 오르토실리케이트(TEOS) 등을 포함하지만 이에 제한되지는 않는다.
[0042] 반응 가스는, 실리콘 산화물-함유 층을 형성하기 위한 산소-함유 가스, 실리콘 질화물 함유 층을 형성하기 위한 질소-함유 가스, 또는 실리콘 탄화물 함유 층을 형성하기 위한 탄소 함유 가스일 수 있다. 산소-함유 가스의 적합한 예들은 O2, N2O, NO2, O3, H2O 등을 포함한다. 질소-함유 가스의 적합한 예들은 N2, N2O, NO2, NH3, N2H2 등을 포함한다. 탄소 함유 가스의 적합한 예들은 CO2, CO, CH4, CF4, 다른 적합한 탄소 계 폴리머 가스들 등을 포함한다.
[0043] 본원에서 도시되는 일 구현에서, 도 3a에서 도시된, 실리콘 산화물-함유 층과 같은 제 1 막 층(3081)을 형성하기 위해, 실리콘-함유 가스는 TEOS이고, 반응 가스는 N2O와 같은 산소-함유 가스이다.
[0044] 일 구현에서, TEOS 가스와 같은 실리콘-함유 가스와 산소-함유 가스(O2, N2O, NO2, O3, 및 H2O)와 같은 반응 가스의 가스 비율은, 가스 혼합물의 반응 거동(behavior)을 제어함으로써, 형성되는 실리콘 막에서 원하는 비율의 산소 엘리먼트들을 허용하기 위해, 유지된다. 일 구현에서, 실리콘-함유 가스(예컨대, TEOS 가스)는, 300 mm 기판에 대해, 약 500 mgm 내지 약 3500 mgm(예컨대, 약 500 mgm 내지 약 1000 mgm; 약 1100 mgm 내지 약 2000 mgm; 약 2100 mgm 내지 약 3500 mgm)의 유량으로 공급될 수 있고, 산소-함유 가스(예컨대, N2O)는, 300 mm 기판에 대해, 약 500 sccm 내지 약 9000 sccm(예컨대, 약 500 sccm 내지 약 2500 sccm; 약 3000 sccm 내지 약 6000 sccm; 약 6500 sccm 내지 약 9500 sccm)의 유량으로 공급될 수 있다. TEOS 가스와 N2O 가스의 가스 혼합물은, 약 1:1 내지 약 1:150, 예컨대 약 1:1 내지 약 1:120, 예를 들어 약 1:100의 TEOS 대 N2O의 비율로 공급될 수 있다.
[0045] 대안적으로, 하나 또는 그 초과의 비활성 가스들이, 프로세싱 챔버(100)에 제공되는 증착 가스 혼합물에 포함될 수 있다. 비활성 가스는, 노블(noble) 가스, 예컨대 Ar, He 및 Xe, 또는 N2 등을 포함할 수 있지만 이에 제한되지는 않는다. 비활성 가스는, 300 mm 기판에 대해, 약 0 sccm 내지 약 5000 sccm(약 100 sccm 내지 약 1500 sccm; 약 2000 sccm 내지 약 4000 sccm)의 유량으로 프로세싱 챔버(100)에 공급될 수 있다. 비활성 가스는, 약 1:1 내지 약 1:150의 비활성 가스 대 TEOS 가스의 유동 비율로 프로세싱 챔버(100)에 공급될 수 있다. 몇몇 구현들에서, 비활성 가스의 유동은, 프로세스 챔버 내로 증착 가스의 유동을 공급하기 전에, 개시될 수 있다.
[0046] 본원에서 설명되는 일 구현에서, 도 3a에서 도시된, 실리콘 산화물-함유 층과 같은 제 1 막 층(3081)을 형성하기 위해, 실리콘-함유 가스는 TEOS이고, 반응 가스는 N2O와 같은 산소-함유 가스이고, 비활성 가스는 아르곤이다.
[0047] 증착 가스 혼합물이 프로세싱 챔버 내로 공급되면서, 수개의 프로세스 파라미터들이 조절된다. 일 구현에서, 증착 프로세싱 챔버에서의 프로세스 가스 혼합물의 압력은 약 10 mTorr 내지 약 15 Torr로 조절되고, 기판 온도는 섭씨 약 200 도 내지 섭씨 약 700 도로 유지된다.
[0048] 동작(222) 동안에, 프로세싱 챔버 내로 증착 가스 혼합물을 공급하면서, RF 소스 전력이, 전력의 제 1 소스(142)(도 1에서 도시됨)에 의해 생성될 수 있고, 플라즈마에서의 반응성 종으로 증착 가스 혼합물을 해리(dissociating)시키는 것을 보조하기 위해 가스 혼합물에 커플링될 수 있다. 몇몇 구현들에서, RF 소스 전력은, 프로세스 챔버 내로 증착 가스를 공급하기 전에, 생성될 수 있다.
[0049] RF 소스 및/또는 바이어스 전력은, 플라즈마가 지속될(sustained) 수 있도록, 프로세싱 볼륨(120) 내의 증착 가스 혼합물을 에너자이징한다. 일 구현에서, 전력의 제 1 소스(142)는, 0.3 MHz 내지 약 14 MHz, 예컨대 약 13.56 MHz의 주파수에서의 RF 전력을 제공하도록 동작될 수 있다. 전력의 제 1 소스(142)는, 약 10 와트 내지 약 5000 와트(예컨대, 약 300 와트 내지 약 1500 와트; 약 500 와트)에서의 RF 전력을 생성할 수 있다. 몇몇 구현들에서, RF 소스 전력에 부가하여, 전력의 제 2 소스(150)(도 1에서 도시됨)에 의해 제공되는 RF 바이어스 전력이 또한, 증착 가스 혼합물을 해리시켜서 플라즈마를 형성하는 것을 보조하기 위해, 증착 프로세스 동안에, 활용될 수 있다. 일 구현에서, 전력의 제 1 소스(142)는, 0.3 MHz 내지 약 14 MHz, 예컨대 약 13.56 MHz의 주파수에서의 RF 전력을 제공하도록 동작될 수 있다. RF 바이어스 전력은, 300 kHz의 주파수에서 약 0 와트 내지 약 1000 와트(예컨대, 약 10 와트 내지 약 100 와트)로 공급될 수 있다. 일 구현에서, RF 바이어스 전력은, 약 500 Hz 내지 약 10 kHz의 RF 주파수에서 약 10 내지 약 95 퍼센트의 듀티 사이클로 펄싱될 수 있다.
[0050] 게다가, 기판(302)에 걸친 플라즈마의 분배 및 프로파일을 제어하는 것을 보조하기 위해, 플라즈마 프로파일 조절기(111)에 전류/전압이 공급될 수 있다. 일 구현에서, 프로세싱 볼륨(120)에서 생성되는 플라즈마를 제어하는 것을 보조하기 위해, (예컨대, 제 1 전극(108)에 공급되는) 측벽 튜닝 전극 전류 타겟이 약 0.5 암페어 내지 약 40 암페어, 예컨대 약 6 암페어로 세팅되고, (예컨대, 제 2 전극(122)에 공급되는) 기판 지지부 튜닝 전극 전류 타겟이 약 0.5 암페어 내지 약 40 암페어, 예컨대 약 6 암페어로 세팅된다.
[0051] 몇몇 구현들에서, 동작(222) 동안에, 약 300 와트 내지 약 1500 와트의 고 주파수 RF와 약 0 와트 내지 약 1000 와트의 저 주파수 RF의 조합이, 증착 프로세스 동안에, 인가될 수 있다.
[0052] 원하는 두께의 제 1 막 층(3081)이 증착된 후에, 그러면, 증착 프로세스가 종료될 수 있다. 일 구현에서, 제 1 막 층(3081)은 약 10 nm 내지 약 60 nm, 예컨대 약 30 nm의 두께를 가질 수 있다. 제 1 막 층(3081)이 실리콘 산화물 층과 같은 실리콘 산화물-함유 층인 구현에서, 실리콘 산화물-함유 층은 약 0 MPa 내지 약 +1000 MPa의 응력 범위를 가질 수 있다.
[0053] 프로세싱 챔버로부터 잔여의 가스들 또는 증착 부산물들을 펌핑/퍼징하기 위한 선택적인 펌프/퍼지 프로세스가, 제 1 막 층(3081) 상에 제 2 막 층(3101)을 형성하기 전에, 수행될 수 있다. 동작(222) 동안에 비활성 가스가 사용되는 몇몇 구현들에서, 비활성 가스는, RF 전력이 인가되거나 또는 인가되지 않으면서, 퍼지 가스로서 사용될 수 있다.
[0054] 동작(224)에서, 제 2 막 층(3101)이 제 1 막 층(3081) 상에 형성된다. 제 2 막 층(3101)은, 실리콘 산화물-함유 층, 실리콘 질화물-함유 층, 실리콘-함유 층, 예컨대 비정질 실리콘, 다결정질 실리콘, 또는 임의의 적합한 결정질 실리콘 층들일 수 있다. 도 3a에서 도시된 구현에서, 제 2 막 층(3101)은 실리콘 질화물 층과 같은 실리콘 질화물 함유 층이다.
[0055] 동작(224) 동안에, 증착 가스 혼합물이 프로세싱 챔버 내로 제공된다. 증착 가스 혼합물은 실리콘-함유 가스 및 반응 가스를 포함할 수 있다. 실리콘-함유 가스의 적합한 예들은, 실란(SiH4), 디실란(Si2H6), 실리콘 사플루오르화물(SiF4), 실리콘 사염화물(SiCl4), 디클로로실란(SiH2Cl2), 테트라에틸 오르토실리케이트(TEOS) 등을 포함하지만 이에 제한되지는 않는다.
[0056] 반응 가스는, 실리콘 산화물-함유 층을 형성하기 위한 산소-함유 가스, 실리콘 질화물 함유 층을 형성하기 위한 질소-함유 가스, 또는 실리콘 탄화물 함유 층을 형성하기 위한 탄소 함유 가스일 수 있다. 산소-함유 가스의 적합한 예들은 O2, N2O, NO2, O3, H2O 등을 포함한다. 질소-함유 가스의 적합한 예들은 N2, N2O, NO2, NH3, N2H2 등을 포함한다. 탄소 함유 가스의 적합한 예들은 CO2, CO, CH4, CF4, 다른 적합한 탄소 계 폴리머 가스들 등을 포함한다.
[0057] 본원에서 도시되는 일 구현에서, 도 3a에서 도시된 바와 같은, 실리콘 질화물 함유 층과 같은 제 2 막 층(3101)을 형성하기 위해, 실리콘-함유 가스는 SiH4이고, 반응 가스는 NH3 및 N2와 같은 질소-함유 가스이다.
[0058] 일 구현에서, SiH4 가스와 같은 실리콘-함유 가스와 질소-함유 가스(N2, N2O, 또는 NH3)와 같은 반응 가스의 가스 비율은, 가스 혼합물의 반응 거동을 제어함으로써, 형성되는 실리콘 막에서 원하는 비율의 질소 엘리먼트들을 허용하기 위해, 유지된다. 일 구현에서, 실리콘-함유 가스(예컨대, SiH4 가스)는, 300 mm 기판에 대해, 약 30 sccm 내지 약 400 sccm(예컨대, 약 30 sccm 내지 약 100 sccm; 약 150 sccm 내지 약 300 sccm)의 유량으로 공급될 수 있고, 질소-함유 가스(예컨대, NH3)는, 300 mm 기판에 대해, 약 200 sccm 내지 약 9000 sccm(예컨대, 약 200 sccm 내지 약 7000 sccm; 약 500 sccm 내지 약 2500 sccm; 약 3000 sccm 내지 약 6000 sccm; 약 6500 sccm 내지 약 9500 sccm)의 유량으로 공급될 수 있다. SiH4 가스와 NH3 가스의 가스 혼합물은, 약 1:1 내지 약 1:150, 예컨대 약 1:1 내지 약 1:120, 예를 들어 약 1:100의 SiH4 대 NH3의 비율로 공급될 수 있다.
[0059] 대안적으로, 하나 또는 그 초과의 비활성 가스들이, 프로세싱 챔버(100)에 제공되는 증착 가스 혼합물에 포함될 수 있다. 비활성 가스는, 노블 가스, 예컨대 Ar, He 및 Xe, 또는 N2 등을 포함할 수 있지만 이에 제한되지는 않는다. 비활성 가스는, 300 mm 기판에 대해, 약 0 sccm 내지 약 5000 sccm(약 100 sccm 내지 약 1500 sccm; 약 2000 sccm 내지 약 4000 sccm)의 유량으로 프로세싱 챔버(100)에 공급될 수 있다. 비활성 가스는, 약 1:1 내지 약 1:150의 비활성 가스 대 TEOS 가스의 유동 비율로 프로세싱 챔버(100)에 공급될 수 있다. 몇몇 구현들에서, 비활성 가스의 유동은, 프로세스 챔버 내로 증착 가스의 유동을 공급하기 전에, 개시될 수 있다.
[0060] 본원에서 설명되는 일 구현에서, 도 3a에서 도시된, 실리콘 산화물-함유 층과 같은 제 2 막 층(3101)을 형성하기 위해, 실리콘-함유 가스는 SiH4이고, 반응 가스는 NH3 및 N2와 같은 질소-함유 가스이고, 비활성 가스는 아르곤이다.
[0061] 증착 가스 혼합물이 프로세싱 챔버 내로 공급되면서, 수개의 프로세스 파라미터들이 조절된다. 일 구현에서, 증착 프로세싱 챔버에서의 프로세스 가스 혼합물의 압력은 약 10 mTorr 내지 약 15 Torr로 조절되고, 기판 온도는 섭씨 약 200 도 내지 섭씨 약 700 도로 유지된다.
[0062] 동작(222) 동안에, 프로세싱 챔버 내로 증착 가스 혼합물을 공급하면서, RF 소스 전력이, 전력의 제 1 소스(142)(도 1에서 도시됨)에 의해 생성될 수 있고, 플라즈마에서의 반응성 종으로 증착 가스 혼합물을 해리시키는 것을 보조하기 위해 가스 혼합물에 커플링될 수 있다.
[0063] RF 소스 및/또는 바이어스 전력은, 플라즈마가 지속될 수 있도록, 프로세싱 볼륨(120) 내의 증착 가스 혼합물을 에너자이징한다. 일 구현에서, 전력의 제 1 소스(142)는, 0.3 MHz 내지 약 14 MHz, 예컨대 약 13.56 MHz의 주파수에서의 RF 전력을 제공하도록 동작될 수 있다. 전력의 제 1 소스(142)는, 약 10 와트 내지 약 5000 와트(예컨대, 약 300 와트 내지 약 1500 와트; 약 500 와트)에서의 RF 전력을 생성할 수 있다. 몇몇 구현들에서, RF 소스 전력에 부가하여, 전력의 제 2 소스(150)(도 1에서 도시됨)에 의해 제공되는 RF 바이어스 전력이 또한, 증착 가스 혼합물을 해리시켜서 플라즈마를 형성하는 것을 보조하기 위해, 증착 프로세스 동안에, 활용될 수 있다. 일 구현에서, 전력의 제 1 소스(142)는, 0.3 MHz 내지 약 14 MHz, 예컨대 약 13.56 MHz의 주파수에서의 RF 전력을 제공하도록 동작될 수 있다. RF 바이어스 전력은, 300 kHz의 주파수에서 약 0 와트 내지 약 1000 와트(예컨대, 약 10 와트 내지 약 100 와트)로 공급될 수 있다. 일 구현에서, RF 바이어스 전력은, 약 500 Hz 내지 약 10 kHz의 RF 주파수에서 약 10 내지 약 95 퍼센트의 듀티 사이클로 펄싱될 수 있다.
[0064] 게다가, 기판(302)에 걸친 플라즈마의 분배 및 프로파일을 제어하는 것을 보조하기 위해, 플라즈마 프로파일 조절기(111)에 전류/전압이 공급될 수 있다. 일 구현에서, 프로세싱 볼륨(120)에서 생성되는 플라즈마를 제어하는 것을 보조하기 위해, (예컨대, 제 1 전극(108)에 공급되는) 측벽 튜닝 전극 전류 타겟이 약 0.5 암페어 내지 약 40 암페어, 예컨대 약 6 암페어로 세팅되고, (예컨대, 제 2 전극(122)에 공급되는) 기판 지지부 튜닝 전극 전류 타겟이 약 0.5 암페어 내지 약 40 암페어, 예컨대 약 6 암페어로 세팅된다.
[0065] 원하는 두께의 제 2 막 층(3101)이 증착된 후에, 그러면, 증착 프로세스가 종료될 수 있다. 일 구현에서, 제 2 막 층(3101)은 약 10 nm 내지 약 60 nm, 예컨대 약 30 nm의 두께를 가질 수 있다. 제 2 막 층(3101)이 실리콘 질화물 층과 같은 실리콘 질화물 함유 층인 구현에서, 실리콘 질화물 함유 층은 약 0 MPa 내지 약 1000 MPa의 응력 범위를 가질 수 있다. 제 2 막 층(3101)은 약 1.85 내지 약 2.1의 굴절률(예컨대, 약 1.85 내지 약 1.90의 굴절률; 약 1.90의 굴절률)을 갖는다.
[0066] 프로세싱 챔버로부터 잔여의 가스들 또는 증착 부산물들을 펌핑/퍼징하기 위한 선택적인 펌프/퍼지 프로세스가, 제 2 막 층(3101)을 형성한 후에, 수행될 수 있다. 동작(224) 동안에 비활성 가스가 사용되는 몇몇 구현들에서, 비활성 가스는, RF 전력이 인가되거나 또는 인가되지 않으면서, 퍼지 가스로서 사용될 수 있다.
[0067] 도 2의 동작들(222 및 224)은, 원하는 두께의 제 1 재료 층 스택(3061)이 달성될 때까지, 제 1 막 층들(3081) 및 제 2 막 층들(3101)을 교번시키면서, 재료 층 스택(3061)을 형성하기 위해, 연속적으로 수행될 수 있다. 제 1 막 층(3081)이 실리콘 산화물 층이고, 제 2 막 층(3101)이 실리콘 질화물 층인 일 구현에서, 도 2의 방법(200)은, 프로세싱 챔버(100)로부터 기판(302)을 제거하지 않으면서(예컨대, 진공을 파괴(breaking)하지 않으면서), 상이한 조성들을 갖는 막 층들(3081, 3101)을 형성하기 위해, 상이한 증착 가스 혼합물들을 스위칭함으로써, 수행될 수 있다.
[0068] 예컨대, 막 재료 층 스택(3061)은, 먼저, 실리콘 산화물 층과 같은 제 1 막 층(3081)을 형성하기 위해, (동작(222)에서 조절되는) 프로세스 파라미터들의 제 1 세트와 함께, 제 1 증착 가스 혼합물을 공급함으로써, 형성될 수 있다. 제 1 증착 가스 혼합물은 적어도 실리콘-함유 가스 및 산소-함유 가스를 포함할 수 있다. 제 1 막 층(3081)의 두께가 도달된 후에, 제 1 증착 가스 혼합물은, 실리콘 질화물 층과 같은 제 2 막 층(3101)을 형성하기 위해, 프로세스 파라미터들의 제 2 세트와 함께, 제 2 증착 가스 혼합물로 스위칭될 수 있다. 제 2 증착 가스 혼합물은 적어도 실리콘-함유 가스 및 질소-함유 가스를 포함할 수 있다. 제 1 및 제 2 증착 가스 혼합물들 간의 스위칭은 선택적으로, 기판(302) 상에 다음 막 층을 형성하기 전에, 프로세싱 챔버로부터 잔여의 가스들 또는 증착 부산물들을 펌핑/퍼징하기 위한 펌프/퍼지 프로세스를 가질 수 있다.
[0069] 동작(230)에서, 기판 상의 제 1 재료 층 스택(3061)의 증착 후에, 제 2 재료 층 스택(3062)이 제 1 재료 층 스택(3061) 상에 형성된다. 제 2 재료 층 스택(3062)은, 제 3 막 층(3082), 및 제 3 막 층(3082) 상에 형성된 제 4 막 층(3102)을 포함한다. 제 4 막 층(3102)은, 제 2 막 층(3101)의 제 1 굴절률보다 더 큰 제 2 굴절률을 갖는다.
[0070] 동작(232)에서, 제 3 막 층(3082)이 제 1 재료 층 스택(3061) 위에 형성된다. 제 3 막 층(3082)은, 실리콘 산화물-함유 층, 실리콘 질화물-함유 층, 실리콘-함유 층, 예컨대 비정질 실리콘, 다결정질 실리콘, 또는 임의의 적합한 결정질 실리콘 층들일 수 있다. 도 3a에서 도시된 구현에서, 제 3 막 층(3082)은 실리콘 산화물 층과 같은 실리콘 산화물-함유 층이다. 제 3 막 층(3082)은 제 1 막 층(3081)과 유사할 수 있고, 동작(222)에서 설명된 프로세스 조건들을 사용하여 형성될 수 있다.
[0071] 제 3 막 층(3082) 상에 제 4 막 층(3102)을 형성하기 전에, 프로세싱 챔버로부터 잔여의 가스들 또는 증착 부산물들을 펌핑/퍼징하기 위한 선택적인 펌프/퍼지 프로세스가 수행될 수 있다. 동작(232) 동안에 비활성 가스가 사용되는 몇몇 구현들에서, 비활성 가스는, RF 전력이 인가되거나 또는 인가되지 않으면서, 퍼지 가스로서 사용될 수 있다.
[0072] 동작(234)에서, 제 4 막 층(3102)이 제 3 막 층(3082) 상에 형성된다. 제 4 막 층(3102)은, 실리콘 산화물-함유 층, 실리콘 질화물-함유 층, 실리콘-함유ing 층, 예컨대 비정질 실리콘, 다결정질 실리콘, 또는 임의의 적합한 결정질 실리콘 층들일 수 있다. 도 3a에서 도시된 구현에서, 제 4 막 층(3102)은 실리콘 질화물 층과 같은 실리콘 질화물 함유 층이다. 제 4 막 층(3102)은 제 2 막 층(3101)의 굴절률보다 더 큰 굴절률을 갖는다. 제 4 막 층(3102)은, 동작(224)에 대해 설명된 프로세스 조건들과 유사한 프로세스 조건들을 사용하여 증착될 수 있다. 그러나, 제 2 막 층(3101)의 굴절률보다 더 큰 굴절률을 달성하기 위해, 다양한 프로세스 파라미터들이, 동작(224)에서 설명된 프로세스 파라미터들에 비하여 변화된다.
[0073] 몇몇 구현들에서, 실리콘-함유 가스(예컨대, SiH4)의 유량 또는 질소-함유 가스(예컨대, NH3)의 유량이, 제 2 막 층(3101)에 비하여 제 4 막 층(3102)의 더 큰 굴절률을 달성하기 위해, 동작(224)에서 설명된 유량들에 비하여 변화된다. 가스 분배기(112)와 기판(302) 사이의 간격 및/또는 챔버 압력과 같은 다른 프로세스 조건들이, 증가된 굴절률을 달성하기 위해, 조정될 수 있다. 예컨대, 일 구현에서, 실리콘-함유 가스(예컨대, SiH4)의 유량은, 동작(224)에서 설명된 제 2 막 층(3101)을 형성하기 위해 사용된 실리콘-함유 가스(예컨대, SiH4)의 유량에 비하여 증가된다. 다른 구현에서, 질소-함유 가스(예컨대, NH3)의 유량은, 동작(224)에서 설명된 제 2 막 층(3101)을 형성하기 위해 사용된 질소-함유 가스(예컨대, NH3)의 유량에 비하여 증가된다.
[0074] 원하는 두께의 제 4 막 층(3102)이 증착된 후에, 그러면, 증착 프로세스가 종료될 수 있다. 일 구현에서, 제 4 막 층(3102)은 약 10 nm 내지 약 60 nm, 예컨대 약 30 nm의 두께를 가질 수 있다. 제 4 막 층(3102)이 실리콘 질화물 층과 같은 실리콘 질화물 함유 층인 구현에서, 실리콘 질화물 함유 층은 약 0 MPa 내지 약 1000 MPa의 응력 범위를 가질 수 있다. 제 4 막 층(3102)은 약 1.85 내지 약 2.1의 굴절률(예컨대, 약 1.91 내지 약 1.95의 굴절률; 약 1.93의 굴절률)을 갖는다.
[0075] 제 4 막 층(3102)을 형성한 후에, 프로세싱 챔버로부터 잔여의 가스들 또는 증착 부산물들을 펌핑/퍼징하기 위한 선택적인 펌프/퍼지 프로세스가 수행될 수 있다. 동작(234) 동안에 비활성 가스가 사용되는 몇몇 구현들에서, 비활성 가스는, RF 전력이 인가되거나 또는 인가되지 않으면서, 퍼지 가스로서 사용될 수 있다.
[0076] 도 2의 동작들(232 및 234)은, 원하는 두께의 제 2 재료 층 스택(3062)이 달성될 때까지, 제 3 막 층들(3082) 및 제 4 막 층들(3102)을 교번시키면서, 제 2 재료 층 스택(3062)을 형성하기 위해, 연속적으로 수행될 수 있다. 제 3 막 층(3082)이 실리콘 산화물 층이고, 제 4 막 층(3102)이 실리콘 질화물 층인 일 구현에서, 도 2의 방법(200)은, 프로세싱 챔버(100)로부터 기판(302)을 제거하지 않으면서(예컨대, 진공을 파괴하지 않으면서), 상이한 조성들을 갖는 막 층들(3082, 3102)을 형성하기 위해, 상이한 증착 가스 혼합물들을 스위칭함으로써, 수행될 수 있다.
[0077] 동작(240)에서, 기판 상의 제 2 재료 층 스택(3062)의 증착 후에, 제 3 재료 층 스택(3063)이 제 2 재료 층 스택(3062) 상에 형성된다. 제 3 재료 층 스택(3063)은, 제 5 막 층(3083), 및 제 5 막 층(3083) 상에 형성된 제 6 막 층(3103)을 포함한다. 제 6 막 층(3103)은 제 4 막 층(3102)의 제 2 굴절률보다 더 큰 제 3 굴절률을 갖는다.
[0078] 동작(242)에서, 제 5 막 층(3083)이 제 2 재료 층 스택(3062) 위에 형성된다. 제 5 막 층(3083)은, 실리콘 산화물-함유 층, 실리콘 질화물-함유 층, 실리콘-함유 층, 예컨대 비정질 실리콘, 다결정질 실리콘, 또는 임의의 적합한 결정질 실리콘 층들일 수 있다. 도 3a에서 도시된 구현에서, 제 5 막 층(3083)은 실리콘 산화물 층과 같은 실리콘 산화물-함유 층이다. 제 5 막 층(3083)은 제 1 막 층(3081) 및 제 3 막 층(3082)과 유사할 수 있고, 동작들(222 및 232)에서 설명된 프로세스 조건들을 사용하여 형성될 수 있다.
[0079] 제 5 막 층(3083) 상에 제 6 막 층(3103)을 형성하기 전에, 프로세싱 챔버로부터 잔여의 가스들 또는 증착 부산물들을 펌핑/퍼징하기 위한 선택적인 펌프/퍼지 프로세스가 수행될 수 있다. 동작(242) 동안에 비활성 가스가 사용되는 몇몇 구현들에서, 비활성 가스는, RF 전력이 인가되거나 또는 인가되지 않으면서, 퍼지 가스로서 사용될 수 있다.
[0080] 동작(244)에서, 제 6 막 층(3103)이 제 5 막 층(3083) 상에 형성된다. 제 6 막 층(3103)은, 실리콘 산화물-함유 층, 실리콘 질화물-함유 층, 실리콘-함유 층, 예컨대 비정질 실리콘, 다결정질 실리콘, 또는 임의의 적합한 결정질 실리콘 층들일 수 있다. 도 3a에서 도시된 구현에서, 제 6 막 층(3103)은 실리콘 질화물 층과 같은 실리콘 질화물 함유 층이다. 제 6 막 층(3103)은 제 4 막 층(3102)의 굴절률보다 더 큰 굴절률을 갖는다. 제 6 막 층(3103)은, 동작들(224 및 234)에 대해 설명된 프로세스 조건들과 유사한 프로세스 조건들을 사용하여 증착될 수 있다. 그러나, 제 4 막 층(3102)의 굴절률보다 더 큰 굴절률을 달성하기 위해, 다양한 프로세스 파라미터들이, 동작들(224 및 234)에서 설명된 프로세스 파라미터들에 비하여 변화된다. 몇몇 구현들에서, 실리콘-함유 가스(예컨대, SiH4)의 유량 또는 질소-함유 가스(NH3)의 유량이, 제 4 막 층(3102)의 굴절률에 비하여 제 6 막 층(3103)의 더 큰 굴절률을 달성하기 위해, 동작(234)에서 설명된 유량들에 비하여 변화된다. 예컨대, 일 구현에서, 실리콘-함유 가스(예컨대, SiH4)의 유량은, 동작(234)에서 설명된 제 4 막 층(3102)을 형성하기 위해 사용된 실리콘-함유 가스(예컨대, SiH4)의 유량에 비하여 증가된다. 다른 구현에서, 질소-함유 가스(예컨대, NH3)의 유량은, 동작(234)에서 설명된 제 4 막 층(3102)을 형성하기 위해 사용된 질소-함유 가스(예컨대, NH3)의 유량에 비하여 증가된다.
[0081] 원하는 두께의 제 6 막 층(3103)이 증착된 후에, 그러면, 증착 프로세스가 종료될 수 있다. 일 구현에서, 제 6 막 층(3103)은 약 10 nm 내지 약 60 nm, 예컨대 약 30 nm의 두께를 가질 수 있다. 제 6 막 층(3103)이 실리콘 질화물 층과 같은 실리콘 질화물 함유 층인 구현에서, 실리콘 질화물 함유 층은 약 0 MPa 내지 약 1000 MPa의 응력 범위를 가질 수 있다. 제 6 막 층(3103)은 약 1.85 내지 약 2.1의 굴절률(예컨대, 약 1.95 내지 약 2.1의 굴절률; 약 1.95의 굴절률)을 갖는다.
[0082] 제 6 막 층(3103)을 형성한 후에, 프로세싱 챔버로부터 잔여의 가스들 또는 증착 부산물들을 펌핑/퍼징하기 위한 선택적인 펌프/퍼지 프로세스가 수행될 수 있다. 동작(244) 동안에 비활성 가스가 사용되는 몇몇 구현들에서, 비활성 가스는, RF 전력이 인가되거나 또는 인가되지 않으면서, 퍼지 가스로서 사용될 수 있다.
[0083] 도 2b의 동작들(242 및 244)은, 원하는 두께의 제 2 재료 층 스택(3062)이 달성될 때까지, 제 5 막 층들(3083) 및 제 6 막 층들(3103)을 교번시키면서, 제 3 재료 층 스택(3063)을 형성하기 위해, 연속적으로 수행될 수 있다. 제 5 막 층(3083)이 실리콘 산화물 층이고, 제 6 막 층(3103)이 실리콘 질화물 층인 일 구현에서, 도 2의 방법(200)은, 프로세싱 챔버(100)로부터 기판(302)을 제거하지 않으면서(예컨대, 진공을 파괴하지 않으면서), 상이한 조성들을 갖는 막 층들(3083, 3103)을 형성하기 위해, 상이한 증착 가스 혼합물들을 스위칭함으로써, 수행될 수 있다.
[0084] 동작(250)에서, 하나 또는 그 초과의 패터닝 층들(320)이 막 구조(300) 상에 형성된다. 하나 또는 그 초과의 패터닝 층들은, 예컨대, 하드마스크 층(322)(예컨대, 비정질 탄소 층), 반사-방지 코팅 층(324), 및 포토레지스트 층(326)을 포함할 수 있다. 하나 또는 그 초과의 패터닝 층들은, 개구들(330)을 형성하기 위해, 본 기술분야에 알려져 있는 기법들을 사용하여 패터닝될 수 있다. 예컨대, 패턴의 이미지는, 알려진 리소그래피 기법들을 사용하여, 포토레지스트 층(326) 내로 도입될 수 있다. 포토레지스트 층(326)에 도입된 패턴의 이미지는, 그러한 층을 통해 패턴을 정의하기 위해, 적절한 현상제에서 현상될 수 있다. 그 후에, 포토레지스트 층(326)에 정의된 패턴은, 반사-방지 코팅 층(324) 및 하드마스크 층(322) 양자 모두를 통해 전사된다. 패턴은, 마스크로서 포토레지스트 층(326)을 사용하여, 반사-방지 코팅 층(324) 및 하드마스크 층(322) 양자 모두를 통해 전사된다. 패턴은, 예컨대, 수소-함유 플루오르화 탄소(CxFyHz), 및 수소(H2), 질소(N2), 산소(O2), 아르곤(Ar), 및 헬륨(He)으로 구성된 그룹으로부터 선택되는 하나 또는 그 초과의 가스들을 포함하는 가스 혼합물을 사용하여, 반사-방지 코팅 층(324)을 통해 전사될 수 있다. 하드마스크 층(322)은, 오존, 산소, 또는 암모니아 플라즈마들을, 단독으로, 또는 특히 수소 브롬화물(HBr), 질소(N2), 탄소 사플루오르화물(CF4), 아르곤(Ar)과 조합하여, 사용함으로써 에칭될 수 있다.
[0085] 동작(260)에서, 하나 또는 그 초과의 고 종횡비 피처들(340)이 막 구조(300)에 형성된다. 하나 또는 그 초과의 패터닝 층들(320)에 정의된 개구들(330)은, 하드마스크 층(322)을 사용하여, 하나 또는 그 초과의 고 종횡비 피처들(340)을 형성하기 위해, 막 구조(300)를 통해 전사될 수 있다. 고 종횡비 피처들(340)은, 반응성 이온 에칭 기법들 또는 다른 이방성 에칭 기법들을 사용하여 형성될 수 있다. 일 구현에서, 에칭 가스의 이온 빔 또는 플라즈마가, 하나 또는 그 초과의 고 종횡비 피처들(340)을 형성하기 위해, 기판(302)으로 지향될 수 있다. 에칭 가스는, SF6, C3F8, CF4, BF3, BI3, N2, Ar, PH3, AsH3, B2H6, H2, Xe, Kr, Ne, He, SiH4, SiF4, GeH4, GeF4, CH4, AsF5, PF3, PF5, 또는 이들의 조합들을 포함할 수 있다.
[0086] 동작(270)에서, 막 구조(300)에서의 고 종횡비 피처들(340)의 형성 후에, 하드마스크 층(322)은, 오존, 산소, 암모니아 플라즈마에서, 단독으로, 또는 플루오르화 화합물들, 질소, 또는 수소 플라즈마들과 조합하여, 하드마스크 층(322)을 에칭함으로써, 막 구조(300)로부터 박리될 수 있다.
[0087] 도 4는, 실리콘 질화물 재료의 굴절률과 관련된, 실리콘 질화물 재료에 대한 건식 에칭 레이트(Å/초)를 도시하는 플롯(400)이다. 플롯(400)에서 도시된 바와 같이, 실리콘 질화물 막의 굴절률이 증가됨에 따라, 건식 에칭 레이트가 감소된다.
[0088] 도 5a는, 종래 기술 방법들에 따라 형성된, 굴절률 그레이디언트(gradient)를 갖지 않은 실리콘 질화물 함유 구조에 형성된 트렌치의 에칭 프로파일을 나타내는 마이크로그래프이다. 도 5a에서 도시된 바와 같이, 굴절률을 갖지 않은 실리콘 질화물 막의 에칭 프로파일은 불-균일하다.
[0089] 도 5b는, 본원에서 설명되는 구현들에 따른, 굴절률 그레이디언트를 갖는 실리콘 질화물 함유 구조에 형성된 트렌치의 에칭 프로파일을 나타내는 마이크로그래프이다. 도 5b에서 도시된 바와 같이, 1.9의 굴절률을 갖는 다수의 실리콘 질화물 층들, 및 2.0의 굴절률을 갖는 다수의 실리콘 질화물 층들을 갖는 구조에 형성된 트렌치의 에칭 프로파일은, 도 5a에서 도시된 에칭 프로파일에 비하여 더 균일하다.
[0090] 도 5c는, 본원에서 설명되는 구현들에 따른, 굴절률 그레이디언트를 갖는 실리콘 질화물 함유 구조에 형성된 다른 트렌치의 에칭 프로파일을 나타내는 마이크로그래프이다. 도 5c에서 도시된 바와 같이, 1.9의 굴절률을 갖는 다수의 실리콘 질화물 층들, 1.95의 굴절률을 갖는 다수의 실리콘 질화물 층들, 및 2.0의 굴절률을 갖는 다수의 실리콘 질화물 층들을 갖는 구조에 형성된 트렌치의 에칭 프로파일은, 도 5a 및 도 5b 양자 모두에서 도시된 에칭 프로파일들에 비하여 더 균일하다.
[0091] 본원에서 사용되는 바와 같이, 다음의 용어들은, 이들의 사용의 문맥으로부터 명확하거나 또는 다르게 명시되지 않는 한, 아래에서 설명된 의미를 갖는다.
[0092] 본 개시, 또는 본 개시의 예시적인 양상들 또는 구현(들)의 엘리먼트들을 도입하는 경우에, 관사들("a", "an", "the" 및 "상기")은, 엘리먼트들의 하나 또는 그 초과가 존재하는 것을 의미하도록 의도된다.
[0093] "포함하는", "구비하는", 및 "갖는"이라는 용어들은 포괄적이도록 의도되고, 열거된 엘리먼트들 이외에 부가적인 엘리먼트들이 존재할 수 있는 것을 의미한다.
[0094] "기판"이라는 용어는, 층들이 위에 형성되거나 또는 형성되지 않은 지지 기판을 지칭한다. 지지 기판은, 다양한 도핑 농도들 및 프로파일들의 반도체 또는 절연체일 수 있고, 예컨대, 집적 회로들의 제조 시에 사용되는 타입의 반도체 기판일 수 있다.
[0095] 전술한 내용은 본 개시의 구현들에 관한 것이지만, 본 개시의 다른 그리고 추가적인 구현들이, 본 개시의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 본 개시의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 고 종횡비 피처(feature)를 형성하기 위한 방법으로서,
    진공의 존재 시에 그리고 프로세싱 챔버에 위치된 기판 상에 하나 또는 그 초과의 실리콘 산화물/실리콘 질화물 함유 스택(stack)들을 증착하는 단계를 포함하며,
    상기 하나 또는 그 초과의 실리콘 산화물/실리콘 질화물 함유 스택들을 증착하는 단계는,
    (a) 제 1 플라즈마로 제 1 프로세스 가스를 에너자이징(energizing)하는 단계;
    (b) 상기 제 1 플라즈마로부터 상기 기판 상에 제 1 막 층을 증착하는 단계;
    (c) 제 2 플라즈마로 제 2 프로세스 가스를 에너자이징하는 단계;
    (d) 상기 제 2 플라즈마로부터 상기 제 1 막 층 상에 제 2 막 층을 증착하는 단계 ― 상기 제 2 막 층은 제 1 굴절률을 가짐 ―;
    미리 결정된 수의 제 1 막 층들 및 제 2 막 층들이 상기 기판 상에 증착될 때까지, 상기 (a) 단계, 상기 (b) 단계, 상기 (c) 단계, 및 상기 (d) 단계를 반복하는 단계 ― 상기 제 1 막 층 및 상기 제 2 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 상기 제 1 막 층은 상기 제 2 막 층과 상이함 ―;
    (e) 제 3 플라즈마로 제 3 프로세스 가스를 에너자이징하는 단계;
    (f) 상기 제 3 플라즈마로부터 이전의 층 상에 제 3 막 층을 증착하는 단계;
    (g) 제 4 플라즈마로 제 4 프로세스 가스를 에너자이징하는 단계;
    (h) 상기 제 4 플라즈마로부터 상기 제 3 막 층 상에 제 4 막 층을 증착하는 단계 ― 상기 제 4 막 층은 상기 제 2 막 층의 굴절률보다 더 큰 굴절률을 가짐 ―; 및
    미리 결정된 수의 제 3 막 층들 및 제 4 막 층들이 증착될 때까지, 상기 (e) 단계, 상기 (f) 단계, 상기 (g) 단계, 및 상기 (h) 단계를 반복하는 단계
    를 포함하고,
    상기 제 3 막 층 및 상기 제 4 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 상기 제 3 막 층은 상기 제 4 막 층과 상이한,
    고 종횡비 피처를 형성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 제 1 프로세스 가스는 실리콘-함유 가스 및 산소-함유 가스를 포함하는,
    고 종횡비 피처를 형성하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 실리콘-함유 가스는 테트라에틸 오르토실리케이트(TEOS)이고, 상기 산소-함유 가스는 N2O인,
    고 종횡비 피처를 형성하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 제 2 프로세스 가스는 실리콘-함유 가스 및 질소-함유 가스를 포함하는,
    고 종횡비 피처를 형성하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 실리콘-함유 가스는 실란(SiH4)이고, 상기 질소-함유 가스는 NH3인,
    고 종횡비 피처를 형성하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 제 2 프로세스 가스 및 상기 제 4 프로세스 가스는 각각, 질소-함유 가스를 포함하고, 상기 제 4 프로세스 가스에서의 질소-함유 가스의 유량은 상기 제 2 프로세스 가스에서의 질소-함유 가스의 유량에 비하여 증가되는,
    고 종횡비 피처를 형성하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 제 2 프로세스 가스 및 상기 제 4 프로세스 가스는 각각, 실리콘-함유 가스를 포함하고, 상기 제 4 프로세스 가스에서의 실리콘-함유 가스의 유량은 상기 제 2 프로세스 가스에서의 실리콘-함유 가스의 유량에 비하여 증가되는,
    고 종횡비 피처를 형성하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 고 종횡비 피처는 약 10:1 내지 약 20:1의 높이 대 폭 비율을 갖는,
    고 종횡비 피처를 형성하기 위한 방법.
  9. 제 1 항에 있어서,
    (i) 제 5 플라즈마로 제 5 프로세스 가스를 에너자이징하는 단계;
    (j) 상기 제 5 플라즈마로부터 이전의 층 상에 제 5 막 층을 증착하는 단계;
    (k) 제 6 플라즈마로 제 6 프로세스 가스를 에너자이징하는 단계;
    (l) 상기 제 6 플라즈마로부터 상기 제 5 막 층 상에 제 6 막 층을 증착하는 단계 ― 상기 제 6 막 층은 상기 제 4 막 층의 굴절률보다 더 큰 굴절률을 가짐 ―; 및
    미리 결정된 수의 제 5 막 층들 및 제 6 막 층들이 상기 기판 상에 증착될 때까지, 상기 (i) 단계, 상기 (j) 단계, 상기 (k) 단계, 및 상기 (l) 단계를 반복하는 단계
    를 더 포함하며,
    상기 제 5 막 층 및 상기 제 6 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 상기 제 5 막 층은 상기 제 6 막 층과 상이한,
    고 종횡비 피처를 형성하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 제 2 막 층의 굴절률은 약 1.85 내지 약 1.90이고, 상기 제 4 막 층의 굴절률은 약 1.91 내지 약 1.95이고, 상기 제 6 막 층의 굴절률은 약 1.95 내지 약 2.1인,
    고 종횡비 피처를 형성하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 하나 또는 그 초과의 실리콘 산화물/실리콘 질화물 함유 스택들 상에 하나 또는 그 초과의 패터닝 층들을 형성하는 단계를 더 포함하는,
    고 종횡비 피처를 형성하기 위한 방법.
  12. 제 11 항에 있어서,
    플라즈마 에칭 또는 습식 에칭 기법들을 사용하여, 상기 하나 또는 그 초과의 실리콘 산화물/실리콘 질화물 함유 스택들에 하나 또는 그 초과의 고 종횡비 피처들을 형성하는 단계를 더 포함하는,
    고 종횡비 피처를 형성하기 위한 방법.
  13. 막 구조로서,
    기판 상에 형성된 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들; 및
    상기 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들 상에 형성된 하나 또는 그 초과의 제 2 실리콘 산화물/실리콘 질화물 함유 스택들
    을 포함하며,
    상기 하나 또는 그 초과의 제 1 실리콘 산화물/실리콘 질화물 함유 스택들은,
    상기 기판 상에 형성된 제 1 막 층; 및
    상기 제 1 막 층 상에 형성된 제 2 막 층
    을 포함하고,
    상기 제 2 막 층은 제 1 굴절률을 갖고, 상기 제 1 막 층 및 상기 제 2 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 상기 제 1 막 층은 상기 제 2 막 층과 상이하고,
    상기 하나 또는 그 초과의 제 2 실리콘 산화물/실리콘 질화물 함유 스택들은,
    이전의 층 상에 형성된 제 3 막; 및
    상기 제 3 막 층 상에 형성된 제 4 막 층
    을 포함하고,
    상기 제 4 막 층은 상기 제 2 막 층의 굴절률보다 더 큰 굴절률을 갖고, 상기 제 3 막 층 및 상기 제 4 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 상기 제 3 막 층은 상기 제 4 막 층과 상이한,
    막 구조.
  14. 제 13 항에 있어서,
    상기 하나 또는 그 초과의 제 2 실리콘 산화물/실리콘 질화물 함유 스택들 상에 형성된 하나 또는 그 초과의 제 3 실리콘 산화물/실리콘 질화물 함유 스택들을 더 포함하며,
    상기 하나 또는 그 초과의 제 3 실리콘 산화물/실리콘 질화물 함유 스택들은,
    이전의 층 상에 형성된 제 5 막 층; 및
    상기 제 5 막 층 상에 형성된 제 6 막 층
    을 포함하고,
    상기 제 6 막 층은 상기 제 4 막 층의 굴절률보다 더 큰 굴절률을 갖고, 상기 제 5 막 층 및 상기 제 6 막 층은 실리콘 산화물 층 또는 실리콘 질화물 층이고, 상기 제 5 막 층은 상기 제 6 막 층과 상이한,
    막 구조.
  15. 제 14 항에 있어서,
    상기 하나 또는 그 초과의 실리콘 산화물/실리콘 질화물 함유 스택들에 형성된 약 10:1 내지 약 20:1의 높이 대 폭 비율을 갖는 하나 또는 그 초과의 고 종횡비 피처들을 더 갖는,
    막 구조.
KR1020160039312A 2015-04-01 2016-03-31 3d nand 메모리 디바이스들에서의 개선된 수직 에칭 성능을 위한 막들의 플라즈마 강화 화학 기상 증착 KR102456460B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562141606P 2015-04-01 2015-04-01
US62/141,606 2015-04-01

Publications (2)

Publication Number Publication Date
KR20160118961A true KR20160118961A (ko) 2016-10-12
KR102456460B1 KR102456460B1 (ko) 2022-10-19

Family

ID=57016085

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160039312A KR102456460B1 (ko) 2015-04-01 2016-03-31 3d nand 메모리 디바이스들에서의 개선된 수직 에칭 성능을 위한 막들의 플라즈마 강화 화학 기상 증착

Country Status (5)

Country Link
US (2) US10246772B2 (ko)
JP (2) JP6820153B2 (ko)
KR (1) KR102456460B1 (ko)
CN (1) CN106057636A (ko)
TW (2) TWI766525B (ko)

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2015112327A1 (en) * 2014-01-21 2015-07-30 Applied Materials, Inc. Dielectric-metal stack for 3d flash memory application
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6907217B2 (ja) 2016-01-20 2021-07-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102629466B1 (ko) * 2016-09-21 2024-01-26 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106920798B (zh) * 2017-03-07 2018-06-26 长江存储科技有限责任公司 一种三维存储器堆栈结构及其堆叠方法及三维存储器
JP2018160303A (ja) * 2017-03-23 2018-10-11 東芝メモリ株式会社 半導体記憶装置
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN107564800B (zh) * 2017-08-31 2020-02-18 长江存储科技有限责任公司 一种氮化硅层的制备方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN107946311B (zh) * 2017-11-21 2020-09-25 长江存储科技有限责任公司 控制3d nand闪存结构中沟道关键尺寸的方法
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7137927B2 (ja) 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
CN117637438A (zh) 2018-01-15 2024-03-01 应用材料公司 添加氩至远程等离子体氧化
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
WO2020028064A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. On stack overlay improvement for 3d nand
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) * 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP2020064924A (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 窒化膜の成膜方法および半導体装置の製造方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11557509B1 (en) 2018-12-21 2023-01-17 Applied Materials, Inc. Self-alignment etching of interconnect layers
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR102419373B1 (ko) * 2019-04-19 2022-07-12 주식회사 히타치하이테크 플라스마 처리 방법
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN112289801B (zh) 2019-06-28 2024-02-23 长江存储科技有限责任公司 用于三维存储器的叠层结构、三维存储器及其制备方法
CN110349971A (zh) 2019-06-28 2019-10-18 长江存储科技有限责任公司 用于三维存储器的叠层结构、三维存储器及其制备方法
CN110379817B (zh) 2019-06-28 2020-05-19 长江存储科技有限责任公司 用于三维存储器的叠层结构、三维存储器及其制备方法
CN111557047B (zh) * 2019-06-28 2021-07-09 长江存储科技有限责任公司 半导体器件制造方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11384428B2 (en) 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN114270530A (zh) 2019-08-09 2022-04-01 美光科技公司 晶体管及形成晶体管的方法
US10923593B1 (en) * 2019-08-09 2021-02-16 Micron Technology, Inc. Transistor and methods of forming transistors
US11024736B2 (en) 2019-08-09 2021-06-01 Micron Technology, Inc. Transistor and methods of forming integrated circuitry
US10964811B2 (en) 2019-08-09 2021-03-30 Micron Technology, Inc. Transistor and methods of forming transistors
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) * 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
SG10202010798QA (en) 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
KR20220082068A (ko) 2019-11-08 2022-06-16 도쿄엘렉트론가부시키가이샤 에칭 방법
JP7343461B2 (ja) * 2019-11-08 2023-09-12 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
WO2021123183A1 (en) * 2019-12-19 2021-06-24 Agc Glass Europe Silicon oxide coated polymer films and low pressure pecvd methods for producing the same
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US11658041B2 (en) 2020-05-28 2023-05-23 Applied Materials, Inc. Methods of modifying portions of layer stacks
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11637175B2 (en) 2020-12-09 2023-04-25 Micron Technology, Inc. Vertical transistors
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112928065B (zh) * 2021-03-24 2023-06-02 长江存储科技有限责任公司 一种深孔形成方法以及三维存储器的形成方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240096641A1 (en) * 2022-09-20 2024-03-21 Applied Materials, Inc. In-situ carbon liner for high aspect ratio features

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070102764A (ko) * 2006-04-17 2007-10-22 주식회사 엘지화학 Pecvd 법에 기반한 다층 박막 구조의 제조방법
JP2012174961A (ja) * 2011-02-23 2012-09-10 Toshiba Corp 半導体記憶装置の製造方法
US20140357064A1 (en) * 2013-05-31 2014-12-04 Novellus Systems, Inc. Tensile stressed doped amorphous silicon

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6067679A (ja) 1983-09-21 1985-04-18 Hitachi Ltd 絶縁膜の加工方法
JP2842892B2 (ja) * 1989-07-04 1999-01-06 株式会社日立製作所 薄膜トランジスタとその製造方法ならびにそれを用いたマトリクス回路基板と画像表示装置
JPH06260428A (ja) 1993-03-05 1994-09-16 Mitsubishi Electric Corp プラズマcvd装置
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7776516B2 (en) 2006-07-18 2010-08-17 Applied Materials, Inc. Graded ARC for high NA and immersion lithography
US7745268B2 (en) * 2007-06-01 2010-06-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device with irradiation of single crystal semiconductor layer in an inert atmosphere
US20110101442A1 (en) 2009-11-02 2011-05-05 Applied Materials, Inc. Multi-Layer Charge Trap Silicon Nitride/Oxynitride Layer Engineering with Interface Region Control
US20110272024A1 (en) 2010-04-13 2011-11-10 Applied Materials, Inc. MULTI-LAYER SiN FOR FUNCTIONAL AND OPTICAL GRADED ARC LAYERS ON CRYSTALLINE SOLAR CELLS
US20110272008A1 (en) * 2010-05-07 2011-11-10 Applied Materials, Inc. Oxide nitride stack for backside reflector of solar cell
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
JP2012151187A (ja) * 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
US8524589B2 (en) * 2011-01-26 2013-09-03 Applied Materials, Inc. Plasma treatment of silicon nitride and silicon oxynitride
US20130161629A1 (en) * 2011-12-27 2013-06-27 Applied Materials, Inc. Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application
JP5808708B2 (ja) 2012-04-10 2015-11-10 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8946023B2 (en) * 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9018064B2 (en) * 2013-07-10 2015-04-28 Varian Semiconductor Equipment Associates, Inc. Method of doping a polycrystalline transistor channel for vertical NAND devices
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
JP2017507338A (ja) 2014-01-21 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 任意の基板上での膜厚測定
CN104022121B (zh) 2014-06-23 2017-05-03 中国科学院微电子研究所 三维半导体器件及其制造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070102764A (ko) * 2006-04-17 2007-10-22 주식회사 엘지화학 Pecvd 법에 기반한 다층 박막 구조의 제조방법
JP2012174961A (ja) * 2011-02-23 2012-09-10 Toshiba Corp 半導体記憶装置の製造方法
US20140357064A1 (en) * 2013-05-31 2014-12-04 Novellus Systems, Inc. Tensile stressed doped amorphous silicon

Also Published As

Publication number Publication date
TWI719015B (zh) 2021-02-21
US11365476B2 (en) 2022-06-21
JP6820153B2 (ja) 2021-01-27
TW201700766A (zh) 2017-01-01
US20190185996A1 (en) 2019-06-20
TW202130847A (zh) 2021-08-16
JP2021077895A (ja) 2021-05-20
TWI766525B (zh) 2022-06-01
JP2016197719A (ja) 2016-11-24
JP7244552B2 (ja) 2023-03-22
US10246772B2 (en) 2019-04-02
CN106057636A (zh) 2016-10-26
US20160293609A1 (en) 2016-10-06
KR102456460B1 (ko) 2022-10-19

Similar Documents

Publication Publication Date Title
US11365476B2 (en) Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
US10790140B2 (en) High deposition rate and high quality nitride
US9754779B1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI830751B (zh) 低溫高品質的介電膜及其形成方法
US11615966B2 (en) Flowable film formation and treatments
US20210040607A1 (en) Modified stacks for 3d nand
TWI766014B (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US20230360906A1 (en) Silicon-and-carbon-containing materials with low dielectric constants
US20220216048A1 (en) Doped silicon nitride for 3d nand
US11682554B2 (en) Catalytic thermal deposition of carbon-containing materials
US11862458B2 (en) Directional selective deposition
US20230090426A1 (en) Germanium and silicon stacks for 3d nand
JP2023535388A (ja) ホウ素がドープされたシリコン材料を利用した集積プロセス
JP2024503880A (ja) ドープされた酸化ケイ素の熱堆積

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant