KR20140103082A - 웨이퍼 홀딩 장치 상의 플레이팅 검출 - Google Patents

웨이퍼 홀딩 장치 상의 플레이팅 검출 Download PDF

Info

Publication number
KR20140103082A
KR20140103082A KR1020140017501A KR20140017501A KR20140103082A KR 20140103082 A KR20140103082 A KR 20140103082A KR 1020140017501 A KR1020140017501 A KR 1020140017501A KR 20140017501 A KR20140017501 A KR 20140017501A KR 20140103082 A KR20140103082 A KR 20140103082A
Authority
KR
South Korea
Prior art keywords
detection
substrate holder
absence
detecting
metal deposit
Prior art date
Application number
KR1020140017501A
Other languages
English (en)
Other versions
KR102233763B1 (ko
Inventor
스티븐 티. 메이어
하이잉 푸
토마스 아난드 폰누스와미
브라이언 엘. 부캘루
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140103082A publication Critical patent/KR20140103082A/ko
Application granted granted Critical
Publication of KR102233763B1 publication Critical patent/KR102233763B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/72Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating magnetic variables
    • G01N27/82Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating magnetic variables for investigating the presence of flaws
    • G01N27/90Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating magnetic variables for investigating the presence of flaws using eddy currents
    • G01N27/9046Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating magnetic variables for investigating the presence of flaws using eddy currents by analysing electrical signals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Investigating Or Analyzing Materials By The Use Of Magnetic Means (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Food Science & Technology (AREA)
  • Medicinal Chemistry (AREA)

Abstract

본 명세서의 실시예들은 원하지 않는 금속 증착물이 전기 도금 장치에서 사용되는 기판 홀더의 바닥 상에 존재하는지의 여부를 검출하는 방법 및 장치에 관한 것이다. 이러한 원하지 않는 증착물이 존재하면 이 증착물이 기판 상에서의 전기 도금을 발생시키도록 의도된 전류를 잡아 먹어 버리기 때문에 전기 도금 증착에 좋지 않다. 이렇게 전류가 잡아 먹어 버려지게 되면, 기판 상의 전기 도금 품질이 불량해진다. 예를 들어서, 기판의 에지 근방에 위치한 피처들이 충분한 두께를 갖도록 도금되지 않게 된다. 나아가, 잡아 먹히게 된 전류가 크게 되면, 기판 상에 도금된 재료의 전체 두께가 매우 얇게 된다. 이로써, 이러한 바람직하지 않는 증착물이 존재하는지의 여부를 파악하여서 이러한 증착물이 존재하는 경우에는 기판 도금이 피해지도록 할 필요가 있다. 이러한 검출은 고가의 웨이퍼를 보존하는 효과가 있다.

Description

웨이퍼 홀딩 장치 상의 플레이팅 검출{DETECTION OF PLATING ON WAFER HOLDING APPARATUS}
관련 출원에 대한 교차 참조
본원은 그 명칭이 "DETECTION OF PLATING ON WAFER HOLDING APPARATUS"을 가지면서 2013년 2월 15일에 출원된 미국 가 출원 제61/765,502호에 대한 우선권을 주장하며, 이 가 출원은 그 전체 내용이 본 명세서에서 참조로서 인용된다.
본 개시는 전기 도금 장치의 기판 홀더의 비도전성 부분의 표면에 금속이 증착되는 바를 검출하는 기술에 관한 것이다.
반도체 가공 및 프로세싱 기술이 최근에 진보함에 따라서 반도체 디바이스 상에 다양한 재료들을 증착하기 위한 전기 도금법 사용이 증가하고 있다. 이러한 재료는 전기 도금된 구리, 니켈 및 주석-은 합금을 포함한다. 전기도금된 주석-은 합금은 때로 기판 홀더 어셈블리 (때로 클램쉘 어셈블리 (clamshell assembly) 로서 구현됨) 의 립 실 (lip seal) 영역 및 컵 (cup) 영역 주변에서 불요한 (spurious) 금속 쌓임을 낳는다. 이러한 금속 쌓임은 그의 위치에 따라서 "립 실 플레이팅" 및/또는 "컵 바닥 플레이팅"으로 지칭되며 어떠한 상황에서는 기판과 립 실 간의 실링에 결함을 발생시켜서 이 클램쉘 어셈블리의 내부 부분들이 부식성 전기 도금 용액으로 오염되게 된다. 또한, 립 실 플레이팅 또는 컵 바닥 플레이팅이 발생하면, 웨이퍼 상에서의 도금 균일성 및 품질이 크게 저하되며 보다 많은 웨이퍼들이 효과적으로 도금될 수 있기 이전에 몇몇 종류의 문제 해결 조치가 일반적으로 필요하다. 표준을 벗어난 도금 조건 하에서 웨이퍼를 고비용으로 해서 프로세하는 것을 방지하기 위해서, 예를 들어서 립 실 플레이팅 또는 컵 바닥 플레이팅이 존재하는 경우와 같은 정상적 동작 편위 (excursion) 를 검출하는 방법이 필요하다.
개시된 실시예들은 전기 도금 장치에서 사용되는 기판 홀더의 바닥 상에 원하지 않게 금속이 증착되는 바를 검출하는 방법 및 장치에 관한 것이다. 이러한 검출은 원하지 않는 금속 증착이 전기 도금 결과에 악영향을 주기 때문에 유용하다. 개시된 실시예들의 일 측면에서는, 전기 도금 장치의 기판 홀더의 비도전성 부분의 표면 상의 금속 증착물의 존재 여부를 검출하는 방법이 제공되며, 이 방법은 상기 전기 도금 장치의 기판 홀더 근처에 검출 하드웨어를 배치하는 단계로서, 상기 기판 홀더는 바닥 및 내부 에지를 갖는 환형 요소를 포함하며 전기 도금 동안에 기판을 지지하도록 구성되는, 상기 배치 단계; 및 상기 기판 홀더 상의 검출 영역 상의 금속 증착물의 존재 여부를 검출하도록 상기 검출 하드웨어를 동작시키는 단계를 포함하며, 상기 검출 영역은 상기 기판 홀더의 내부 에지로부터 적어도 약 5 mm 이상만큼 연장된 상기 기판 홀더의 바닥 상의 환형 영역일 수 있다.
몇몇 실시예들에서, 상기 증착물은 서로 크게 상이한 환원 전위를 갖는 금속들을 포함한다. 특정 실례에서, 상기 증착물은 주석 및 은을 포함한다. 검출 하드웨어를 동작시키는 단계는 스케줄에 따라서 수행될 수 있다. 몇몇 경우에, 상기 기판 홀더를 사용하여서 소정의 개수의 기판들이 처리된 후에; 상기 기판 홀더를 사용하여서 기판들을 도금하는 동안에 소정의 전하 양이 전달된 후에; 또는 소정의 금속 두께 또는 소정의 금속 양이 상기 기판 홀더를 사용하여서 기판 상에 증착된 후에, 상기 검출 하드웨어를 동작시킨다. 다른 실례에서, 상기 검출 하드웨어를 동작시키는 단계는 기판 홀더가 세정된 후에, 예를 들어서 기판 홀더가 세정될 때마다 후에 수행된다. 상기 검출 영역은 기판 홀더의 바닥 상의 환형 영역일 수 있다. 다양한 경우에, 상기 검출 영역은 기판 홀더의 내부 에지로부터 최소 5 mm 만큼 연장된다. 예를 들어서, 검출 영역은 기판 홀더의 바닥 상에서 기판 홀더의 내부 에지로부터 약 10 mm 이상, 약 15 mm 이상 또는 약 20 mm 이상만큼 연장될 수 있다.
소정의 경우에, 상기 검출 하드웨어를 동작시키는 단계는 상기 기판 홀더의 검출 영역 상에 소스 광을 조사하는 단계 및 상기 기판 홀더의 검출 영역 상으로부터 반사된 반사 광을 측정하는 단계를 포함할 수 있다. 상기 기판 홀더의 검출 영역 상에 소스 광을 조사하는 단계는 상기 검출 영역의 색상과 보색 관계인 색상의 광을 상기 검출 영역 상에 조사하는 단계를 포함할 수 있다. 다른 경우에, 상기 검출 하드웨어를 동작시키는 단계는 상기 검출 영역과 상호 작용하는 가변 자계가 생성되도록 교류 전류를 상기 검출 영역 근처에 위치한 원형 주 여기 코일을 통해서 흘려서 와전류를 생성하는 단계; 및 상기 와전류의 위상 및/또는 크기를 모니터링하여서 금속 증착물의 존재 여부를 검출하는 단계를 포함할 수 있다. 상기 모니터링은 상기 주 여기 코일과는 상이한 수신기 코일에 의해서 수행될 수 있다. 다른 경우에, 상기 모니터링은 주 여기 코일을 통해 흐르는 교류 전류의 변화를 측정함으로써 수행될 수 있다. 다른 실시예들에서, 상기 검출 하드웨어를 동작시키는 단계는 상기 검출 영역에 접촉하는 2 개 이상의 전기 컨택트를 제공하는 단계-상기 2 개 이상의 전기 컨택트 간에 전기 접속부가 존재함-와, 금속 증착물이 상기 검출 영역 내에서 상기 2 개 이상의 전기 컨택트 간에 존재할 때에, 상기 금속 증착물이 상기 2 개 이상의 전기 컨택트 간의 회로를 완성하고, 금속 증착물이 상기 검출 영역 내에서 상기 2 개 이상의 전기 컨택트 간에 존재하지 않을 때에, 상기 2 개 이상의 전기 컨택트 간에 어떠한 회로도 존재하지 않도록 적어도 하나의 전기 컨택트를 통해서 전류를 흐르게 하는 단계와, 전기적 특성을 측정하여서 상기 검출 영역에서 금속 증착물의 존재 여부를 검출하는 단계를 포함한다.
개시된 실시예들의 다른 측면에서는, 전기 도금 장치의 기판 홀더 상의 금속 증착물의 존재 여부를 검출하는 장치가 제공되며, 이 검출 장치는 실장 하드웨어 상에 위치한 검출 하드웨어를 포함하며, 상기 실장 하드웨어는 상기 검출 하드웨어가 상기 기판 홀더 상의 검출 영역 근처로 이동되도록 하는 위치로 스윙하는 스윙 암 (swing arm) 을 포함하며, 상기 기판 홀더는 바닥 및 내부 에지를 포함하며, 상기 기판 홀더 상의 검출 영역은 상기 기판 홀더의 내부 에지로부터 적어도 약 5 mm 이상만큼 연장된 상기 기판 홀더의 바닥 상에 있으며, 상기 검출 하드웨어는 상기 기판 홀더의 검출 영역 내의 금속 증착물의 존재 여부를 검출하도록 구성된다.
몇몇 경우에, 상기 실장 하드웨어는 전기 도금 장치에 통합된다. 또한 또는 이와 달리, 상기 검출 장치는 복수의 기판 홀더들과 상호 작용할 수 있다. 상기 실장 하드웨어는 상기 검출 하드웨어가 상기 기판 홀더 상의 검출 영역 근처로 이동되도록 하는 위치로 스윙하는 스윙 암 (swing arm) 을 포함한다. 다른 타입의 실장 하드웨어가 또한 사용되어서 검출 하드웨어를 회전, 병진, 스위블링 (swiveling) 또는 이와 달리 이동시킬 수 있다. 몇몇 실시예들에서, 상기 실장 하드웨어는 상기 기판 홀더로부터 금속 증착물을 제거하기 위한 세정 어셈블리를 더 포함할 수 있다. 특정 실례에서, 상기 세정 어셈블리는 세정 용액을 기판 홀더 상으로 분사하도록 구성된 하나 이상의 노즐을 포함한다.
다수의 상이한 타입의 검출 하드웨어가 사용될 수 있다. 소정의 경우에, 상기 검출 하드웨어는 광 소스 및 광 검출기를 포함하며,상기 광 소스는 상기 기판 홀더의 검출 영역 상에 광을 조사하도록 구성되며, 상기 광 검출기는 상기 기판 홀더의 검출 영역으로부터 반사된 광을 측정하도록 구성될 수 있다. 선택 사양적으로, 이 검출 장치는 상기 검출 영역과 상기 광 소스 간에 또는 상기 검출 영역과 상기 검출기 간에 위치하는 필터를 더 포함할 수 있다. 이 필터는 금속 증착물이 존재하지 않는 경우에 상기 검출 영역으로부터 정상적으로 (normally) 반사되는 광의 파장을 필터링할 수 있다. 소정의 경우에, 본 검출 장치는 하나 이상의 광 섬유를 더 포함할 수 있다. 이 광 섬유들이 사용되어서 상기 광 소스와 상기 검출 영역 상에 광을 조사하기 위한 광 유출구 간 및/또는 상기 검출 영역에 근접한 광 유입구와 상기 광 검출기 간에서 광을 반송할 수 있다. 특정 경우에, 상기 검출 영역 상에 광을 조사하기 위한 광 유출구 및 상기 검출 영역에 근접한 광 유입구는 함께 통합된 광 섬유 다발 (bundle) 로서 제공될 수 있다.
다른 실시예에서, 상기 검출 하드웨어는 원형 주 여기 코일을 포함하며, 상기 검출 영역과 상호 작용하는 가변 자계가 생성되어서 와전류가 생성되도록 교류 전류가 상기 원형 주 여기 코일을 통해서 흐르게 된다. 이 검출 하드웨어는 상기 주 여기 코일과는 다른 수신기 코일을 더 포함하며, 상기 수신기 코일은 와전류의 위상 및/또는 크기 변화를 측정하도록 구성된다. 다른 실시예에서, 검출 하드웨어는 상기 검출 영역에 접촉하는 2 개 이상의 전기 컨택트; 및 상기 2 개 이상의 전기 컨택트 간의 전기 접속부를 포함하며, 금속 증착물이 상기 검출 영역 내에서 상기 2 개 이상의 전기 컨택트 간에 존재할 때에, 전기 회로가 완성되지만, 금속 증착물이 상기 검출 영역 내에서 상기 2 개 이상의 전기 컨택트 간에 존재하지 않을 때에는, 전기 회로가 완성되지 않을 수 있다.
이러한 특징 및 다른 특징이 해당 도면을 참조하여서 이하에서 기술될 것이다.
도 1은 전기 도금 처리 동안에 기판을 홀딩하는 컵의 바닥 상에 원하지 않는 증착의 존재의 여부를 검출하는 검출 장치의 실시예를 나타내고 있다.
도 2는 웨이퍼 처리, 전기 도금 기판 홀더 세정 및 기판 홀더의 바닥 상의 원하지 않은 증착의 존재를 검출하는 바를 포함하는 방법의 흐름도이다.
도 3a 및 도 3b는 기판 홀더의 바닥 상의 원하지 않는 증착의 존재를 검출하기 위한 광 섬유 다발을 포함하는 광학적 검출 하드웨어를 나타내고 있다.
도 4 및 도 5는 개시된 실시예들을 구현하는데 사용될 수 있는 예시적인 다중 툴 장치들을 나타내고 있다.
본 명세서에서, 용어 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 가공된 집적 회로"는 서로 교환 가능하게 사용된다. 상기 용어 "부분적으로 가공된 집적 회로"는 실리콘 웨이퍼 상에 직접 회로를 형성하는 다수의 스테이지 중 임의의 스테이지 동안의 실리콘 웨이퍼를 지칭할 수 있다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 mm, 300 mm 또는 450 mm의 직경을 갖는다. 또한, 용어 "전해질", "도금 베스", "베스(bath)" 및 "도금 용액"도 상호 교환 가능하게 사용된다. 다음의 상세한 설명에서는 본 개시가 웨이퍼에 대해서 구현되는 상황을 가정한다. 그러나, 본 개시는 이로 한정되는 것은 아니다. 작업 피스는 다양한 형상, 크기 및 재료를 가질 수 있다. 반도체 웨이퍼 이외에, 본 개시를 이용할 수 있는 다른 작업 피스는 인쇄 회로 기판 등과 같은 다양한 물체를 포함한다.
다음의 설명에서, 다수의 특정 세부 사항들이 제공된 실시예들의 철저한 이해를 제공하기 위해서 제안된다. 개시된 실시예들은 이러한 특정 세부 사항 일부 또는 전부가 없어도 실시될 수 있다. 다른 실례로서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세하게 설명되지 않을 수 있다. 개시된 실시예들이 특정 실시예들과 관련하여서 기술될 것이지만, 본 개시는 이러한 개시된 실시예들로 한정되는 것은 아니다.
본 명세서에서의 설명 부분은 컵 및 립 실을 갖도록 구성된 기판 홀더에 초점을 두고 있지만, 다른 장치가 사용될 수도 있다. 일반적으로, 용어 "컵 바닥" 또는 "기판 홀더 바닥"이 본 명세서에서 사용될 때에, 이러한 용어들은 웨이퍼 홀더가 도면에서 도시된 컵을 갖게 구성되는지의 여부와 상관 없이 임의의 웨이퍼 홀더의 바닥을 말한다. 웨이퍼 홀더의 바닥은 일반적으로 전기 도금 용액과 대면하는 홀더의 측이다. 이 홀더의 바닥은 통상적으로 도금되고 있는 기판의 면과 실질적으로 동일한 방향으로 배향되며 웨이퍼에 대해서 설계 상 (nominally) 평면으로 되어 있다. 통상적으로, 기판 홀더의 바닥은 (기판 홀더가 기판의 에지를 지지하도록) 기판의 주변부를 둘러서 위치하며 기판로부터 외부 방향으로 방사상으로 연장된다. 본 명세서에서 사용된 바와 같이, 용어 "립 실"은 일반적으로 웨이퍼의 에지와 체결되며 웨이퍼의 전체 도금 면을 전기 도금 용액에 노출시키는 동안에 (웨이퍼에 연결되는 전기 컨택트를 포함하여) 웨이퍼 홀더의 내부를 전기 도금 용액으로부터 보호하는 실 (seal) 을 생성하는 웨이퍼 홀더의 부분을 말한다.
단순성 및 명료성을 위해서, 본 명세서에서 대부분의 실례들은 웨이퍼 하향 대면 분수형 (fountain) 도금 장치에 대한 것이다. 이러한 장치에서, 도금될 작업 피스 (통상적으로 반도체 웨이퍼 또는 다른 기판) 는 전반적으로 실질적으로 수평 배향을 가지며 (몇몇 경우에서는 전체 도금 처리 동안에 또는 도금 처리 과정의 일부 동안에 정확하게 수평인 상태로부터 몇 도 정도 만큼 편차가 발생할 수 있음) 도금 동안에 회전하도록 구동되어서 전반적으로 수직 상향 전해질 대류 패턴을 생성할 수 있다. 웨이퍼의 중심으로부터 에지까지의 충돌 플로우 질량의 적분 및 웨이퍼의 중심에 비한 웨이퍼 에지에서의 회전 웨이퍼의 고유한 보다 높은 각 속도로 인해서 방사상으로 증가하는 시어링 (sheering) (웨이퍼 평행한) 흐름 패턴이 생성된다. 컵 및 콘 (cone) 구성을 갖는 클램쉘 타입 전기 도금 장치는 전기 도금 이전 및 동안에 웨이퍼를 그 자리에서 유지하는데 자주 사용된다. 클램쉘 및 분수형 도금 셀/장치의 실례들은 캘리포니아 프레몬트 소재의 램 리서치 사가 생산하고 이로로부터 입수 가능한 전기 도금 시스템의 Sabre®패밀리 내에 포함된다. 또한, 클램쉘 분수형 전기 도금 시스템은 예를 들어서 2001년 8월 10일에 출원된 미국 특허 6,800,187 및 2010 년 2월 11일자에 출원된 미국 특허 8,308,931에 개시되어 있으며, 이 두 특허 문헌은 그 전체 내용이 본 명세성서 참조로서 인용된다. 본 설명이 주로 웨이퍼가 웨이퍼 홀더에 대해서 하향으로 국부적 지표면의 면에 평행한 방향으로 배향되어 있는 바에 대해서 초점을 두고 있지만, 지표면에 대하여서 수직이거나 경사진 다른 배향도 배제되지 않고 고려될 수 있다.
또한, 본 명세서에서의 설명 및 실례들은 전반적으로 주석/은 축적 검출에 초점을 두고 있지만, 실시예들은 검출기가 초점을 두고 있는 영역에서 임의의 반사성 및/또는 도전성 재료의 존재를 검출하도록 실시될 수 있다. 주석/은 축적 프로세스 메카니즘은 이후에 다른 곳에서 어느 정도 상세하게 기술된다. 다른 적절한 시스템의 실례로서, 구리 플레이팅이 구리 전기 도금 동안에 립 실 영역 및 컵 바닥 영역에서 축적될 수 있다. 이러한 구리 증착물은 웨이퍼 표면에서 2가 구리가 1가 구리로 부분적으로 환원되거나 유기 환원성 첨가물 종들이 생성되어서 이들이 웨이퍼 에지, 립 실 영역 및 컵 바닥 영역으로 이동하여서 생성될 수 있다. 이러한 구리 증착물 생성 경로는 아래와 같은 반응식으로 나타난다:
제 1 예시적인 구리 증착물 형성 과정
웨이퍼 표면; Cu+2 + e- (웨이퍼 표면) → Cu+1 , 이어서
컵 바닥: 2Cu+1 → Cu + Cu+2
제 2 예시적인 구리 증착물 형성 과정
웨이퍼 표면; A + e- → A- (환원성 첨가물 생성)
컵 바닥: Cu+2 + 2A- → Cu + 2A
립 실 및 컵 바닥에서 이러한 불요한 금속 증착물과 관련된 문제점은 웨이퍼의 에지 근방에서 보다 많은 피처들을 갖도록 웨이퍼가 설계됨에 따라서 이러한 피처들이 특히 이러한 도금에 의해서 영향을 받을 가능성이 커지면서 더욱 악화된다. 또한, 에지 근방에 피처가 존재하면 웨이퍼 홀더 및 실 상에 불요한 증착물이 생성되는 바가 촉진된다. 에지 근방 피처들과 립 실 플레이팅 간의 간섭은 에지 근방의 피처의 밀도가 높으면 더욱 커지게 된다. 에지 근방에 보다 많은 피처들을 생성하고자 하는 바는 단일 웨이퍼로부터 얻을 수 있는 반도체 디바이스의 수를 최대화하고자 하는데로부터 비롯된다.
본 명세서에서 제공되는 실례들에서, 도금 장치는 도금 동안에 웨이퍼를 지지하는 컵을 포함한다. 이 컵은 웨이퍼의 외연부를 지지함으로써 웨이퍼를 그 자리에서 유지한다. 따라서, 컵은 그의 중심에 대형 개구를 가지며, 이 개구는 웨이퍼의 직경보다 약간 작은 직경을 갖는다. 도 1은 웨이퍼 포지셔닝 시스템 (101) 의 경우에 컵 바닥 (103) 을 갖는 컵 (102) 의 실례를 나타내고 있다. 소정의 경우에, 컵 (102) 및/또는 컵 바닥 (103) 은 PVDF (polyvinlyidene fluoride) (예를 들어서, 프랑스의 Arkema of Colombes 사의 Kynar®) 또는 PTFE (polytetrafluorethleyene) (예를 들어서, 독일의 Dupont of Wilmington 사의 Teflon®), Cuisinart 사의 CeramicaTM or ThermolonTM와 같은 실리콘 및 산소 유리 유사 세라믹 달라붙음 없는 (non-sticking) 재료와 같은, 달라붙음 없음 특성을 갖는 재료로 코팅될 수 있다.
컵 (102) 은 일반적으로 짧은 (예를 들어서, 약 1.0 내지 1.5 mm 높이) 수직 내부 벽을 갖는다. 얇은 (예를 들어서, 0.75 내지 1.5 mm) 립 실 (104) 은 이 수직 내부 벽의 최상부에 위치하며 도금 동안에 웨이퍼와 체결되어서 주변부 실을 형성한다. 전기 도금 동안에, 립 실 (104) 은 립 실 (104) 의 외부에 방사상으로 위치한 전기 컨택트 (미도시) 를 보호한다. 컵의 내부 수직 벽의 바닥에서, 컵은 수평 방사 방향으로 외부로 (방사상 외부 방향이면서 웨이퍼와 평행한 방향으로) 연장된다. 이러한 수평 표면이 컵 바닥 (103) 이다.
컵 자체 상에서의 바람직하지 않는 플레이팅 (plating) 은 초기에 컵 (102) 이 립 실 (104) 과 만나는 컵의 내부 수직 표면 상에서 시작되고, 이러한 플레이팅은 이 수직 내부 벽 표면 아래로 그리고 컵 (102) 의 코너 주변으로 그리고 컵 바닥 (103) 상에서 외부 방사 방향으로 진행할 수 있다. 이러한 플레이팅이 컵 바닥 (103) 에 도달하면, 큰 제조 결함이 발생하며, 컵 바닥 (103) 상에 플레이팅 존재하는 때에 처리되는 웨이퍼는 때로 불량이거나 수율이 매우 낮게 된다. 컵 바닥으로의 플레이팅 전이 및 성장은 부분적으로는 금속이 립 실 (104) 에 비해서 컵 (102) (특히, 컵 바닥 (103)) 에 보다 용이하게 부착되기 때문이다. 컵 바닥 (103) 및 컵 (102) 의 다른 부분이 금속이 그 표면으로 달라 붙는 것을 억제하는 불화 폴리머 코팅 (예를 들어서, PTFE (polytetrafluorethleyene)) 과 같은 달라붙음성이 없는 코팅제로 코팅될지라도, 시간이 지남에 따라서 증착물은 여전히 컵 바닥 (103) 상에 형성되게 된다. 많은 경우에, 일단 플레이팅이 컵 바닥 (103) 상에서 일어나기 시작하면, 증착 레이트는 실질적으로 증가하고 증착은 신속하게 제어되지 않게 되며 전체 컵 바닥 (103) 을 도금해버린다. 웨이퍼 포지셔닝 시스템 (101) 이외에, 도 1은 컵 바닥 플레이팅을 광학적으로 검출하는 바와 관련된 하드웨어를 나타내고 있다. 이 하드웨어는 이하에서 더 기술된다.
주석-은 합금이 종종 반도체 제조 공정의 끝부분에서 (예를 들어서, 주석-은 솔더 컨택트로서) 증착되기 때문에, 총 생산 프로세스에서 이 시점에 도달하기 이전에 다수의 처리 동작들을 통과하므로, 주석-은 증착 프로세스에서 사용되는 웨이퍼는 통상적으로 매우 귀한 것이다. 따라서, 이러한 컵 바닥 상에서의 플레이팅을 검출하지 못하여서 수율이 낮거나 스펙을 벗어난 웨이퍼를 잠재적으로 생성할 수 있으며 이는 특히 코스트가 소모되는 것이다.
특정 이론으로 한정할 필요 없이, 주석-은 합금의 불요한 증착은 원자 상태 주석 대 원자 상태 은 간의 크게 차이가 나는 환원 전위차에 적어도 부분으로 기인되며, 나아가 불요한 증착물의 성장은 먼저는 립 실 웨이퍼 계면에서의 (매우 적은 은 함량으로 해서) 주석 플레이팅 또는 도금 및 이후에 립 실 및 컵 바닥의 표면 상에서의 치환 반응 (Sn + 2Ag+ → Sn2+ + 2Ag) 을 통한 막 성장에 의해서 발생하는데, 상기 치환 반응으로 인해서 (산화 상태 + 2를 갖는) 하나의 주석 원자에 대해서 (산화 상태 + 1을 갖는) 2 개의 은 원자가 치환되며, 이에 대응되는 불요 막 분량이 성장한다. 다시 한 번 말하면, 특정 이론에 한정될 필요 없이, 크게 상이한 환원 전위차, 예를 들자면 약 100 mV 이상보다 큰 환원 전위 차를 갖는 금속들로 형성된 다른 금속 또는 합금 증착물도 역시 전기 도금 어셈블리의 립 실 및 컵 바닥 상의 불요한 금속 증착과 관련된 동일한 또는 유사한 문제를 낳는다.
컵 바닥 상의 금속 증착물로 인해서, (1) 전류 및 전위 분포에서의 국소적 편차로 인해서 컵 바닥 증착부 근방의 영역에서 국부적 비균일성이 발생하며, (2) 전체 웨이퍼에 걸친 평균 증착 두께가 줄어들게 된다. 이로써, 수율 저하는 부유 금속 입자 생성과 관련될 뿐만 아니라 웨이퍼 그 자체 상에서의 도금을 위해서 의도된 전하를 잡아 먹어 버리는 것 (scavenging) 또는 "전류 싱킹 (current sinking)" 에도 관련된다. 상기 첫번째 영향은 웨이퍼 상의 영역에 있어서 컵 바닥 도금이 발생한 위치의 주변부로 전반적으로 국소적이며 국소적인 만큼 전류는 기판의 에지 근방의 피처로부터 컵 방향을 향해서 멀어지게 끌리게 되어서 이 에지 근방에서 피처들은 소망하는 것보다 얇게 된다. 컵 바닥 도금 정도가 증가하면서, 상기 두번째 영향 (전체 웨이퍼에 걸쳐서 소망하는 평균 도금 두께보다 얇게 되는 현상) 은 컵 바닥 도금에서 사용되는 전류의 총 양이 전체 웨이퍼를 도금하는데 필요한 전류의 총 양보다 상대적으로 크고 따라서 웨이퍼 상에 도금된 피처들의 평균 두께가 목표 평균 두께보다 작게 감소되면서 발생하게 된다.
보다 작은 양의 개방 면적 (전기 도금이 요망되는 면적) 을 갖는 기판은 보다 많은 양의 개방 면적을 갖는 기판보다 컵 바닥 플레이팅의 존재에 보다 민감하다. 이러한 작은 개방 면적 및 낮은 피처 밀도의 기판은 컵 바닥 도금 또는 플레이팅 면적의 소망하는 기판 도금 면적에 대한 비가 상대적으로 높다는 사실에 보다 영향을 많이 받는다. 달리 말하면, 전류가 기판에 전달되어야 하는 면적이 작기 때문에, 컵 바닥 도금이 존재하는 경우에 이 전류의 실질적 양의 방향을 변경하는 것이 용이해진다. 이와 대조적으로, 개방 면적이 크고 피처 밀도가 높은 기판에서는, 전류가 전달될 필요가 있는 면적이 보다 크다. 이로써, 컵 바닥 도금이 시작되면 웨이퍼로 전달된 전류 중 상대적으로 적은 양이 그 쪽으로 끌리어지게 된다. 그러나, 두 경우 모두, 목표 평균 두께 균일성을 갖도록 도금되지 않는 웨이퍼 프로세싱을 피하기 위해서 가능한한 신속하게 작은 양의 도금 또는 플레이팅을 즉시 검출하는 것이 중요하다.
위에서 열거된 양 도금 문제에 있어서, 금속 증착물이 (전류가 요구되는) 웨이퍼의 표면으로부터 전류를 상당히 잡아 먹어 버리며 (전류가 금속물이 증착된 자리에서 더욱 더 금속 증착이 불필요하게 발생시킬 수 있는 위치에 있는) 금속 증착물 자신으로 방향을 변경하도록 하기 때문에 해로운 효과가 발생한 것이다. 메모리 장치 상에 상대적으로 작은 개수의 입출력 범프 (bump) 를 형성하는 것과 같은 다수의 주석/은 증착 프로세스에서, 웨이퍼 상의 개방 면적 (즉, 전류가 그 쪽으로 인도되어서 도금이 일어나아야 할 위치) 의 양은 매우 작을 수 있다 (예를 들어서, 웨이퍼 면의 약 0.5 내지 3 % 임). 따라서, 전류는 웨이퍼 상의 매우 작은 면적으로 향하는데, 이 면적은 컵 바닥 상의 금속 증착 면적과 비슷하다. 부분적으로는 2 개의 구역의 면적이 유사하기 때문에, 웨이퍼의 개방 면적으로 향해야 하는 비율적으로 큰 양의 전류가 대신에 컵 바닥으로 향해 버린다. 이로써, 컵 바닥 상의 심지어 작은 양의 금속 증착물이 형성되어도 이 컵 바닥의 주변에서의 웨이퍼 도금에는 크게 영향을 줄 수 있으며, 그 증착 면적이 충분하게 크게 되면 웨이퍼의 전체 도금 두께에 영향을 준다. 물론, 이러한 현상은 웨이퍼 상의 모든 다이 또는 다수의 다이의 고장을 발생시킬 수 있다. 300 mm 웨이퍼 홀더에 있어서 컵 바닥 표면의 면적은 통상적으로 대략 200 cm2 일 수 있다. 300 mm 웨이퍼의 노출 면적은 약 700 cm2 이며, 따라서 300 mm 웨이퍼가 가령 웨이퍼 면적의 1 % 정도의 소량의 부분만 노출되도록 마스킹되면, 약 7 cm2 의 면적이 도금될 것이다. 컵 바닥의 매우 작은 부분, 가령 컵 바닥의 오직 약 0.5 % 만이 또는 1*1 cm 면적 (1 cm2) 만이 도금된다면, 웨이퍼 상의 평균 도금 레이트는 상당한 양 만큼 예를 들어서 약 1/7*100 = 14 % 만큼 감소하게 된다.
불요한 주석/은 축적이 상술한 바와 같은 문제들을 일으키기 때문에, 이러한 주석/은 증착물이 검출되고 립 실 및 컵 바닥으로부터 제거 또는 세정되거나 컵 바닥 및 립 실이 교환되는 것이 중요하다. 상이한 세정 기술들이 사용되어서 이러한 불요한 증착물을 제거할 수 있다. 몇몇 경우에, 세정은 자동으로 수행된다. 다른 경우에, 세정은 수동으로 개시 및/또는 수행될 수 있다. 기판 홀더를 세정하기 위한 예시적인 기술들은, July 31, 2012에 출원되고 그 명칭이 "AUTOMATED CLEANING OF WAFER PLATING ASSEMBLY"인 미국 특허 출원 번호 13/563,619; March 29, 2013에 출원되고 그 명칭이 "CLEANING ELECTROPLATING SUBSTRATE HOLDERS USING REVERSE CURRENT DEPLATING"인 미국 특허 출원 번호 13/853,935; 및 March 28, 2013에 출원되고 그 명칭이 "METHODS AND APPARATUSES FOR CLEANING ELECTROPLATING SUBSTRATE HOLDERS"인 미국 특허 출원 번호 13/852,767와 같은 미국 특허 출원 문헌에 개시되어 있으며, 이러한 3 개의 특허 문헌들은 그 전체 내용이 본 명세서에서 참조로서 인용된다.
몇몇 실시예들에서, 세정 기술들은 립 실 및/또는 컵 바닥의 방향으로 세정 유체 (예를 들어서, 이온수가 사용될 수 있지만 다른 세정 유체가 이하에서 기술될 바와 같이 사용될 수도 있음) 제트 분사를 포함한다. 클램쉘과 세정 유체 분사기가 서로에 대해서 회전할 때에 세정 유체가 주석/은 축적물을 제거한다.
다양한 상이한 세정제/유체가 사용될 수 있다. 몇몇 실시예들에서, 세정제를 선택하는 바는 제거될 불요한 증착물의 조성에 따를 수 있다. 예를 들어서, 원하지 않은 주석-은 합금 증착물의 제거를 위해서는 주석 및 은 금속 및 염이 산화 및/또는 용해 가능한 산화 산성 용액을 사용하면 성공적일 수 있다. 따라서, 몇몇 실시예들에서, 세정제는 산성 및/또는 산화제를 포함한다. 은-주석 합금 증착물을 제거하기 위한 적합한 세정제 또는 세정 용액은 질산 용액이다. 이러한 용액은 예를 들어서 중량상 약 5%, 10%, 15%, 20%, 25%, 35%, 또는 50% 이상의 질산 농도를 가질 수 있거나 이러한 농도들 중 하나의 농도 이하의 농도를 가질 수 있거나 이러한 농도들 중 임의의 한 쌍에 의해서 구획된 범위 내에 존재하는 농도를 가질 수 있다. 몇몇 실시예들에서, 세정제/세정용액은 가령 질산과 염산의 조합 (즉, 왕수 (aqua regia) 를 형성하기 위함) 과 같은 다수의 산(acid)을 사용할 수 있으며, 이 때에 두 산의 농도는 상술한 바와 같은 농도 중 임의의 것으로 존재하거나 상술한 농도 범위 내에 존재할 수 있다. 그러나, 다른 산들 및 조합이 다시 한번 말하자면 상술한 바와 같은 농도 중 임의의 것으로 하거나 상술한 농도 범위 내의 것으로 하여서 사용될 수도 있다. 몇몇 실시예들에서, 세정제는 금속 착화제 (metal complexing agent)일 수 있으며, 통상적으로 이러한 착화제는 제거될 증착물을 구성하는 금속을 착화시킬 수 있는 (complexing) 능력을 갖는 것으로 선택된다. 예를 들어서, 세정제로서 선택되는 착화제는 주석을 착화시킬 수 있기에 옥살산염 이온 (oxalate ion) 일 수 있다. 몇몇 실시예들에서, 다양한 메르캅토 유도체 화합물과 같은 은 착화제가 세정제로서 선택될 수 있다.
공교롭게도, 세정 공정은 항상 100 % 효과가 있는 것이 아니며 은/주석이 세정 후에도 전기 도금 장치 상에 남을 수도 있다. 세정 유체 제트 분사는 사용되어서 원하지 않는 증착물을 제거할 때에, 이 제트 분사기는 통상적으로 웨이퍼 홀더의 내부 수직 영역 (특히, 립 실 영역 및 컵 내부 수직 벽 표면) 을 향해서 분사할 수 있다. 주석/은은 특히 덜 유연한 내부 수직 벽 표면 및 컵 바닥 (여기에서는, 주석이 주석의 경향성으로 인해서 "앵커링" (anchoring) 될 수 있음) 에 부착되기 때문에, 어느 정도의 주석/은 재료가 자동 세정 후에도 이 내부 벽 표면에 남을 수 있다. 이러한 프로세스를 매우 효율적이면서 효과적으로 수행하기 위해서 상당한 작업이 착수된다. 은-주석 입자가 웨이퍼 프로세싱 동안에 립 및 수직 벽 표면 상에서 성장하면, 이 입자는 물 분사 자동 세정 프로세스에 의한 힘에 대해서 보다 민감하기 때문에 제거되게 되어 있다 (현 데이터는 정상적인 생산 동작 조건 하에서 약 99.98 %의 제거 효율을 보였다). 공교롭게도, 이 프로세스는 100 % 효과적이지 않아서 약 5,000 내지 10,000 개의 처리된 웨이퍼 중 약 한번 정도 세정 공정이 통하지 않을 수 있다. 또한, 어떠한 경우에는, 자동 세정 공정은 자동 세정이 성공적이지 못하게 되도록 하는 하드웨어 또는 소프트웨어 상의 결함을 경험할 수 있다. 예를 들어서, 세정 분사기가 오정렬되거나, 하드웨어 상의 암이 스윙되어서 물리적으로 손상되거나 세정 분사기의 압력이 너무 낮을 수 있다.
일단 컵 바닥 상에서 도금이 발생하면, 이 증착물은 종종 자동 세정 공정에 의해서도 제거되지 않을 수 있으며 대신에 딥핑 또는 수동적 스위핑 (swiping) 화학적 에칭 (예를 들어서, 염산과 (염산이 없을 수도 있음) 진한 질산의 화학적 용액 혼합물을 사용하여서 증착물을 수동으로 제거함) 과 같은 상이한 공정을 통해서 제거되어야 한다. 자동 세정이 발생하는 것을 보장하는 소정의 검사 (예를 들어서, 자동 세정 암이 해당 위치로 움직인지를 체크하고 적합한 플로우 및/또는 압력을 보장하기 위해서 센서를 체크하는 바) 가 포함될 수도 있지만, 사전 자동 세정 시스템은 세정 공정이 성공적이었는지를 검출하는 어떠한 메카니즘도 포함하지 않을 수 있다. 따라서, 다양한 세정 결함이 관측되지 않을 수 있으며 이로써 후속 웨이퍼 도금 품질이 저하되게 된다. 따라서, 고가치의 웨이퍼를 처리하기 이전에 컵 바닥 도금물이 존재하는지를 확인하는 기술이 긴요된다.
본 명세서에서 기술된 의제들은 다른 타입의 세정 기술에서도 역시 나타날 수 있다. 일 다른 세정 기술은 그 내에 세정 유체를 포함하는 세정 디스크를 회전시키는 바를 포함하며, 이 기술에서는 디스크 회전으로 인해서 세정액이 디스크 내의 외연부 기공을 통해서 방출되게 된다. 이어서, 세정 용액이 기판 홀더와 접촉하여서 원하지 않은 증착물을 제거한다. 몇몇 실시예들에서, 디스크는 실질적으로 원형의 상부 표면, 실질적으로 원형의 하부 표면, 이 상부 표면 및 하부 표면을 서로 연결하는 실질적으로 원형의 에지부 및 이 에지 내에 있는 다수의 기공 개구를 가질 수 있다. 디스크는 또한 디스크의 내부로 연장되는 내부 영역을 가질 수 있다. 몇몇 실시예들에서, 기공들의 크기는 세정제가 이 세정제와 기공의 내부 표면 간의 접착력에 의해서 기공의 내부 내에 유지되도록 하는 정도로 구성될 수 있다. 이러한 세정 디스크를 사용하는 일 방법은 세정제를 세정 디스크의 다수의 기공 내로 로딩하는 단계와, 디스크를 반도체 처리 장치 내에 배치하는 단계와, 디스크를 회전시키거나 아니면 반도체 처리 장치의 요소들이 방출된 세정제와 접촉하게 되도록 다수의 기공으로부터 세정제를 방출하도록 디스크를 조작하는 단계를 포함한다. 이러한 세정 기술 및 장치는 그 전체 내용이 본 명세서에서 참조로서 인용되는 미국 특허 출원 13/563,619 호에 더 개시되어 있다.
또 다른 방식의 자동 세정 기술은 역 전류 도금 제거 (reverse current deplating) 과 관련된다. 이러한 세정 기술은 세정 (도금 제거) 디스크를 통상적인 처리 기판과 유사한 전기 도금 컵 내에 배치시키는 바를 포함한다. 이 세정 디스크의 전방 표면은 컵의 표면 상의 증착물로의 전기적 접속을 형성하는 부식에 강한 도전성 재료를 포함한다. 이 디스크는 컵 내에서 밀봉되며 도금 용액 내에 침하된다. 역 전류 (즉, 통상적으로 기판 상에 재료를 도금하는데 사용되는 전류와 반대되는 전류)가 이어서 디스크의 전방 도전성 표면에 인가되고 이로써 증착물에 대한 도금 제거를 개시한다. 립 실의 상이한 변형을 유발하고 증착물로의 새로운 전기적 접속을 형성하기 위해서 세정 동안에 컵 내의 실링 (sealing) 압축력이 변화될 수 있다. 이러한 세정 기술은 그 전체가 본 명세서에서 참조로서 인용되는 미국 특허 출원 13/853,935 호에 더 개시되어 있다.
자동 세정이 수행되기 이전에, 세정이 일반적으로 수동으로 수행된다. 오퍼레이터는 진한 질산 용액 또는 금속 축적물을 제거하기 위해서 금속을 용해시킬 수 있는 다른 약액 내에 담거진 스왑 (swab) 을 사용할 것이다. 오퍼레이터는 금속이 완전하게 제거되었는지를 확인하기 위해서 립 실 및 컵 바닥을 시각적으로 검사할 수 있다. 물론, 이는 시간을 소모하는 작업이며 비효율적인 프로세스이며 오퍼레이터에게 위험할 수도 있다. 자동 세정은 이러한 문제점을 해소하고 종래의 수동 세정 기술에 비해서 큰 진보를 보이고 있다. 그러나, 자동 세정의 구현에 있어서, 금속 축적물을 정규적으로 시각적으로 검사하는 오퍼레이터가 존재하지 않으며, 컵 바닥에 증착물이 존재하여도 웨이퍼들은 계속 처리되어서 고가의 웨이퍼 손실을 낳을 수 있다. 오퍼레이터가 도금 장치를 주기적으로 검사한다고 하여도, 오퍼레이터는 시간적 제약 사항 및 다른 다양한 요인들로 인해서 금속 축적물을 확인하는데 한계가 있다. 따라서, 시각적 검사는 가령 매일에 한번 또는 두번만 수행될 수 있다. 시각적 검사가 수행되는 시간 쯤에 다수의 고가의 웨이퍼들이 컵 바닥에 도금물이 존재하는 상태에서 계속적으로 이미 처리되어 버릴 수 있으며, 이로써 이러한 고가의 웨이퍼가 손실되거나 수율이 떨어지게 된다. 이러한 측면에서, 도금 장치의 컵 바닥이 그 상에 증착된 잔여 금속물을 가지고 있는지를 검출하는 방법 및 장치는 유용하다. 이러한 방법 및 장치는 매 웨이퍼가 처리될 때마다 높은 빈도로 해서 전개될 수 있다.
컵 바닥 상의 잔여 금속 증착물 검출 방법
본 명세서에서 기술되는 소정의 실시예들은 잔여 금속 증착물이 컵 바닥 상에 존재하는지의 여부 및 어느 정도로 존재하는지를 검출하는 방법들을 제공한다. 이 방법들은 세정 동작과 동시에 또는 즉시 이후에 실시될 수 있지만, 도금이 발생하지 않는 임의의 시간에 수행될 수 있다. 어떠한 경우에는, 이 검출 방법은 자동 세정 프로세스가 발생할 때마다 실시된다. 다른 경우에는, 이 검출 방법은 많은 빈도 또는 적은 빈도로 해서 실시된다. 예를 들어서, 각 웨이퍼가 처리된 후에 또는 소정의 개수의 웨이퍼가 처리된 후에 또는 소정의 양의 전하 (가령, 쿨롱 (coulomb) 단위로 측정됨) 가 전기 도금 프로세스 동안에 전달된 후에 또는 소정의 총 막 양 또는 막 두께가 전기 도금 프로세스 동안에 증착된 후에 검출이 실시될 수 있다.
검출기는 일반적으로 수직 내벽 근방에서 컵 바닥 상의 증착물을 검출하도록 설계된다. 예를 들어서, 검출기는 수직 내벽의 약 20 mm 내에서 또는 약 10 mm 내에서 또는 약 5 mm 내에서 컵 바닥 상의 증착물을 검출할 수 있다. 몇몇 이유로 인해서 이 영역에서 금속 증착물을 검출하는 것이 유리하다. 먼저, 금속이 컵 바닥 상에 도금되기 시작하면, 금속은 상술된 메카니즘으로 인해서 신속하게 제어 불가능 상태로 성장하게 된다. 따라서, 증착물이 자동 세정이 유효한 지점을 넘어서 최초로 연장되는, 웨이퍼 에지에 매우 근접한 컵 바닥 상의 증착물을 검출하는 것이 바람직하다. 이로써, 컵 바닥 도금 문제가 검출되고 제어 불가능한 상태로 성장하기 이전에 치유될 수 있다.
이는 암 단계 이전의 피부 병소 제거 및 암성 피부 종양의 형성과 대략 유비할 수 있다. 의심되는 병소 (본원의 립 실 도금 입자에 유비됨) 가 전암 병소로서 주기적으로 제거된다. 그러나, 몇몇 병소를 놓치게 되면, 이들은 보다 덜 제어 가능한 (및 잠재적으로 위험한) 암성 병소 (본원의 컵 바닥 도금에 유비됨) 로 성장할 수 있다. 자동 세정 프로세스는 립 실 도금 입자들 (의심되는 병소) 을 제거하고 자동 검출 하드웨어는 나중에는 보다 집중적인 간섭이 필요할 수 있는 컵 바닥 도금 (암성 병소) 의 존재를 판정한다.
립 실 그 자체 상의 증착물을 검출할 수 있지만, 이러한 검출은 오직 한정된 가치만 있는데, 그 이유는 자동 세정 기술이 매우 자주 수행되어서 이러한 립 실 증착물을 제거할 수 있기 때문이다. 금속 증착물은 립 실 영역에서 빈번하게 증착되며 (립 실 증착물이 자주 제거되고 컵 바닥 도금이 발생하지 않을 지라도) 컵 바닥 도금이 개시되기 이전에 립 실 영역에서 금속 증착물이 형성될 수 있다. 자동 세정 하드웨어 및 프로세싱은 증착 물질이 컵 바닥에 도달하여 성장하기 이전에 기판 홀더의 립 실 및 컵의 수직 내벽으로부터 증착 물질을 제거하도록 설계된다. 몇몇 잔여 립 실 증착물 및 내벽 증착물은 이들이 처음 형성되는 시간과 이들이 궁극적으로 제거되는 시간 간에 웨이퍼 상에 큰 증착 상의 문제를 반드시 야기하는 것은 아니다. 예를 들어서, 몇몇 경우에, 소량의 금속이 립 실 영역 상에 증착되고 몇 개의 웨이퍼들이 처리되는 동안에 거기에 남아 있으면서 가능하게는 각 웨이퍼 처리마다 어느 정도 더 큰 크기로 성장할 수도 있다. 결국 자동 세정이 전개되며 증착물이 컵 바닥에 도달하기 이전에 제거된다. 몇몇 경우에, 립 실 상의 증착물 제거는 엘라스토머 립 실의 플렉셔 (flexure) 또는 증착물 입자 자체의 크기로 인해서 용이할 수 있다. 자동 세정은 일반적으로 립 실 증착물을 체크하고 비 제로 신호가 립 실 영역에서 예상되지 않기 때문에 그리고 이 영역에서의 도금은 치명적이지 않기 때문에, 개시된 검출 실시예들은 립 실 영역보다는 컵 바닥 상의 금속 증착물 검출에 초점을 둔다. 이 컵 바닥 영역에서의 금속 검출은 문제의 소지가 있는 도금 상태를 알리는 매우 보다 유용한 척도를 제공한다.
임의의 다양한 검출 방법들이 사용되어서 웨이퍼 처리를 계속할정도로 컵 바닥이 충분하게 세정되었는지를 판정할 수 있다. 통상적으로, 이 방법들은 도전성 표면 또는 비도전성 표면 상의 금속 박층의 존재에 민감하다. 일 실시예에서, 광학적 검출 방법이 사용된다. 다른 실시예에서, 와전류 방법이 사용된다. 다른 실시예에서, 롤링 (rolling) 저항 측정 방법이 사용된다.
광학적 검출 방법을 구현하기 위한 일 기술은 컵 바닥의 검출 영역 상으로 소스 광을 조사하는 단계 및 반사된 광의 양을 측정하는 단계를 포함한다. 이 기술은 웨이퍼 홀더 및/또는 검출기가 회전하는 동안에 수행된다. 금속 증착물은 웨이퍼 홀더 바닥 상에서 오직 하나의 방위 위치 또는 몇 개의 방위 위치에서 존재할 수 있다. 도 1의 실시예로 돌아가면, 광학적 검출 하드웨어 (106) 는 스윙 암 작동 장치 (108) 상에 배치될 수 있다. 광학적 검출 하드웨어 (106) 는 광 소스 (110) 및 검출기 (111) 와 같은 2 개의 주요 구성 요소들을 포함할 수 있다. 스윙 암 (108) 은 컵 바닥 (103) 으로부터 증착물을 제거하는데 사용되는 세정 유체 분사기를 제공하는 노즐 (107) 을 포함한다. 다른 세정 방법이 사용되는 경우에, 노즐 (107) 은 스윙 암 (108) 에 존재하지 않을 수 있다.
검출을 수행하는 일 방법에서, 넓은 스펙트럼의 (가령, 백색) 광 소스가 블랙 또는 칼라 컵 바닥과 함께 사용된다. 블랙 또는 칼라 컵 바닥은 백색 광의 몇몇 파장 또는 모든 파장을 흡수하며 백그라운드 반사된 신호가 매우 작을 것이다. 표면 상에 금속이 존재하면, 금속이 광 소스로부터의 광의 상당한 양을 반사하고 이 반사된 광은 검출기에 의해서 검출된다.
몇몇 구현예들에서, 소스 광은 유색이며 컵 바닥은 이 광 소스의 색상에 대해 보색 관계인 색상 (예를 들자면, 적색 광 소스 및 녹색 컵 바닥) 으로 될 수 있다. 이러한 보색 관계의 색상들을 사용함으로써, 백그라운드 신호가 최소화되는데 그 이유는 광 소스의 주파수에 있는 광은 유색의 컵 바닥에 의해서 흡수되고 존재한다면 반사된 신호는 매우 용이하게 검출될 수 있기 때문이다. 이러한 보색의 컵 바닥은 증착물이 존재하여서 입사 광을 반사하게 되는 위치를 제외하고는 광 소스로부터의 광의 대부분을 흡수한다. 입사 광의 색상은 (예를 들자면, 구리 증착물을 검출하기 위해서 청색, 녹색 또는 청색-녹색 컵을 사용하는 바와 같이) 컵 바닥 상의 금속 증착물의 색상과는 구별되도록 선택될 수 있다.
유색의 컵 바닥이 사용되는 경우에, 그 색상은 컵 바닥 코팅에 의해서 이루어질 수 있다. 소정의 실시예들에서, 이 코팅은 증착물이 컵 바닥 상에 형성되는 것을 억제하기 위해서 불소화 폴리머 (예를 들어서, PTFE 및/또는 PVDF) 로 구성된다.
소정의 실시예들에서, 백색 광 소스로부터의 광은 컵 바닥과 검출기 간에 (또는 광 소스와 컵 바닥 간에) 위치하여서 반사된 (또는 입사된) 신호로부터 소정의 파장을 필터링하는 필터에 의해서 필터링된다. 광학적 필터는 컵 바닥과 동일한 색상인 반사 스펙트럼의 광을 차단하도록 튜닝될 수 있다. 예를 들자면, 녹색 컵 바닥이 사용되는 경우에, 컵 바닥과 검출기 간에 (또는 광 소스와 컵 바닥 간에) 위치한 녹색 광을 차단하는 필터가 사용될 수 있다. 따라서, 필터는 컵 바닥 자체로부터 반사된 백라운드 신호를 최소화하여서 검출기의 감도를 개선한다. 몇몇 경우에, 검출기 자체는 선택된 컵 바닥 설계 색상의 광에 대해서 상대적으로 민감하지 않을 수 있다.
어떠한 방법이 사용되어도, (a) 광이 증착물로부터 반사되고 있는 때에 검출기에서 수신되는 신호와 (b) 어떠한 증착물도 존재하지 않는 때에 검출기에서 수신된 신호 간의 강한 차를 갖는 것이 중요하다.
또한, 다른 검출 기술들이 사용될 수 있다. 소정의 구현예들에서, 와전류 방법이 사용된다. 와전류 테스트 법은 도전성 재료 (내의 결함) 의 존재를 검출하기 위해서 전자기 유도를 이용한다. 전류를 반송하는 원형 코일이 테스트 대상 (본 경우에서는 컵 바닥) 근방에 배치되고 코일 내의 교류 전류가 가변 자계를 생성하고 이 가변 자계는 테스트 대상의 전기적으로 도전성 부분과 상호 작용하여서 와전류를 생성한다. 이 와전류의 크기 및 위상 변화 (또는 단지 이러한 와전류의 존재 여부) 가 모니터링되는데, 이러한 변화는 (예를 들자면, 플라스틱 컵 바닥 상의 금속 증착물의 존재로 인해서) 테스트 대상의 전기 도전성 또는 자기 투과성 변화 또는 그 내의 결함의 존재에 대응한다. 이러한 변화는 제 2 수신 코일을 사용하여서 모니터링되거나 주 여기 코일에서 흐르는 전류 변화를 측정함으로써 모니터링된다.
와전류 기법은 모든 응용 상황에서 적절하지는 않을 수 있다. 예를 들어서, 이 기술은 컵 바닥 상에서 바람직하지 않게 증착된 금속과 본질적으로 컵의 일부분인 금속 (또는 이러한 영역에 존재하는 다른 금속) 간의 차이에 민감하지 않을 수 있다. 예를 들어서, 컵 바닥이 유전체 코팅을 갖는 금속 요소로 구성되는 경우에, 컵 바닥 상에 도금된 금속을 검출하는 것은 용이하지 않을 수 있다. 소정의 구현예들에서, 컵 바닥은 구조적 안정성을 제공하기 위해서 금속 강화 바닥과 같은 금속 구조물을 포함할 수 있다. 이러한 경우에, 와전류 검출 기법은 피해야 한다. 그러나, 컵이 금속을 포함하지 않는 경우에는, 와전류 기법이 사용될 수 있다.
다른 소정의 실시예들에서, 롤링 또는 이동 저항 측정 방법이 사용되어서 금속 증착물의 존재를 검출할 수 있다. 이 방법에서, 컵 바닥의 표면 주변을 움직이는 2 개 이상의 컨텍트가 존재한다. 2 개 (또는 그 보다 많은 수, 가령 4 지점 측정 기술이 사용되는 경우에는 4 개) 의 전기 브러시 또는 롤러가 컵 바닥 표면 상에서 스위핑 (swiping) 또는 롤링 (rolling) 하며 이 버러시들 간 또는 롤러들 간의 저항이 측정된다. 2 개 이상의 컵 바닥 전기 접촉 요소들 간의 전류의 이동에 대한 저항은 금속 증착물이 존재하는 컵 바닥 위치에서는 저하될 것이다. 저항 미터기가 이러한 검출을 위해서 저항 미터기가 사용될 수 있다. 몇몇 실시예들에서, 전압이 컨택트 양단 간에 인가되고 검출기가 이 컨택트 간의 확립될 임계 전류를 찾도록 구성된다. 어떠한 금속 증착물도 존재하지 않으면, 이 컨택트들 간에 완전한 전기 접속은 형성되지 않으며 높은 저항이 검출되거나 어떠한 전류로 흐르지 않게 된다. 그러나, 금속 증착물이 존재하면, 이 금속 증착물은 이 컨택트들 간에 전기 접속을 제공하는 역할을 하며 이로써 전기 회로를 완성하여서 측정 가능한 전류 또는 저항을 확립하게 된다. 일반적으로, 컨택트들은 니들 (niddle) 과는 반대되는 롤러 또는 브러시이어야 한다. 컵 바닥의 구성 및 그의 주변이 어떠한 컵 바닥 도금도 존재하지 않는 경우와 컵 바닥 도금이 존재하는 경우 간에 상이한 신호를 발생시키도록 할 수 있다면, 프로브 주위의 주변 커패시턴스에 민감한 용량 센서들이 마찬가지로 유용할 수 있다. 컵 바닥 증착물의 두께는 소정의 경우에 약 5 내지 10 ㎛ 간에 존재할 수 있다.
전체 컵 바닥 주변의 증착을 모니티링하는 것이 유리하기 때문에, 검출기 및 컵 바닥은 서로에 대해서 회전 또는 스캔하도록 설계될 수 있다. 또한, 본 명세서에서 세부적으로 논의된 특정 실시예에서 컵 바닥은 통상적으로 회전 가능하기 때문에, 검출기 자체는 회전할 필요가 없다. 그러나, 소정의 구현예에서는, 검출기는 회전하고/하거나 움직일 수 있다 (가령, 컵 바닥에 대해서 왕복 운동할 수 있다). 몇몇 실시예에서, 검출 동안의 회전 속도는 약 1 내지 500 RPM, 예를 들자면 약 30 내지 150 RPM일 수 있다. 컵 바닥이 도금 모듈 회전 픽스처 (fixture) 의 일부가 아니고 웨이퍼가 도금 스테이션으로 이동하는 경우 (예를 들어서, 기판 홀더가 도금 툴 내부에서 도금 스테이션으로 이동하는 툴 구성의 경우), 컵 바닥의 에지를 따라서 스캐닝하는 메카니즘이 별도로 필요할 것이다. 검출기가 컵 바닥의 에지를 스캐닝하도록 할 수 있는 임의의 하드웨어가 사용될 수 있다.
도 2는 본 명세서의 실시예들에 따른 방법의 흐름도이다. 이 프로세스 (200) 는 블록 (201) 에서 시작하여서 새로운 웨이퍼 처리가 시작된다. 블록 (203)에서 표시된 바와 같이, 웨이퍼는 전기 도금 장치 내로 로딩된다. 블록 (205) 에서, 웨이퍼가 도금되고/되거나 이와 달리 처리된다. 블록 (207) 에서, 웨이퍼가 기판 홀더로부터 제거된다. 다음에, 블록 (209) 에서, 립 실 세정 공정이 스케줄링되거나 되지 않을 수 있다. 립 실 세정 공정이 착수되지 않는다면, 새로운 웨이퍼가 블록 (201) 에서 처리되기 시작할 수 있다. 립 실 세정 공정이 스케줄링/착수되면, 프로세스 (200) 는 블록 (211) 으로 진행하여서 자동 세정 어셈블리가 세정 위치로 이동한다. 자동 세정 공정이 블록 (213) 에서 수행된다. 다음에, 블록 (215) 에서, 증착물 검출이 수행된다. 블록 (215/217) 에서 어떠한 잔여 증착물도 검출되지 않으면, 자동 세정 어셈블리는 블록 (229) 에서 그의 휴지 위치로 복귀하고 블록 (201) 에서 새로운 웨이퍼가 처리되기 시작한다. 자동 세정 어셈블리를 그의 휴지 위치에 배치함으로써, 이 자동 세정 어셈블리는 후속 기판 처리를 방해하지 않게 된다. 잔여 증착물이 블록 (215/217) 에서 검출되면, 새로운 웨이퍼가 블록 (201) 에서 처리되기 시작되기 이전에 블록 (219) 에서 몇몇 치유 조취가 취해진다. 이러한 치유 조치는 예를 들어서 다시 블록 (221)에서 자동 세정을 수행하는 바, 블록 (223) 에서 도금 셀을 폐쇄하는 바 (즉, 도금 셀을 오프라인 (offline) 상태로 변경하는 바), 블록 (225) 에서 알람 (alarm) 을 전송하는 바 또는 이와 달리 오퍼레이터에게 알리는 바 또는 블록 (227) 에서 수동 세정을 수행하는 바를 포함할 수 있다. 이러한 치유 동작들 중 하나 이상이 자동 세정 후에 잔여 증착물이 존재하는 때에도 착수될 수 있다. 선택 사양적으로, 증착물 검출은 이러한 치유 동작이 착수된 후에 다시 수행되어서 추가 웨이퍼 도금 착수 이전에 컵 바닥이 충분하게 세정되는 것을 보장한다.
도 2에 도시된 실시예들은 통상적인 프로세스 흐름을 나타내지만, 이 흐름도에서 관련된 요소들 중 다수는 본 명세서에서의 실시예들을 실시하는데 필요하지 않는다. 예를 들어서, 도금이 발생하지 않는 시간에는 언제라도 검출이 수행되고 도금 또는 자동 세정 절차를 따를 필요가 없다. 또한, 자동 세정이 착수되지 않거나 채용된 세정 공정이 웨이퍼 제거를 요구하지 않는다면 검출이 수행되기 이전에 웨이퍼는 제거될 필요가 없다. 달리 말하면, 검출이 전기 도금 셀 내에서 수행될 수 있다.
도 2에 도시된 바와 같이, 다양한 치유적 동작들이 잔여 증착물이 검출되는 경우에 취해질 수 있다. 예를 들어서, 증착물이 컵 바닥 상에 남아 있는 경우에, 알람이 울려서 도금 셀이 오염되었음을 오퍼레이터에게 알릴 수 있다. 오퍼레이터는 이어서 컵 바닥을 세정하기 위한 추가적 조치를 착수하기로 선택할 수 있다. 일 경우에, 자동 세정 공정이 반복될 수 있다. 그러나, 주석/은 재료는 컵 바닥 표면에 앵커링될 수 있기 때문에, 추가적인 자동 세정만으로 컵 바닥으로부터 증착물을 제거하기에는 충분하지 않을 수 있다. 또한, 수동 세정이 수행되어서 컵 바닥 상의 증착물을 제거할 수 있다. 임의의 경우에, 컵 바닥 상에 증착물이 남아 있는 전기 도금 장치 내에서는 그 증착물이 제거되기 까지는 전기 도금이 진행되지 말아야 한다. 그렇지 않고, 오염된 전기 도금 장치 내에서 추가적으로 웨이퍼를 도금하게 되면 이 기판은 사용 불가하거나 그 수율이 떨어지게 될 수 있다. 컵 바닥이 도금된 전기 도금 장치가 즉시 세정될 수 없으면, 이 장치는 오프 라인으로 변경되어서 다음의 웨이퍼들이 손상되는 것을 방지할 수 있다. 전기 증착 및 자동 세정 공정을 기술하는 추가 흐름도가 그 전체가 본 명세서에서 참조로서 인용되는 미국 특허 출원 13/852,767의 도 7에서 볼 수 있다. 해당 설명 부분이 이러한 프로세스들이 소정의 실시예들에서 어떻게 수행될 수 있는지를 추가적으로 세부적으로 말하고 있다.
검출 장치
일 실시예에서, 전기 도금 장치는 이 장치 내에 포함되는 검출 메카니즘을 포함한다. 이 전기 도금 장치는 도금 동안에 웨이퍼를 홀딩하는 컵과, 웨이퍼와 컵 간의 계면을 실링하는 립 실과, 도금 동안에 전류/전위를 웨이퍼에 인가하기 위한 전기 컨택트와, 다른 통상적인 전기 도금 장치 구성 요소들 (예를 들어서, 유입구 및 유출구 등을 갖는 전기 도금 챔버) 을 포함한다. 검출 메카니즘은 상이한 웨이퍼 처리 시간 간에 해당 위치로 스윙하는 암 상에 위치할 수 있다. 또한, 검출 메카니즘은 자동 세정 어셈블리 상에 직접적으로 통합될 수 있다 (이 자동 세정 어셈블리 자체는 전기 도금 장치 내에 통합되거나 그렇지 않을 수 있다). 이 실시예는 검출 메카니즘 및 자동 세정 메카니즘이 모두 도 1에 도시된 바와 같이 필요할 때에 해당 위치로 이동하는 단일 스윙 암 상에 위치할 수 있으므로 유리하다. 단일 스윙 암을 가지면 장치가 보다 컴팩트하고 사용하기 용이하고 제조 비용이 더 저렴해지기 때문에 유리하다. 또한, 단일 스윙 암은 다중 스윙 암이 사용되는 경우에 비해서 잠재적 고장 포인트가 더 적어지기 때문에 또한 유리하다. 다른 실시예에서, 검출 메카니즘은 자동 세정 스테이션, 도금 셀 또는 다른 검출 전용 위치에 설치될 수 있는 독립형 기구일 수 있다. 이러한 독립형 실시예는 단일 검출 메카니즘이 다수의 전기 도금 셀들 내의 웨이퍼 홀더들 간에 용이하게 공유될 수 있기 때문에 유리하다.
검출 메카니즘은 체결되어서 컵의 수직 내벽의 약 20 mm 내에서 또는 이 수직 내벽의 약 10 mm 내에서 또는 이 수직 내벽의 약 5 mm 내에서 컵 바닥 상의 증착물을 검출하도록 위치될 수 있다. 몇몇 경우에, 검출 메카니즘은 유체를 자동 세정 노즐에 공급하는 유체 공급 라인 상에 실장될 수 있다. 검출 메카니즘은 자동 세정 어셈블리 또는 전기 도금 메카니즘으로부터 분리 가능하거나 이에 영구적으로 부착될 수 있다. 검출 메카니즘이 다른 요소에 영구적으로 부착된 경우에, 도금 동안에 이 검출 메카니즘을 멀리 이동시킬 수 있는 방법이 존재해야 한다 (예를 들어서, 검출 메카니즘은 해당 위치로 스윙할 수 있는 암에 영구 고정될 수 있다). 이 검출 메카니즘은 (예를 들어서, 광학적 반사 강도 검출기가 사용되는 경우에 광 소스와 광 수신기 간의 정렬과 같은) 적절한 검출기 정렬을 유지하기 위해서 상대적으로 안정되게 실장되어야 한다.
광학적 검출 방법에 대한 다양한 구현예들이 이용 가능하다. 일 경우에, 광 소스와 광 검출기가 컵 바닥 근방에서 서로 이격되게 배치되어서 컵 바닥 상의 증착물을 검출할 수 있다. 이 실시예가 광 소스 (110) 및 광 검출기 (111) 를 갖는 도 1에 도시되어 있다. 이러한 설계에서, 광 소스 및 광 검출기는 컵 바닥에 대한 수직선을 중심으로 동일한 각도이지만 서로 대향하는 방향으로 (즉, 입사 광 각도와 반사 광 각도가 상기 수직선을 중심으로 동일하게) 동일한 면에 있도록 위치한다. 다른 구현예에서, 광 소스로부터의 광 및/또는 광 검출기로의 광은 광 섬유 또는 다른 도파관을 통하여 이동할 수 있다. 이 경우에 광 섬유의 단부들이 컵 바닥의 근처에 있으면서 광 소스의 위치 및 각도 또는 광 검출기의 위치 및 각도를 컵에 대해서 설정할 수 있다.
몇몇 설계에서, 입사 광 및 반사 광이 자유 공간을 통해서 이동할 수 있다. 몇몇 설계에서, 광은 광 섬유의 단부들과 컵 바닥 표면 간에서 웨이퍼 층을 통과할 수 있다. 광 섬유 설계에서는, 광 소스 및 검출기는 보다 자유롭게 위치할 수 있다. 달리 말하면, 광 소스 및 검출기 자체들은 상기 컵 바닥에 대해서 수직인 선에 대해서 동일한 각도만큼 오프셋되게 위치할 필요도 없으며 또한 동일한 면에 위치할 필요도 없다. 그러나, 광 섬유의 단부들 (예를 들어서, 광 소스와 연결되어서 광을 조사하는 광 섬유의 단부 및 반사된 광이 검출기에 연결된 광 섬유로 들어가게 되는 광 섬유 단부) 신중하게 배치될 필요가 있다. 본 명세서에서 사용되는 바와 같이 (달리 특정되지 않는다면) 광 소스는 그로부터 광이 컵 바닥 상으로 조사되는 하나 이상의 광 섬유를 포함할 수 있으며, 광 수신기는 반사된 광이 그 내로 들어가며 광 검출기에 연결된 하나 이상의 광 섬유를 포함할 수 있다. 각 광 섬유는 광 유입부 및 유출부를 포함한다. 소스 광 섬유의 광 유출부 및 광 수신기 광 섬유의 광 유입부는 기판 홀더 근처에 위치해야 한다. 특히 유용한 컴팩트한 설계는 광 소스 및 광 수신기의 단부들이 매우 서로 근접하게 되는 설계이다. 일 실례에서, 광 소스 및/또는 광 수신기는 함께 다발을 이루며 컵 바닥 근처에 위치하게 되는 많은 개수의 광 섬유들 (예를 들어서, 몇몇 경우에 최소 약 10 개 또는 최소 약 50 개의 광 섬유들) 로 형성될 수 있다. 몇몇 실시예들에서, 광 섬유 다발로 이루어진 광 소스는 소스 광을 컵 바닥에 직접적으로 (즉, 수직으로) 조사할 수 있다. 몇몇 실시예들에서, 광 섬유들은 실질적으로 어느 정도의 거리에 걸쳐서 실질적으로 동축으로 배열될 수 있다. 소스 광은 이 광 섬유 다발 내의 몇몇 광 섬유 또는 모든 광 섬유에 의해서 전달될 수 있다. 소정의 경우에, 이 광 섬유 다발 내의 다른 광 섬유들은 반사된 광을 수신하여서 이를 검출기로 전송하는데 사용될 수 있다. 일 구현예에서, 광 섬유들 중 대략 절반은 원격 광 소스로부터의 광을 제공하고 나머지 절반은 컵 바닥으로부터 반사된 광을 수신하여서 이 반사된 광을 검출기에 전달할 수 있다. 탐침 광을 전달하는 광 섬유의 개수와 반사된 광을 수신하는 광 섬유의 개수 간의 비는 달리 설정될 수 있다.
도 3a 및 도 3b는 컵 바닥 (303) 을 갖는 컵 (302), 립 실 (304) 및 전기적 컨택트 버스 (buss) 실린더 (320) 를 포함하는 기판 홀더와 상호 작용하는 광 검출 하드웨어 (301) 를 나타내고 있다. 전기적 컨택트 버스 실린더 (320) 는 몇몇 실시예들에서 컵 (302) 과 일체화될 수 있다. 전기 도금을 위해서 사용될 때에, 기판은 점선으로 표시된 위치 (325) 에서 기판 홀더에 의해서 유지된다. 이 기판은 검출이 수행될 때에 이 위치 (325) 에 있을 수도 있고 그렇지 않을 수도 있다. 광학적 검출 하드웨어 (301) 는 광 소스 (310), 광 검출기 (311) 및 광 섬유 다발 (315) 로 결합될 수 있는 광 섬유들 (312,313) 을 포함한다. 광 섬유 (312) 는 광 소스 (310) 로부터 광을 전달받아서 광 섬유 다발 (315) 로부터 광을 컵 바닥 (303) 상에 조사한다. 광 섬유들 (312/315) 로부터 조시된 입사 광은 화살표 (330) 로 표시되어 있다. 광 섬유 (313) 는 컵 바닥 표면 (303) 으로부터 반사된 광을 전달 받아서 검출기 (311) 로 전송한다. 이 컵 바닥 (303) 으로부터 반사된 광은 화살표 (340A, 340B) 로 표시되어 있다. 도 3a에서, 어떠한 금속 증착물도 검출 중인 컵 바닥 표면 (303) 의 일부 상에 존재하지 않는다. 이로써, 광 섬유 다발 (315) 을 향해서 거의 광이 반사되지 않는다 (얇은 화살표 (340A) 참조). 화살표 (340A) 는 두께가 상대적으로 얇은데 이는 상대적으로 적은 양의 광이 반사됨을 표시하기 위한 것이다. 이와 대조하여서, 도 3b에서는, 금속 증착물 (350) 이 컵 바닥 (및 수직 내벽 /립 실 (304) 영역) 상에 존재한다. 따라서, 입사 광 (330) 중 보다 많은 양의 광이 화살표 (340B) 로 표시된 바와 같이 반사된다. 화살표 (340A)에 비해서 화살표 (340B) 가 상대적으로 두꺼운데 이는 입사 광 (330) 의 보다 많은 양이 광 섬유 다발 (315) 로 다시 반사됨을 나타내기 위한 것이다.
통상적으로, 검출기는 광학적 반사 광 강도를 측정할 수 있는 장치이다. 이러한 장치의 실례들은 반도체 포토다이오드, 포토멀티플라이어 (photomultiplier) 등과 같은 광검출기를 포함한다. 선택된 장치의 타입과 무관하게, 설치된 장치는 표면 또는 대상으로부터 반사된 후에 검출기로 입사되는 광의 강도를 측정할 수 있다. 검출기는 광 (broad) 대역 파장 또는 협 대역 파장에 걸쳐서 반사 광의 강도를 측정할 수 있다. 검출기와 이와 연관된 광학 요소들은 (a) 증착물이 존재하여서 이로부터 광이 반사되는 때에 수신된 신호와 (b) 증착물이 존재하지 않는 경우에 반사된 광 신호가 서로 구별될 수 있도록 설계된다.
소정의 변경이 수행되어서 검출기의 감도 또는 감별력을 개선할 수 있다. 예를 들어서, 광 소스로부터의 광은 광이 오염되지 않은 컵 바닥에 의해서는 실질적으로 흡수되고/되거나 금속 증착물에 의해서 실질적으로 반사가 되도록 하는 색상 (color) 을 가질 수 있다. 따라서, 소정의 실시예에서, 컵 바닥의 색상은 광 소의 색상과 보색 관계에 있을 수 있다. 소정의 실시예에서, 필터가 사용되어서 소정의 광 파장, 특히 컵 바닥에 의해서 정상적으로 반사되는 (또는 흡수되지 않는) 파장을 차단할 수 있다. 이러한 변경예들은 위에서 상술한 바가 있다.
몇몇 구현예들에서, 검출기는 와전류 센서이다. 와전류 센서는 드라이버로부터 교류를 수신하는 주 여기 코일을 포함할 수 있다. 교류 전류는 목표 재료 내에서 와전류를 유도하는 가변 자계를 생성한다. 소정의 경우에, 와전류는 제 2 수신 코일을 사용하여서 모니터링된다. 이와 달리 또는 추가적으로, 와전류들은 주 여기 코일에서 흐르는 전류의 변화를 측정함으로써 모니터링될 수 있다. 와전류 검출기가 사용되면, 이 검출기 및 컵 바닥은 검출 동안에 균일한 거리로 떨어져서 유지되어야 하는데 그 이유는 거리 변화에 매우 민감하기 때문이다.
검출기는 또한 롤링 저항 검출기일 수 있다. 이러한 타입의 검출기는 테스트 표면 (예를 들어서, 컵 바닥) 을 접촉하는 2 개의 전기적 컨택트, 이 컨택트들 간의 전기적 접속부 및 전원을 포함한다. 2 개의 전기적 컨택트는 서로 작은 거리만큼 떨어져서 (예를 들어서, 컨택트들은 컵 바닥 상에서 약 0.25 내지 4 mm 만큼 서로 떨어질 수 있음) 컵 바닥과 접촉하도록 위치한다. 어떠한 증착물도 존재하는 않는다면, 전기적 컨택트들 간에 회로가 완성되지 않으며 어떠한 신호도 생성되지 않는다. 그러나, 증착물이 존재하면, 이 금속 증착물이 이 2 개의 컨택트들 간에 전기적 회로를 완성하여서 검출 가능한 신호가 생성된다. 몇몇 경우에, 이들 컨택트들은 롤러들이며, 다른 경우에는 브러시들일 수 있다.
다른 타입의 검출기들이 또한 본 개시의 범위 내에서 사용될 수 있다. 그 실례는 용량 센서 또는 자기 센서를 포함한다. 자기 센서는 해당 재료가 영구적으로 자기 상태를 가지거나 자기적 힘에 민감한 재료이면 (예를 들어서, 철, 니켈, 코발트이면) 적합할 수 있다.
다수의 장치 구성들이 본 명세서에서 개시된 실시예들에 따라서 사용될 수 있다. 웨이퍼 홀더의 일 실례는 웨이퍼의 전면 상에서 도금이 진행되도록 하면서 도금 용액으로부터 웨이퍼의 배면측을 실링하는 상술한 바와 같은 클램쉘 픽스처를 포함한다. 이 클램쉘 픽스처는 예를 들어서 웨이퍼의 베벨 (bevel) 위에 배치된 실을 통해서 또는 이 베벨 근처에 배치된 실과 함께 웨이퍼의 후방에서 인가된 기계적 힘과 같은 힘에 의해서 웨이퍼를 지지할 수 있다. "콘 (cone)" 이 때로 사용되어서 이러한 기계적 힘을 제공한다.
전기 도금 시스템
도 4는 예시적인 전기 증착 시스템의 평면 개략도이다. 전기 증착 장치 (400) 는 3 개의 개별 전기 도금 모듈 (402,404,406) 을 포함할 수 있다. 이 전기 증착 장치 (400) 는 다양한 다른 프로세스 동작을 위해서 구성된 3 개의 다른 별도의 모듈 (412,414,416) 을 더 포함할 수 있다. 예를 들어서, 몇몇 실시예들에서, 모듈 (412,414,416) 중 하나 이상은 SRD (spin rinse drying) 모듈일 수 있다. 다른 실시예들에서, 모듈 (412,414,416) 중 하나 이상은 PEM (post-electrofil module) 일 수 있으며, 이 경우 각 모듈은 기판들이 전기 도금 모듈 (402,404,406) 중 하나에 의해서 처리된 후에 기판들에 대해서 에지 베벨 제거, 배면 에칭 및 산성 에칭과 같은 처리를 수행하도록 구성될 수 있다.
전기 증착 장치 (400) 는 중앙 전기 증착 용액 저장부 (424) 를 포함한다. 이 중앙 전기 증착 용액 저장부 (424) 는 전기 도금 모듈 (402,404,406) 내에서 전기 도금 용액으로서 사용되는 약액을 유지하는 챔버이다. 전기 증착 장치 (400) 는 전기 도금 용액용 첨가제를 저장 및 전달할 수 있는 도징 시스템 (dosing system) (426) 을 더 포함할 수 있다. 화학 희석 모듈 (422) 이 에칭제로서 사용될 화화제들을 저장 및 혼합할 수 있다. 여과 및 펌핑부 (428) 는 전기 증착 용액 저장부 (424) 용의 전기 도금 용액을 여과하고 이를 전기 도금 모듈들로 펌핑할 수 있다. 소정의 실시예들에서, 전기 증착 장치 (400) 는 전기 증착 장치의 일부 상의, 예를 들어서 컵 바닥 상의 금속 증착물을 검출하기 위한 검출 장치 (미도시) 를 더 포함할 수 있다. 이 장치 (400) 는 또한 전기 증착 장치 (400) 의 일부분 (예를 들어서, 기판 홀더의 컵 바닥) 을 세정하기 위한 자동 세정 노즐 또는 다른 하드웨어 (미도시) 를 포함할 수 있다.
시스템 제어기 (430) 는 전기 증착 장치 (400) 를 동작시키는 전자적 제어 및 인터페이스 제어를 제공한다. 이 시스템 제어기 (430) (하나 이상의 물리적 또는 논리적 제어기를 포함할 수 있음) 는 전기 증착 장치 (400) 의 특성 몇몇 또는 모두를 제어한다. 시스템 제어기 (430) 는 통상적으로 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함한다. 이 프로세서는 CPU, 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 및 다른 유사한 구성 요소들을 포함한다. 본 명세서에서 개시된 바와 같은 적합한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행될 수 있다. 이러한 인스트럭션들은 시스템 제어기 (430) 와 연관된 메모리 장치 상에 저장되거나 네트워크 상에서 제공될 수 있다. 소정의 실시예들에서, 시스템 제어기 (430) 는 시스템 제어 소프트웨어를 실행한다.
전기 증착 장치 (400) 내의 시스템 제어 소프트웨어는 전기 증착 장치 (400) 에 의해서 수행되는 특정 프로세스의 타이밍, 전해질 성분 믹싱 (하나 이상의 전해질 성분들의 농도를 포함함), 유입구 압력, 도금 셀 압력, 도금 셀 온도, 기판 온도, 기판 및 임의의 다른 전극에 인가된 전류 및 전위, 기판 위치, 기판 회전 속도 또는 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다.
시스템 제어 로직은 자동 세정 시스템을 세정 위치로 이동시키고 자동 세정 법을 수행하기 위한 인스트럭션들을 더 포함할 수 있다. 또한, 시스템 제어 로직은 검출 메카니즘을 해당 위치로 이동시키며 검출 방법을 수행하기 위한 인스트럭션들을 더 포함한다. 제어기는 소정의 구현예에서 세정 공정이 완료된 후에 또는 몇몇 다른 시간 또는 빈도로 해서 검출을 개시하도록 프로그램될 수 있다. 검출 인스트럭션은 검출기를 턴 온시키고 검출기에 대해서 전기 도금 장치 (또는 이의 일부) 를 회전시키고 검출기로부터의 신호를 기록하기 위한 인스트럭션들을 포함한다. 시스템 제어 로직은 검출기로부터의 신호를 해석하여서 컵 바닥이 추가적 웨이퍼 처리를 수행하기에 충분하게 깨끗한 지의 여부를 (즉, 컵 바닥에 검출가능한 증착물이 존재하지 않는지의 여부를) 판정하기 위한 로직을 더 포함한다. 또한, 이 시스템 제어 로직은 세정 시스템 및/또는 검출 메카니즘이 더 이상 필요하지 않으면 이들을 휴지 위치 (park position) 로 복귀시키기 위한 인스트럭션을 더 포함한다.
시스템 제어 로직은 컵 바닥이 금속 증착물을 포함하고 있거나 이와 달리 오염되었다는 검출 결과에 응답하여서 하나 이상의 치유적 조치를 수행하기 위한 인스트럭션들을 더 포함할 수 있다. 예를 들어서, 제어기는 컵 바닥 상에 증착물이 존재한다는 판정에 응답하여서 알람을 울리거나 이와 달리 이를 오퍼레이터에게 통보한다. 이와 달리 또는 추가적으로, 제어기는 컵 바닥 상에 증착물이 존재한다는 판정에 응답하여서 전기 도금 셀을 오프 라인 상태로 둘 수 있다. 몇몇 경우에, 컵 바닥 상에 증착물이 존재한다는 판정에 응답하여서 제어기는 자동 세정 또는 다른 세정 공정을 재개시할 수 있다. 세정/검출 시퀀스의 일 실례는 자동 질산 세정액 분사, 컵 및 컵 바닥 린스, 컵 바닥 도금 재 검출 및 이어서 온라인 상태로 복귀하는 순서이다. 소정의 경우에, 제어기는 수동 세정이 필요하다는 바를 오퍼레이터에게 알릴 수 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수 있다. 예를 들어서, 다양한 프로세스 툴에 의한 공정을 수행하는데 필요한 프로세스 툴 구성 요소들의 동작을 제어하도록 다양한 프로세스 툴 구성 요소 서브루틴 또는 제어 객체가 기록될 수 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수 있다. 이러한 언어는 프로그램 가능한 로직 디바이스 (가령, FPGA), ASIC 또는 다른 적합한 비히클 (vehicle) 로 해서 하드웨어로서 구현될 수도 있다.
몇몇 실시예들에서, 시스템 제어 로직은 상술한 바와 같은 다양한 파라미터를 제어하기 위한 인스트럭션들을 시퀀싱하는 IOC (input/output control) 를 포함한다. 예를 들어서, 전기 증착 프로세스의 각 페이즈 (phase) 는 시스템 제어기 (430) 에 의해서 실행되는 하나 이상의 인스트럭션들을 포함할 수 있다. 침지 공정 페이즈는 위한 공정 조건을 설정하기 위한 인스트럭션들이 예를 들어서 대응하는 침지 레시피 페이즈에 포함될 수 있다. 마찬가지로, 도금 페이즈, 자동 세정 페이즈, 웨이퍼 홀더 검사 페이즈 등에 대한 개별 레시피가 제공될 수 있다. 몇몇 실시예들에서, 이러한 전기 도금 페이즈들은 순차적으로 배열되며 이로써 전기 도금 공정 페이즈에 대한 모든 인스트럭션들이 해당 공정 페이즈와 동시에 실행될 수 있다.
제어 로직은 몇몇 실시예들에서 프로그램들 또는 프로그램들의 섹션들과 같은 다양한 컴포넌트 (component) 로 분할될 수 있다. 이러한 목적을 위한 로직 컴포넌트의 실례는 기판 포지션닝 컴포넌트, 전해질 성분 제어 컴포넌트, 압력 제어 컴포넌트, 히터 제어 컴포넌트, 전위/전류 전원 제어 컴포넌트, 자동 세정 컴포넌트 및 웨이퍼 홀더 검사 컴포넌트를 포함한다.
몇몇 실시예들에서, 시스템 제어기 (430) 와 연계된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이, 포인팅 장치, 키보드, 터치 스크린, 마이크로폰 등과 같은 사용자 입력 장치를 포함할 수 있다.
몇몇 실시예들에서, 시스템 제어기 (430) 에 의해서 조절되는 파라미터들은 프로세스 조건과 연관될 수 있다. 비한정 실례는 다양한 스테이지에서의 배스 조건 (온도, 성분 및 플로우 레이트), 기판 위치 (회전 레이트, 선형 (수직) 속도, 수평으로부터의 각도) 등을 포함한다. 이러한 파라미터들은 사용자 인터페이스를 사용하여서 입력될 수 있는 레시피 형태로 사용자에게 제공될 수 있다.
이 프로세스를 모니터링하기 위한 신호가 다양한 프로세스 툴 센서로부터 시스템 제어기 (430) 의 아날로그 및/또는 디지털 입력 접속부들에 의해서 제공될 수 있다. 프로세스를 제어하기 위한 신호는 프로세스 툴의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수 있다. 이러한 프로세스 툴 센서들의 비한정적 실례들은 대량 플로우 제어기, (마노미터와 같은) 압력 센서, 써모커플, 광학적 위치 센서, 금속 증착물 검출기 (예를 들어서, 광학적 반사 강도 검출기, 와전류 센서 또는 롤링 저항 검출기) 등을 포함할 수 있다. 적절하게 프로그램된 피드백 알고리즘 및 제어 알고리즘이 이러한 센서들로부터의 데이터와 함께 사용되어서 도금 동작 및 비도금 동작에서의 프로세스 조건들을 유지 관리할 수 있다.
일 실시예에서, 인스트럭션들은 기판을 웨이퍼 홀더 내로 삽입하고, 기판을 틸팅 (tilting) 하며, 침지 동안에 기판을 바이어싱하고, 기판 상에 은/주석 재료를 전기 증착하고, 검출 메카니즘을 해당 위치로 이동시키고, 금속 증착물이 컵 바닥 상에 존재하는지의 여부를 검출하기 위한 인스트럭션들을 포함할 수 있다.
핸드-오프 툴 (440) 이 카세트 (442) 또는 카세트 (444) 와 같은 기판 카세트로부터 기판을 선택한다. 카세트 (442) 또는 카세트 (444) 는 FOUP (front opening unified pod) 일 수 있다. FOUP는 제어 환경에서 기판을 안전하면서 안정되게 유지하고 적합한 로딩 포트 및 로봇 핸드링 시스템이 구비된 툴들에 의해서 프로세싱 또는 측정되기 위해서 기판이 분리 이동되게 하도록 설계된 인클로저 (enclosure) 이다. 핸드 오프 툴 (440) 은 진공 흡착 또는 몇몇 다른 흡착 메카니즘을 사용하여서 기판을 유지할 수 있다.
핸드 오프 툴 (440) 은 웨이퍼 핸들링 스테이션 (432), 카세트 (442 또는 444), 트랜스퍼 스테이션 (450) 또는 정렬기 (448) 와 인터페이싱할 수 있다. 트랜스퍼 스테이션 (450) 으로부터, 핸드 오프 툴 (4460 은 기판에 대한 액세스를 얻을 수 있다. 트랜스퍼 스테이션 (450) 은 핸드 오프 툴 (440, 446) 이 정렬기 (448) 를 통과하지 않고 기판을 그로 전달하거나 그로부터 전달받는 위치 또는 슬롯일 수 있다. 그러나, 몇몇 실시예들에서, 전기 도금 모듈로의 정확한 전달을 위해서 기판이 핸드 오프 툴 (446) 상에서 적절하게 정렬되도록 하기 위해서, 핸드 오프 툴 (446) 은 기판을 정렬기 (448) 에 정렬시킬 수 있다. 핸드 오프 툴 (446) 은 또한 기판을 전기 도금 모듈들 (402,404,406) 중 하나 또는 다양한 프로세스 동작들을 위해서 구성된 3 개의 별도의 모듈들 (412,414,416) 중 하나로 전달할 수 있다.
순차적 도금, 린싱, 건조 및 PEM 공정 동작들을 통하여 기판을 효율적으로 순환시키도록 구성된 장치가 구리를 전기 도금하는 바와 같은 소정의 전기 도금 시스템에서 사용되기 위해서 구현되면 유용할 수 있다. 이를 달성하기 위해서, 모듈 (412) 이 스핀 린스 건조기 및 에지 베벨 제거 챔버로서 구성될 수 있다. 이러한 모듈 (412) 를 사용하면, 기판은 단지 도금 동작 및 EBR 동작을 위해서 전기 도금 모듈 (404) 과 모듈 (412) 간에서 전송되기만 한면 된다.
전기 증착 장치 (500) 의 다른 실시예가 도 5에서 개략적으로 예시되어 있다. 이 실시예에서, 전기 증착 장치 (500) 는 다수의 전기 도금 셀 (507) 로 구성된 세트를 포함하며, 각 전기 도금 셀은 전기 도금 배스 (bath) 를 포함하며, 이 세트는 쌍 구성을 갖거나 다수의 듀엣 (duet) 구성을 갖는다. 전기 도금 동작 그 자체 이외에, 전기 증착 장치 (500) 는 예를 들어서 스핀 린싱, 스핀 건조, 금속 및 실리콘 습식 에칭, 무전해 증착, 전해질 폴리싱 (electroplishing), 사전 웨팅 (pre-wetting), 사전 화학 처리, 환원, 어닐링, 포토레지스트 탈피 등과 같은 다양한 다른 전기 도금과 연관된 프로세스 및 하위 공정들을 수행할 수 있다. 전기 증착 장치 (500) 는 도 5에서 위에서 아래로 본 개략적 평면도로 도시되어 있으며 오직 하나의 레벨 또는 "플루어 (floor)" 가 이 도면에서 드러나 있지만 Lam Research SabreTM 3D 툴과 같은 장치는 각각이 잠재적으로는 서로 동일하거나 상이한 타입의 프로세싱 스테이션을 갖는, 서로 상하로 적층된 2 개 이상의 레벨들을 가질 수 있음을 본 기술 분야의 당업자느 용이하게 이해할 것이다.
다시 도 5를 참조하면, 전기 도금 처리될 기판이 일반적으로 프론트 엔드 로딩 FOUP (501) 를 통해서 전기 증착 장치 (500) 로 공급되고 본 실례에서는 FOUP로부터 프론트 엔드 로봇 (502) 을 통해서 전기 증착 장치 (500) 의 주 기판 처리 구역으로 이동되며, 이 로봇 (502) 은 접근 가능한 스테이션들 중 하나의 스테이션에서 다른 스테이션으로 다차원으로 해서 스핀들 (503) 에 의해서 구동되는 기판 (506) 을 후퇴 및 이동시킬 수 있으며, 본 실례에서는 2 개의 프론트 엔드 액세스 가능한 스테이션 (504) 및 2 개의 프론트 엔드 액세스 가능한 스테이션 (508) 이 도시되어 있다. 이 프론트 엔드 액세스 가능한 스테이션들 (504, 508) 은 예를 들어서 사전 처리 스테이션 및 SRD (spin rinse drying) 스테이션을 포함할 수 있다. 프론트 엔드 로봇 (502) 의 측 간 측 방향 이동은 로봇 트랙 (502a) 을 사용하여서 달성될 수 있다. 기판 각각 (506) 은 모터 (미도시) 에 연결된 스핀들 (503) 에 의해서 구동되는 컵/콘 어셈블리 (미도시) 에 의해서 유지되며, 모터는 실장 브라켓 (509) 에 부착될 수 있다. 또한, 본 실례에서는, 총 8 개의 전기 도금 셀들 (507) 에 대해서 4 개의 쌍형 또는 듀엣형 전기 도금 셀 세트 (507) 로 구성되게 도시되었다. 전기 도금 장치는 또한 예를 들어서 컵 바닥 상와 같은 기판 장치의 구역 상의 금속 증착물을 검출하는 검출 메카니즘 (미도시) 을 포함할 수 있다. 전기 도금 셀 (507) 은 구리, 니켈, 은-주석 합금 등을 전기 도금하는데 사용될 수 있다. 시스템 제어기 (미도시) 는 전기 증착 장치 (500) 에 연결되어서 전기 증착 장치 (500) 의 특성 모두 또는 일부를 제어할 수 있다. 이 시스템 제어기는 전술한 바와 같은 프로세스에 따라서 인스트럭션들을 실행하도록 프로그래밍되거나 이와 달리 구성될 수 있다.
본 명세서에서 상술한 장치 및/또는 방법은 예를 들어서 반도체 장치, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수 있다. 통상적으로, 이러한 툴 또는 프로세스는 반드시 그러한 것은 아니지만 통상적인 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서 기판과 같은 작업 대상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 단계, (5) 전기 도금에 의해서 레지스터 패턴을 증착된 필라 (pillar) 패턴 또는 다른 구조의 패턴 (예를 들어서, 주석 은 솔더 구조물) 으로 전사하는 단계 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 단계를 포함할 수 있다.

Claims (20)

  1. 전기 도금 장치의 기판 홀더의 비도전성 부분의 표면 상의 금속 증착물의 존재 여부를 검출하는 방법으로서,
    상기 전기 도금 장치의 기판 홀더 근처에 검출 하드웨어를 배치하는 단계로서, 상기 기판 홀더는 바닥 및 내부 에지를 갖는 환형 요소를 포함하며 전기 도금 동안에 기판을 지지하도록 구성되는, 상기 배치하는 단계; 및
    상기 기판 홀더 상의 검출 영역 상의 금속 증착물의 존재 여부를 검출하도록 상기 검출 하드웨어를 동작시키는 단계를 포함하며,
    상기 검출 영역은 상기 기판 홀더의 내부 에지로부터 적어도 약 5 mm 이상만큼 연장된 상기 기판 홀더의 바닥 상의 환형 영역인,
    금속 증착물 존재 여부 검출 방법.
  2. 제 1 항에 있어서,
    상기 증착물은 서로 크게 상이한 환원 전위를 갖는 금속들을 포함하는,
    금속 증착물 존재 여부 검출 방법.
  3. 제 1 항에 있어서,
    상기 검출 하드웨어를 동작시키는 단계는,
    상기 기판 홀더를 사용하여서 소정의 개수의 기판들이 처리된 후에;
    상기 기판 홀더를 사용하여서 기판 상에 증착하는 동안에 소정의 전하 양이 전달된 후에; 또는
    상기 기판 홀더를 사용하여서 소정의 금속 두께 또는 소정의 금속 양이 기판 상에 증착된 후에,
    수행되는,
    금속 증착물 존재 여부 검출 방법.
  4. 제 1 항에 있어서,
    상기 배치하는 단계는,
    상기 기판 홀더 아래에 있지 않는 제 1 위치에서 상기 기판 홀더 아래에 있는 제 2 위치로 상기 검출 하드웨어를 이동시키는 단계; 및
    상기 검출 하드웨어를 동작시켜서 상기 금속 증착물의 존재 여부를 검출한 후에 상기 기판 하드웨어를 상기 제 2 위치로부터 이동시키는 단계를 포함하는,
    금속 증착물 존재 여부 검출 방법.
  5. 제 4 항에 있어서,
    상기 검출 영역에서 금속 증착물이 존재하는 것으로 검출되면,
    알람 (alarm) 을 발생시키는 단계;
    상기 전기 도금 장치를 오프라인 (offline) 상태로 두는 단계;
    세정 동작을 개시하는 단계; 또는
    상기 단계들을 조합하여 수행하는 단계를 더 포함하는,
    금속 증착물 존재 여부 검출 방법.
  6. 제 1 항에 있어서,
    상기 검출 하드웨어를 동작시키는 단계는 상기 기판 홀더의 검출 영역 상에 소스 광을 조사하는 단계 및 상기 기판 홀더의 검출 영역 상으로부터 반사된 반사 광을 측정하는 단계를 포함하는,
    금속 증착물 존재 여부 검출 방법.
  7. 제 6 항에 있어서,
    상기 기판 홀더의 검출 영역 상에 소스 광을 조사하는 단계는 상기 검출 영역의 색상과 보색 관계인 색상의 광을 상기 검출 영역 상에 조사하는 단계를 포함하는,
    금속 증착물 존재 여부 검출 방법.
  8. 제 1 항에 있어서,
    상기 검출 하드웨어를 동작시키는 단계는,
    상기 검출 영역과 상호 작용하는 가변 자계가 생성되도록 교류 전류를 상기 검출 영역 근처에 위치한 원형 주 여기 코일을 통해서 흘려서 와전류를 생성하는 단계; 및
    상기 와전류의 위상 및/또는 크기를 모니터링하여서 금속 증착물의 존재 여부를 검출하는 단계를 포함하는,
    금속 증착물 존재 여부 검출 방법.
  9. 제 8 항에 있어서,
    상기 모니터링은 상기 주 여기 코일과는 상이한 수신기 코일에 의해서 수행되는,
    금속 증착물 존재 여부 검출 방법.
  10. 제 1 항에 있어서,
    상기 검출 하드웨어를 동작시키는 단계는,
    상기 검출 영역에 접촉하는 2 개 이상의 전기 컨택트를 제공하는 단계-상기 2 개 이상의 전기 컨택트 간에 전기 접속부가 존재함;
    금속 증착물이 상기 검출 영역 내에서 상기 2 개 이상의 전기 컨택트 간에 존재할 때에, 상기 금속 증착물이 상기 2 개 이상의 전기 컨택트 간의 회로를 완성하고, 금속 증착물이 상기 검출 영역 내에서 상기 2 개 이상의 전기 컨택트 간에 존재하지 않을 때에, 상기 2 개 이상의 전기 컨택트 간에 어떠한 회로도 존재하지 않도록 적어도 하나의 전기 컨택트를 통해서 전류를 흐르게 하는 단계; 및
    전기적 특성을 측정하여서 상기 검출 영역에서 금속 증착물의 존재 여부를 검출하는 단계를 포함하는,
    금속 증착물 존재 여부 검출 방법.
  11. 전기 도금 장치의 기판 홀더 상의 금속 증착물의 존재 여부를 검출하는 장치로서,
    실장 하드웨어 상에 위치한 검출 하드웨어를 포함하며,
    상기 실장 하드웨어는 상기 검출 하드웨어가 상기 기판 홀더 상의 검출 영역 근처로 이동되도록 하는 위치로 스윙하는 스윙 암 (swing arm) 을 포함하며,
    상기 기판 홀더는 바닥 및 내부 에지를 포함하며,
    상기 기판 홀더 상의 검출 영역은 상기 기판 홀더의 내부 에지로부터 적어도 약 5 mm 이상만큼 연장된 상기 기판 홀더의 바닥 상에 있으며,
    상기 검출 하드웨어는 상기 기판 홀더의 검출 영역 내의 금속 증착물의 존재 여부를 검출하도록 구성되는,
    금속 증착물 존재 여부 검출 장치.
  12. 제 11 항에 있어서,
    상기 실장 하드웨어는 전기 도금 장치에 통합된,
    금속 증착물 존재 여부 검출 장치.
  13. 제 11 항에 있어서,
    복수의 기판 홀더들과 상호 작용할 수 있는,
    금속 증착물 존재 여부 검출 장치.
  14. 제 11 항에 있어서,
    상기 실장 하드웨어는 상기 기판 홀더로부터 금속 증착물을 제거하기 위한 세정 어셈블리를 더 포함하는,
    금속 증착물 존재 여부 검출 장치.
  15. 제 11 항에 있어서,
    상기 검출 하드웨어는 광 소스 및 광 검출기를 포함하며,
    상기 광 소스는 상기 기판 홀더의 검출 영역 상에 광을 조사하도록 구성되며,
    상기 광 검출기는 상기 기판 홀더의 검출 영역으로부터 반사된 광을 측정하도록 구성된,
    금속 증착물 존재 여부 검출 장치.
  16. 제 15 항에 있어서,
    상기 검출 영역과 상기 광 소스 간에 또는 상기 검출 영역과 상기 검출기 간에 위치하여서 금속 증착물이 존재하지 않는 경우에 상기 검출 영역으로부터 정상적으로 (normally) 반사되는 광의 파장을 필터링하는 필터를 더 포함하는,
    금속 증착물 존재 여부 검출 장치.
  17. 제 15 항에 있어서,
    상기 광 소스와 상기 검출 영역 상에 광을 조사하기 위한 광 유출구 간 및/또는 상기 검출 영역에 근접한 광 유입구와 상기 광 검출기 간에서 광을 반송하는 하나 이상의 광 섬유를 더 포함하는,
    금속 증착물 존재 여부 검출 장치.
  18. 제 17 항에 있어서,
    상기 검출 영역 상에 광을 조사하기 위한 광 유출구 및 상기 검출 영역에 근접한 광 유입구는 함께 통합된 광 섬유 다발 (bundle) 로서 제공되는,
    금속 증착물 존재 여부 검출 장치.
  19. 제 11 항에 있어서,
    상기 검출 하드웨어는 원형 주 여기 코일을 포함하며,
    상기 검출 영역과 상호 작용하는 가변 자계가 생성되어서 와전류가 생성되도록 교류 전류가 상기 원형 주 여기 코일을 통해서 흐르게 되는,
    금속 증착물 존재 여부 검출 장치.
  20. 제 11 항에 있어서,
    상기 검출 하드웨어는,
    상기 검출 영역에 접촉하는 2 개 이상의 전기 컨택트; 및
    상기 2 개 이상의 전기 컨택트 간의 전기 접속부를 포함하며,
    금속 증착물이 상기 검출 영역 내에서 상기 2 개 이상의 전기 컨택트 간에 존재할 때에, 전기 회로가 완성되지만, 금속 증착물이 상기 검출 영역 내에서 상기 2 개 이상의 전기 컨택트 간에 존재하지 않을 때에는, 전기 회로가 완성되지 않는,
    금속 증착물 존재 여부 검출 장치.
KR1020140017501A 2013-02-15 2014-02-14 웨이퍼 홀딩 장치 상의 플레이팅 검출 KR102233763B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361765502P 2013-02-15 2013-02-15
US61/765,502 2013-02-15

Publications (2)

Publication Number Publication Date
KR20140103082A true KR20140103082A (ko) 2014-08-25
KR102233763B1 KR102233763B1 (ko) 2021-03-30

Family

ID=51350257

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140017501A KR102233763B1 (ko) 2013-02-15 2014-02-14 웨이퍼 홀딩 장치 상의 플레이팅 검출

Country Status (4)

Country Link
US (1) US9746427B2 (ko)
JP (2) JP6334189B2 (ko)
KR (1) KR102233763B1 (ko)
TW (2) TWI708870B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190025011A (ko) * 2016-07-04 2019-03-08 가부시키가이샤 에바라 세이사꾸쇼 기판 홀더 검사 장치, 이를 구비한 도금 장치, 및 외관 검사 장치

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9221081B1 (en) * 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
SG10201608038VA (en) 2012-03-28 2016-11-29 Novellus Systems Inc Methods and apparatuses for cleaning electroplating substrate holders
US9476139B2 (en) 2012-03-30 2016-10-25 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9746427B2 (en) * 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
JP6380028B2 (ja) * 2014-11-13 2018-08-29 富士通株式会社 インダクタの製造方法
TWI559433B (zh) * 2015-01-09 2016-11-21 旭東機械工業股份有限公司 乾燥劑黏貼裝置
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
US20170073832A1 (en) * 2015-09-11 2017-03-16 Lam Research Corporation Durable low cure temperature hydrophobic coating in electroplating cup assembly
TW201905250A (zh) * 2017-06-23 2019-02-01 美商應用材料股份有限公司 抑制金屬沉積之方法
WO2019006009A1 (en) * 2017-06-29 2019-01-03 Lam Research Corporation REMOTE DETECTION OF VENEER ON WAFER SUPPORT APPARATUS
US11781238B2 (en) 2019-05-20 2023-10-10 Applied Materials, Inc. Systems and methods for plate-up detection
US11920254B2 (en) * 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Detection of contact formation between a substrate and contact pins in an electroplating system
CN116263515A (zh) * 2021-12-14 2023-06-16 盛美半导体设备(上海)股份有限公司 一种电镀腔漏镀预警方法及系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001316879A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理方法及び洗浄方法並びにメッキ装置及び洗浄装置
US20090033889A1 (en) * 2007-07-30 2009-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3430055A (en) * 1965-04-02 1969-02-25 Bowles Eng Corp Surface flaw detector
US3716765A (en) 1966-03-14 1973-02-13 Hughes Aircraft Co Semiconductor device with protective glass sealing
BE757899A (fr) 1969-10-25 1971-04-01 Asturiana De Zinc Sa Procede et installation pour enlever le zinc forme sur des cathodes au cours d'un traitement electrolytique
US3684633A (en) 1971-01-05 1972-08-15 Mobil Oil Corp Laminated thermoplastic foam-film dish
US4418432A (en) 1981-08-26 1983-12-06 Vidal Stella M Drain filter having filamentary surface irregularities to entangle hair and debris
US4569695A (en) 1983-04-21 1986-02-11 Nec Corporation Method of cleaning a photo-mask
US4466864A (en) 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
EP0270653B1 (fr) 1986-06-26 1991-06-05 BAXTER INTERNATIONAL INC. (a Delaware corporation) Dispositif pour nettoyer et/ou decontaminer en continu une bande d'un film en matiere thermoplastique
US5000827A (en) 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
USRE37749E1 (en) 1990-08-01 2002-06-18 Jaime Poris Electrodeposition apparatus with virtual anode
US5221449A (en) 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
WO1992007968A1 (en) 1990-10-26 1992-05-14 International Business Machines Corporation STRUCTURE AND METHOD OF MAKING ALPHA-Ta IN THIN FILMS
US5482611A (en) 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
US5227041A (en) 1992-06-12 1993-07-13 Digital Equipment Corporation Dry contact electroplating apparatus
US5289639A (en) 1992-07-10 1994-03-01 International Business Machines Corp. Fluid treatment apparatus and method
FI94271C (fi) 1992-11-03 1995-08-10 Valmet Paper Machinery Inc Menetelmä telojen puhdistamiseksi ja telanpuhdistuslaite
US5311634A (en) 1993-02-03 1994-05-17 Nicholas Andros Sponge cleaning pad
JP2955990B2 (ja) 1996-06-28 1999-10-04 株式会社沖電気コミュニケーションシステムズ スクリーン版洗浄装置
JP3490238B2 (ja) 1997-02-17 2004-01-26 三菱電機株式会社 メッキ処理装置およびメッキ処理方法
US20060151007A1 (en) 1997-05-09 2006-07-13 Bergman Eric J Workpiece processing using ozone gas and chelating agents
US20060118132A1 (en) 2004-12-06 2006-06-08 Bergman Eric J Cleaning with electrically charged aerosols
US20020157686A1 (en) 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
ATE336921T1 (de) 1997-05-12 2006-09-15 Microban Products Antimikrobielle bürste
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
CA2320278C (en) 1998-02-12 2006-01-03 Acm Research, Inc. Plating apparatus and method
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6217716B1 (en) 1998-05-06 2001-04-17 Novellus Systems, Inc. Apparatus and method for improving target erosion in hollow cathode magnetron sputter source
US6071388A (en) 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6099702A (en) 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
EP1018568A4 (en) 1998-07-10 2006-05-31 Ebara Corp VENEER DEVICE
WO2000003072A1 (en) 1998-07-10 2000-01-20 Semitool, Inc. Method and apparatus for copper plating using electroless plating and electroplating
US6303010B1 (en) 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6773560B2 (en) 1998-07-10 2004-08-10 Semitool, Inc. Dry contact assemblies and plating machines with dry contact assemblies for plating microelectronic workpieces
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6176985B1 (en) 1998-10-23 2001-01-23 International Business Machines Corporation Laminated electroplating rack and connection system for optimized plating
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6613214B2 (en) 1998-11-30 2003-09-02 Applied Materials, Inc. Electric contact element for electrochemical deposition system and method
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6124203A (en) 1998-12-07 2000-09-26 Advanced Micro Devices, Inc. Method for forming conformal barrier layers
US6309520B1 (en) 1998-12-07 2001-10-30 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
DE19859467C2 (de) 1998-12-22 2002-11-28 Steag Micro Tech Gmbh Substrathalter
US6193854B1 (en) 1999-01-05 2001-02-27 Novellus Systems, Inc. Apparatus and method for controlling erosion profile in hollow cathode magnetron sputter source
US6179973B1 (en) 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6221757B1 (en) 1999-01-20 2001-04-24 Infineon Technologies Ag Method of making a microelectronic structure
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US6197182B1 (en) 1999-07-07 2001-03-06 Technic Inc. Apparatus and method for plating wafers, substrates and other articles
US7645366B2 (en) 1999-07-12 2010-01-12 Semitool, Inc. Microelectronic workpiece holders and contact assemblies for use therewith
US6267860B1 (en) 1999-07-27 2001-07-31 International Business Machines Corporation Method and apparatus for electroplating
US6379468B1 (en) 1999-12-20 2002-04-30 Engineered Materials Solutions, Inc. Method for cleaning thin metal strip material
US6612915B1 (en) 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing
US6270646B1 (en) 1999-12-28 2001-08-07 International Business Machines Corporation Electroplating apparatus and method using a compressible contact
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6398926B1 (en) * 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
JP2002069698A (ja) 2000-08-31 2002-03-08 Tokyo Electron Ltd 液処理装置及び液処理方法
JP2004536217A (ja) 2000-10-03 2004-12-02 アプライド マテリアルズ インコーポレイテッド 金属蒸着のためのエントリーにあたって半導体基板を傾けるための方法と関連する装置
US6627052B2 (en) 2000-12-12 2003-09-30 International Business Machines Corporation Electroplating apparatus with vertical electrical contact
JP4025953B2 (ja) 2001-01-05 2007-12-26 荒川化学工業株式会社 洗浄剤組成物
US6546938B2 (en) 2001-03-12 2003-04-15 The Regents Of The University Of California Combined plasma/liquid cleaning of substrates
US6540899B2 (en) 2001-04-05 2003-04-01 All Wet Technologies, Inc. Method of and apparatus for fluid sealing, while electrically contacting, wet-processed workpieces
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP2003086548A (ja) 2001-06-29 2003-03-20 Hitachi Ltd 半導体装置の製造方法及びその研磨液
US6908540B2 (en) 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
US6989084B2 (en) 2001-11-02 2006-01-24 Rockwell Scientific Licensing, Llc Semiconductor wafer plating cell assembly
US6579430B2 (en) 2001-11-02 2003-06-17 Innovative Technology Licensing, Llc Semiconductor wafer plating cathode assembly
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
JP4118659B2 (ja) 2001-12-03 2008-07-16 東京応化工業株式会社 基板用トレイ
TWI244548B (en) 2002-01-22 2005-12-01 Taiwan Semiconductor Mfg Method for detecting the defect of a wafer
TWI316097B (en) 2002-06-21 2009-10-21 Ebara Corp Substrate holder and plating apparatus
JP4162440B2 (ja) * 2002-07-22 2008-10-08 株式会社荏原製作所 基板ホルダ及びめっき装置
US20040002430A1 (en) 2002-07-01 2004-01-01 Applied Materials, Inc. Using a time critical wafer cleaning solution by combining a chelating agent with an oxidizer at point-of-use
JP2004083932A (ja) 2002-08-22 2004-03-18 Ebara Corp 電解処理装置
US7300630B2 (en) 2002-09-27 2007-11-27 E. I. Du Pont De Nemours And Company System and method for cleaning in-process sensors
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US6867119B2 (en) 2002-10-30 2005-03-15 Advanced Micro Devices, Inc. Nitrogen oxidation to reduce encroachment
US6837943B2 (en) 2002-12-17 2005-01-04 Samsung Electronics Co., Ltd. Method and apparatus for cleaning a semiconductor substrate
WO2004065664A1 (ja) * 2003-01-23 2004-08-05 Ebara Corporation めっき装置及びめっき方法
US7087144B2 (en) 2003-01-31 2006-08-08 Applied Materials, Inc. Contact ring with embedded flexible contacts
KR20040072446A (ko) 2003-02-12 2004-08-18 삼성전자주식회사 반도체 기판의 가장자리 상의 금속막을 선택적으로제거하는 방법
KR100935281B1 (ko) 2003-03-06 2010-01-06 도쿄엘렉트론가부시키가이샤 처리액 공급노즐 및 처리액 공급장치
JP3886919B2 (ja) 2003-03-12 2007-02-28 富士通株式会社 めっき装置
KR20040081577A (ko) 2003-03-14 2004-09-22 삼성전자주식회사 웨이퍼 폴리싱 장치
DE10313127B4 (de) 2003-03-24 2006-10-12 Rena Sondermaschinen Gmbh Verfahren zur Behandlung von Substratoberflächen
AU2004272647A1 (en) 2003-09-16 2005-03-24 Global Ionix Inc. An electrolytic cell for removal of material from a solution
US20050081899A1 (en) 2003-10-16 2005-04-21 Michael Shannon Adjustable spacer attachment for a power washer
JP2005146398A (ja) * 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
KR20050068038A (ko) 2003-12-29 2005-07-05 동부아남반도체 주식회사 Cmp 장치의 컨디셔너의 클리닝 컵과 cmp 장치의컨디셔너의 클리닝 방법
TWI251857B (en) 2004-03-09 2006-03-21 Tokyo Electron Ltd Two-fluid nozzle for cleaning substrate and substrate cleaning device
US20050218000A1 (en) 2004-04-06 2005-10-06 Applied Materials, Inc. Conditioning of contact leads for metal plating systems
US7285195B2 (en) 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7182673B2 (en) 2004-06-29 2007-02-27 Novellus Systems, Inc. Method and apparatus for post-CMP cleaning of a semiconductor work piece
US7301458B2 (en) 2005-05-11 2007-11-27 Alien Technology Corporation Method and apparatus for testing RFID devices
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
KR100727484B1 (ko) 2005-07-28 2007-06-13 삼성전자주식회사 화학기계적 연마 장치 및 패드 컨디셔닝 방법
JP4453840B2 (ja) * 2006-02-03 2010-04-21 Tdk株式会社 電極組立体およびめっき装置
JP2007229614A (ja) 2006-02-28 2007-09-13 Fujitsu Ltd 洗浄装置、洗浄方法および製品の製造方法
US20080011322A1 (en) 2006-07-11 2008-01-17 Frank Weber Cleaning systems and methods
KR20080007931A (ko) 2006-07-19 2008-01-23 삼성전자주식회사 전기 도금 장치
JP4648973B2 (ja) * 2006-07-26 2011-03-09 東京エレクトロン株式会社 液処理装置および液処理方法
JP2008045179A (ja) * 2006-08-18 2008-02-28 Ebara Corp めっき装置及びめっき方法
JP2008095157A (ja) 2006-10-13 2008-04-24 Ebara Corp めっき装置及びめっき方法
JP2009014510A (ja) * 2007-07-04 2009-01-22 Hitachi High-Technologies Corp 検査方法及び検査装置
TWI342402B (en) * 2007-10-03 2011-05-21 Neotec Semiconductor Ltd Ground voltage crossing and power supply voltage crossing detection circuit
US7985325B2 (en) 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US7935231B2 (en) 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
JP5134339B2 (ja) 2007-11-02 2013-01-30 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8105997B2 (en) 2008-11-07 2012-01-31 Lam Research Corporation Composition and application of a two-phase contaminant removal medium
US8172992B2 (en) 2008-12-10 2012-05-08 Novellus Systems, Inc. Wafer electroplating apparatus for reducing edge defects
CN101599420A (zh) 2009-07-24 2009-12-09 上海宏力半导体制造有限公司 晶圆清洗装置
JP5766048B2 (ja) 2010-08-19 2015-08-19 株式会社荏原製作所 基板ホルダ及びめっき装置
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
SG10201608038VA (en) 2012-03-28 2016-11-29 Novellus Systems Inc Methods and apparatuses for cleaning electroplating substrate holders
US9476139B2 (en) 2012-03-30 2016-10-25 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
JP6022836B2 (ja) * 2012-07-18 2016-11-09 株式会社荏原製作所 めっき装置及び基板ホルダ洗浄方法
US9746427B2 (en) * 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US9631919B2 (en) * 2013-06-12 2017-04-25 Applied Materials, Inc. Non-contact sheet resistance measurement of barrier and/or seed layers prior to electroplating
JP2015071802A (ja) * 2013-10-02 2015-04-16 株式会社荏原製作所 めっき装置および該めっき装置に使用されるクリーニング装置
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
JP6872913B2 (ja) * 2017-01-24 2021-05-19 株式会社荏原製作所 めっき装置、基板ホルダ、抵抗測定モジュール、および基板ホルダを検査する方法
JP2019002065A (ja) * 2017-06-20 2019-01-10 株式会社荏原製作所 めっき装置、及びプログラムを記録した記録媒体

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001316879A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理方法及び洗浄方法並びにメッキ装置及び洗浄装置
US20090033889A1 (en) * 2007-07-30 2009-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190025011A (ko) * 2016-07-04 2019-03-08 가부시키가이샤 에바라 세이사꾸쇼 기판 홀더 검사 장치, 이를 구비한 도금 장치, 및 외관 검사 장치

Also Published As

Publication number Publication date
US20140230855A1 (en) 2014-08-21
JP2018159131A (ja) 2018-10-11
JP6334189B2 (ja) 2018-05-30
JP2014196555A (ja) 2014-10-16
KR102233763B1 (ko) 2021-03-30
TW201920781A (zh) 2019-06-01
TW201500595A (zh) 2015-01-01
JP6671411B2 (ja) 2020-03-25
TWI708870B (zh) 2020-11-01
TWI659129B (zh) 2019-05-11
US9746427B2 (en) 2017-08-29

Similar Documents

Publication Publication Date Title
KR102233763B1 (ko) 웨이퍼 홀딩 장치 상의 플레이팅 검출
US10416092B2 (en) Remote detection of plating on wafer holding apparatus
CN104253071B (zh) 有集成工艺边缘成像和计量系统的电镀和电填充后系统
JP4303484B2 (ja) メッキ装置
CN100564592C (zh) 对无电沉积的终点进行检测的装置和方法
KR100845566B1 (ko) 도금장치 및 도금액 조성의 관리방법
KR102255251B1 (ko) 척 조립체 유지보수 모듈을 구비한 웨이퍼 프로세싱 시스템
US20080024762A1 (en) Raman spectroscopy as integrated chemical metrology
JP7145893B2 (ja) ウエハ保持装置上におけるめっきの遠隔検知
US11208732B2 (en) Monitoring surface oxide on seed layers during electroplating
TWI438308B (zh) 電化學電鍍裝置中的去鍍觸點
US10358738B2 (en) Gap fill process stability monitoring of an electroplating process using a potential-controlled exit step
TWI649459B (zh) 用於電鍍之基板的電流斜坡修整及電流脈動進入

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant