KR20140091575A - Methods and systems for utilizing design data in combination with inspection data - Google Patents

Methods and systems for utilizing design data in combination with inspection data Download PDF

Info

Publication number
KR20140091575A
KR20140091575A KR1020147015035A KR20147015035A KR20140091575A KR 20140091575 A KR20140091575 A KR 20140091575A KR 1020147015035 A KR1020147015035 A KR 1020147015035A KR 20147015035 A KR20147015035 A KR 20147015035A KR 20140091575 A KR20140091575 A KR 20140091575A
Authority
KR
South Korea
Prior art keywords
wafer
inspection
data
design data
defect
Prior art date
Application number
KR1020147015035A
Other languages
Korean (ko)
Other versions
KR101565071B1 (en
Inventor
아쇽 쿨카니
브라이언 더피
카이스 마야
고든 로우즈
쿠람 자파
사가 크케어
엘리스 창
알렌 팍
피터 로즈
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Priority claimed from US11/561,735 external-priority patent/US7676077B2/en
Priority claimed from US11/561,659 external-priority patent/US7570796B2/en
Publication of KR20140091575A publication Critical patent/KR20140091575A/en
Application granted granted Critical
Publication of KR101565071B1 publication Critical patent/KR101565071B1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31718Logistic aspects, e.g. binning, selection, sorting of devices under test, tester/handler interaction networks, Test management software, e.g. software for test statistics or test evaluation, yield analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F16/00Information retrieval; Database structures therefor; File system structures therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8854Grading and classifying of flaws
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Quality & Reliability (AREA)
  • Pathology (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Data Mining & Analysis (AREA)
  • Databases & Information Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

검사 데이터와 조합하여 설계 데이터를 활용하는 각종 방법 및 시스템이 제공된다. 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 하나의 컴퓨터-구현 방법은, 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득된 데이터를 소정의 정렬 사이트에 대한 데이터와 정렬하는 단계를 포함한다. 상기 방법은 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계를 또한 포함한다. 또한, 상기 방법은 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대하여 취득된 검사 데이터의 위치를 결정하는 단계를 또한 포함한다. 일 실시예에서, 검사 데이터의 위치는 서브-픽셀 정확도로 결정된다.Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for determining the location of inspection data within a design data space includes aligning data acquired by an inspection system with respect to an alignment site on a wafer with data for a predetermined alignment site. The method also includes determining a position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site within the design data space. The method also includes determining the location of the inspection data acquired for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. In one embodiment, the location of the inspection data is determined by sub-pixel accuracy.

Description

검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템{METHODS AND SYSTEMS FOR UTILIZING DESIGN DATA IN COMBINATION WITH INSPECTION DATA}TECHNICAL FIELD [0001] The present invention relates to a method and system for utilizing design data in combination with inspection data,

우선권 주장Priority claim

본 출원은, "Methods and Systems for Utilizing Design data in Combination with Inspection Data"란 제하로 2005년 11월 18일자로 출원된 미국 가특허출원 제60/737,947호, 및 "Methods and Systems for Utilizing Design Data in Combination with Inspection Data"란 제하로 2005년 11월 18일자로 출원된 미국 가특허출원 제60/738,290호의 우선권을 주장하며, 이들 특허 문헌은 여기에 완전히 언급한 것과 같이 참고자료로 포함된다.This application is related to U.S. Provisional Application No. 60 / 737,947, filed November 18, 2005, entitled " Methods and Systems for Utilizing Design Data in Combination with Inspection Data & Combination with Inspection Data "filed on November 18, 2005, which claims priority to U.S. Provisional Patent Application No. 60 / 738,290, which is incorporated herein by reference in its entirety.

본 발명은 검사 데이터와 조합하여 설계 데이터를 이용하는 방법 및 시스템에 관한 것이다. 특정 실시예는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하고 및/또는 검사 프로세스 동안에 웨이퍼 상의 설계 스페이스 장소의 위치를 실질적으로 정확히 결정하기 위한 컴퓨터-구현 방법에 관한 것이다.The present invention relates to a method and system for using design data in combination with inspection data. Certain embodiments relate to a computer-implemented method for determining the location of inspection data within a design data space and / or determining a location of a design space location on a wafer substantially precisely during an inspection process.

이하의 설명 및 예는 본 섹션에서의 그것의 포함으로 인하여 종래기술이 되는 것으로 허용되지는 않는다.The following description and examples are not intended to be prior art because of their inclusion in this section.

집적 회로(IC) 설계는 EDA(electronic design automation), CAD(computer aided design), 및 다른 IC 설계 소프트웨어와 같은 방법 또는 시스템을 사용하여 개발될 수 있다. 회로 패턴 데이터베이스는 IC의 각종 층에 대한 복수의 레이아웃을 표현하는 데이터를 포함한다. 회로 패턴 데이터베이스 내의 데이터는 복수의 레티클에 대한 레이아웃을 결정하는데 사용될 수 있다. 레티클의 레이아웃은 레티클 상의 일 패턴 내의 특징을 규정하는 복수의 다각형을 일반적으로 포함한다. 각각의 레티클은 IC의 각종 층의 하나를 제조하는데 사용될 수 있다. IC의 층은 예컨대, 반도체 기판 내의 연결 패턴, 게이트 절연체 패턴, 게이트 전극 패턴, 층간 절연체 내의 콘택 패턴, 및 금속화 층 상의 상호 접속 패턴을 포함할 수 있다.Integrated circuit (IC) designs can be developed using methods or systems such as electronic design automation (EDA), computer aided design (CAD), and other IC design software. The circuit pattern database includes data representing a plurality of layouts for various layers of the IC. The data in the circuit pattern database can be used to determine the layout for a plurality of reticles. The layout of the reticle generally includes a plurality of polygons defining features in one pattern on the reticle. Each reticle may be used to fabricate one of the various layers of the IC. The layer of the IC may comprise, for example, a connection pattern in a semiconductor substrate, a gate insulator pattern, a gate electrode pattern, a contact pattern in the interlayer insulator, and an interconnect pattern on the metallization layer.

여기에 사용된 바와 같은 "설계 데이터"는 IC의 물리적 설계(레이아웃) 및 복잡한 시뮬레이션 또는 간단한 구조 및 불린(Boolean) 조작을 통하여 그 물리적 설계로부터 유도된 데이터를 일반적으로 칭한다.As used herein, "design data" generally refers to data derived from the physical design (layout) and complex simulation or simple structure and Boolean manipulation of the IC.

반도체 장치 설계는 IC의 제조 이전에 상이한 프로시저에 의해 입증된다. 예컨대, 반도체 장치 설계는 제조시 리소그라피 후에 모든 특징이 올바르게 인쇄될지를 입증하기 위하여 소프트웨어 시뮬레이션에 의해 체크된다. 그러한 체크는, DRC(design rule checking), ORC(optical rule checking), 및 특정 팹(fab) 및 프로세스에 대하여 측정된 프로세스 시뮬레이션을 포함하는 더욱 복잡한 소프트웨어 기반 입증 접근법과 같은 단계를 통상적으로 포함한다. 물리적 설계 입증 단계의 출력은 잠재적으로 다수의 중요 지점(설계에서는 때때로 "핫 스팟(hot spot)"이라 칭함)을 식별하는데 사용될 수 있다.Semiconductor device designs are evidenced by different procedures prior to the manufacture of ICs. For example, a semiconductor device design is checked by software simulation to verify that all features will be printed correctly after lithography in manufacturing. Such checks typically involve steps such as design rule checking (DRC), optical rule checking (ORC), and more complex software based verification approaches including process simulations measured for specific fabs and processes. The output of the physical design demonstration phase can potentially be used to identify a number of critical points (sometimes referred to as "hot spots" in design).

로직 및 메모리 장치와 같은 반도체 장치를 제조하는 단계는, 반도체 장치의 각종 특징 및 복수의 층을 형성하기 위해, 다수의 반도체 제조 프로세스를 사용하여 반도체 웨이퍼와 같은 기판을 처리하는 단계를 통상적으로 포함한다. 예컨대, 리소그라피는 레티클로부터의 패턴을 반도체 웨이퍼 상에 정렬된 레지스트에 전달하는 단계를 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마(CMP), 에치, 퇴적 및 이온 주입을 비한정적으로 포함한다. 복수의 반도체 장치는 단일 반도체 웨이퍼 상의 배치로 제조되고 나서, 개별적인 반도체 장치로 분리된다.The step of fabricating a semiconductor device, such as logic and memory devices, typically involves processing a substrate, such as a semiconductor wafer, using a plurality of semiconductor fabrication processes to form various features and multiple layers of semiconductor devices . For example, lithography is a semiconductor fabrication process that involves transferring a pattern from a reticle onto an aligned resist on a semiconductor wafer. Additional examples of semiconductor manufacturing processes include, but are not limited to, chemical mechanical polishing (CMP), etch, deposition, and ion implantation. A plurality of semiconductor devices are fabricated into a batch on a single semiconductor wafer and then separated into individual semiconductor devices.

검사 프로세스는, 제조 프로세스의 높은 수율을 촉진하고 그리하여 높은 수익을 촉진하기 위하여 웨이퍼 상의 결함을 검출하기 위해, 반도체 제조 프로세스 동안의 각종 단계에서 사용된다. 검사는 IC와 같은 반도체 장치 제조의 중요한 부분이 되고 있다. 하지만, 반도체 장치의 치수가 감소함에 따라, 작은 결함이 장치가 고장 나도록 야기할 수 있기 때문에, 수용가능한 반도체 장치의 성공적인 제조에 있어서 검사가 더욱 중요해졌다. 예컨대, 반도체 장치의 치수가 감소함에 따라서, 심지어 비교적 작은 결함도 반도체 장치 내에서 원하지 않는 변형을 야기할 수 있기 때문에, 감소한 사이즈의 결함의 검출이 필요하게 된다.The inspection process is used at various stages during the semiconductor manufacturing process to detect defects on the wafer to promote high yields of the manufacturing process and thus promote high profits. Testing has become an important part of semiconductor device manufacturing such as IC. However, as the size of the semiconductor device is reduced, the inspection becomes more important in the successful manufacture of an acceptable semiconductor device, since small defects can cause the device to fail. For example, as the dimensions of a semiconductor device decrease, even a relatively small defect may cause undesired deformation in the semiconductor device, thus requiring detection of defects of reduced size.

제조 수율 제어의 다른 중요한 부분은, 결함의 원인이 보정되어, 다른 웨이퍼 또는 레티클 상의 결함의 수를 줄일 수 있도록, 웨이퍼 또는 레티클 상의 결함의 원인을 결정하는 것이다. 종종, 결함의 원인을 결정하는 단계는 결함 유형과, 사이즈, 형태, 구성 등과 같은 결함의 다른 속성(attribute)을 식별하는 단계를 포함한다. 검사가 단지 웨이퍼 상의 결함을 검출하는 단계와, 웨이퍼 또는 레티클 상의 위치, 웨이퍼 또는 레티클 상의 결함의 수, 및 때때로 결함 사이즈와 같은 결함에 관한 제한된 정보를 제공하는 단계를 통상적으로 포함하기 때문에, 결함 리뷰는 검사 결과로부터 결정될 수 있는 개별 결함에 관한 더 많은 정보를 결정하는데 종종 사용된다. 예컨대, 결함 리뷰 툴은 웨이퍼 또는 레티클 상에서 검출된 결함을 재방문하고, 자동 또는 수동의 추가의 방식으로 그 결함을 검사하기 위해 사용될 수 있다.Another important part of manufacturing yield control is to determine the cause of defects on the wafer or reticle so that the cause of the defect is corrected to reduce the number of defects on other wafers or reticles. Often, determining the cause of the defect includes identifying the defect type and other attributes of the defect, such as size, shape, configuration, and the like. Because the inspection typically only includes the steps of detecting defects on the wafer and providing limited information about the defects, such as the location on the wafer or reticle, the number of defects on the wafer or reticle, and sometimes the defect size, Is often used to determine more information about individual defects that can be determined from test results. For example, the defect review tool can be used to revisit detected defects on a wafer or a reticle and inspect the defects in an automated or manual manner.

결함 리뷰는 고 배율 광학 시스템 또는 주사형 전자 현미경(SEM)을 사용하여 높은 해상도로 결함에 대한 추가의 정보를 생성하는 단계를 통상적으로 포함한다. 결함 리뷰에 의해 생성된 고 해상도 데이터는 프로파일, 거칠기, 더욱 정확한 사이즈 정보 등과 같은 결함의 속성을 결정하는데 더욱 적합하다. 결함 분석은 전자 분산 x-선 분광학(EDS) 시스템과 같은 시스템을 사용하여 수행될 수 있다. 그러한 결함 분석은 결함의 구성과 같은 정보를 결정하기 위해 수행될 수 있다. 검사, 리뷰, 분석 또는 이들의 일부 조합에 의해 결정된 결함의 속성은 결함의 유형(즉, 결함 범주) 및 가능하게는 결함의 근본 원인을 식별하는데 사용될 수 있다. 이러한 정보는 결함을 줄이거나 제거하기 위해 1 이상의 반도체 제조 프로세스의 1 이상의 매개변수를 모니터링 및 변경하는데 사용될 수 있다.Defect review typically involves generating additional information about the defect at a high resolution using a high magnification optical system or a scanning electron microscope (SEM). The high resolution data generated by the defect review is more suitable for determining the attributes of the defect, such as profile, roughness, more accurate size information, and the like. Defect analysis can be performed using a system such as an electron dispersive x-ray spectroscopy (EDS) system. Such defect analysis can be performed to determine information such as the composition of the defect. Attributes of defects determined by inspection, review, analysis, or some combination thereof may be used to identify the type of defect (i.e., the defect category) and possibly the root cause of the defect. This information can be used to monitor and modify one or more parameters of one or more semiconductor manufacturing processes to reduce or eliminate defects.

하지만, 설계 룰이 감소함에 따라, 반도체 제조 프로세스는 프로세스의 성능 능력에 대한 한계에 근접하여 조작될 수 있다. 또한, 설계 룰이 감소함에 따라 작은 결함이 장치의 전기적 매개변수에 영향을 미칠 수 있고, 이는 1 이상의 민감한 검사를 유도한다. 따라서, 설계 룰이 감소함에 따라, 검사에 의해 검출된, 잠재적으로 수율 관련적인 결함의 모집단이 극적으로 증가하고, 검사에 의해 검출된 뉴슨스 결함의 모집단 또한 극적으로 증가한다. 따라서, 점점 많은 결함이 웨이퍼 상에서 검출되고, 모든 결함을 제거하기 위해 프로세스를 교정하는 단계가 더욱 어렵고 고-비용적일 수 있다. 그와 같이, 장치의 전기적 매개변수 및 수율에 결함의 어느 것이 실제 영향을 미치는지를 결정하는 단계는, 프로세스 제어 방법이 다른 결함은 크게 무시하면서, 그 결함에만 집중되고 허용한다. 또한, 작은 설계 룰에서, 프로세스 유도 오류는 일부 경우에 체계적일 수 있다. 즉, 프로세스 유도 오류는 설계 내에서 종종 여러 회 반복되는 소정의 설계 패턴에서 오류가 되는 경향이 있다. 공간적으로 체계적이며, 전기적으로 관련적인 결함은, 그러한 결함의 제거가 수율에 대하여 현저한 전체적인 영향을 가질 수 있기 때문에 중요하다. 결함이 장치 매개변수 및 수율에 영향을 미치는지의 여부는 전술한 검사, 리뷰, 및 분석 프로세스로부터 종종 결정될 수 없는데, 이는 이들 프로세스가 전기적 설계에 대한 결함의 위치를 결정할 수 없기 때문이다.However, as the design rules decrease, the semiconductor manufacturing process can be manipulated close to the limits on the performance capabilities of the process. Also, as the design rule decreases, small defects can affect the electrical parameters of the device, leading to more than one sensitive test. Thus, as design rules decrease, the population of potentially yield-related defects detected by inspection increases dramatically, and the population of Newson's defects detected by inspection also increases dramatically. Thus, more and more defects are detected on the wafer, and the step of calibrating the process to remove all defects may be more difficult and costly. As such, the step of determining which of the defects actually affects the electrical parameters and yield of the device is focused and allowed only on the defect, with the process control method largely ignoring other defects. Also, in small design rules, process induced errors may be systematic in some cases. That is, process induced errors tend to be error in certain design patterns that are often repeated many times within the design. Spatially organized and electrically related defects are important because the elimination of such defects can have a significant overall impact on yield. Whether a defect affects device parameters and yield can often not be determined from the inspection, review, and analysis process described above because these processes can not determine the location of defects in the electrical design.

결함 정보를 전기적 설계에 정렬하기 위한 일부 방법 및 시스템이 개발되고 있다. 예컨대, SEM 리뷰 시스템은 결함의 샘플에 대하여 결함 위치의 더욱 정확한 좌표를 결정하는데 사용될 수 있고, SEM 리뷰 시스템에 의해 보고된 결함 좌표는 전기적 설계 내의 결함의 위치를 결정하는데 사용될 수 있다. 다른 방법은 검사 주의 영역(예컨대, 검사가 수행될 웨이퍼 상에 형성된 장치 패턴의 영역)을 웨이퍼 상에 인쇄된 패턴의 물리적 위치에 정렬시키는 단계를 포함한다. 하지만, 현재, 그 주의 영역은 시스템 에러 및 결점으로 인하여 불과 2㎛의 정확도로 웨이퍼 상에 인쇄되는 패턴에 정렬될 수 있다. 예컨대, 일부 BF(bright field) 검사 시스템은 약 +/- 1㎛의 좌표 정확도를 갖는다. 또한, 현재 사용되는 방법에서의 검사 주의 영역은 비교적 크고, 소망하는 주요 특징뿐만 아니라 여러 비-주요 특징을 포함한다. 설계와 프로세스 상호 의존성으로부터 기인하는 공간적으로 체계적인 DFM(design-for-manufacturability) 결함을 포착하기 위해 검사 시스템의 감지도를 최대화하려는 시도에서, 그 시스템은 CMP 충전 영역과 같은 비-주요 영역 내의 수백만 개의 이벤트에 의해 압도될 수 있다. 예컨대, 이들 뉴슨스 이벤트는 검사 데이터의 포스트-처리에 의해 검사 결과로부터 필터링될 필요가 있다. 또한, 뉴슨스 이벤트 검출은 DFM 애플리케이션에 대한 검사 시스템의 궁극적으로 달성가능한 감지도를 제한시킨다. 높은 비율의 뉴슨스 결함 데이터는 검사 시스템의 런 타임 데이터 처리 능력에 과부하를 걸 수 있어서, 처리량을 저하하고 및/또는 데이터의 손실을 야기한다.Some methods and systems for aligning defect information to electrical designs have been developed. For example, the SEM review system can be used to determine more accurate coordinates of a defect location for a sample of a defect, and the defect coordinates reported by the SEM review system can be used to determine the location of a defect in an electrical design. Another method includes aligning the inspection area (e.g., the area of the device pattern formed on the wafer to be inspected) with the physical location of the printed pattern on the wafer. However, at present, the region of interest can be aligned to a pattern printed on the wafer with an accuracy of only 2 [mu] m due to system errors and drawbacks. For example, some BF (bright field) inspection systems have coordinate accuracy of about +/- 1 mu m. In addition, the area of inspection attention in currently used methods is relatively large and includes many non-key features as well as desired key features. In an attempt to maximize the sensitivity of the inspection system to capture spatially-structured design-for-manufacturability (DFM) defects resulting from design and process interdependencies, the system is capable of handling millions of non- It can be overwhelmed by events. For example, these Newswens events need to be filtered from the test results by post-processing of test data. In addition, Newson's event detection limits the ultimately achievable sensitivity of the inspection system for DFM applications. A high percentage of Newson's defect data can overload the runtime data processing capability of the inspection system, degrading throughput and / or causing loss of data.

설계 데이터의 실질적으로 매우 정확한 "콘텍스트"가 비한정적으로 결함 검출 알고리즘 또는 방법, 검출 감지도 테일러링(tailoring), 뉴슨스 결함 필터링, 결함 분류, 결함 그룹화, 및 샘플링 기술의 일부로서 설계 콘텍스트 사용에 의한 리뷰를 위한 결함 샘플링과 같은 1 이상의 콘텍스트-기반 기능을 수행하도록 활용될 수 있도록, 검사 데이터를 설계 데이터에 서브-픽셀 정확도(픽셀의 사이즈는 검사되고 있는 구조의 크기 정도일 수 있다)로 정렬시키는 방법 및 시스템을 개발하는 것이 이로울 수 있다.A substantially highly accurate "context" of the design data is defined by the use of design contexts as part of a defect detection algorithm or method, detection sense tailoring, Newson defect filtering, defect classification, defect grouping, In order to be able to be utilized to perform one or more context-based functions such as defect sampling for review, the method of arranging inspection data in sub-pixel accuracy (the size of the pixel may be as large as the size of the structure being examined) And systems can be beneficial.

발명의 개요Summary of the Invention

방법 및 시스템의 각종 실시예의 이하의 설명은 첨부한 청구의 범위의 주안점을 한정하는 것으로서 간주하지 않는다.The following description of various embodiments of methods and systems is not to be considered as limiting the scope of the appended claims.

일 실시예는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득한 데이터를 소정의 정렬 사이트에 대한 데이터(예컨대, 설계 데이터)와 정렬시키는 단계를 포함한다. 소정의 정렬 사이트에 대한 데이터 및 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득된 데이터는 개별적으로 얻어진다. 예컨대, 소정의 정렬 사이트에 대한 데이터는 그 위에 정렬 사이트가 인쇄되는 웨이퍼를 사용하여 취득되지 않는다. 상기 방법은 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계를 또한 포함한다. 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계는 웨이퍼 상의 설계 레이아웃 및/또는 검사 동안의 웨이퍼의 배향에 기초하여 수행될 수 있다. 또한, 상기 방법은 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여, 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대해 취득된 검사 데이터의 위치를 결정하는 단계를 포함한다. 검사 데이터의 위치는 여기에 추가로 기술하는 바와 같이 저장 및 사용될 수 있다. 일 실시예에서, 검사 데이터의 위치는 서브-픽셀(sub-pixel) 정확도로 결정된다.One embodiment relates to a computer-implemented method for determining the location of inspection data within a design data space. The method includes aligning the data acquired by the inspection system with data for a given alignment site (e.g., design data) for an alignment site on the wafer. The data for a given alignment site and the data acquired by the inspection system for an alignment site on the wafer are obtained separately. For example, data for a given alignment site is not acquired using a wafer on which an alignment site is printed. The method also includes determining a position of the alignment site on the wafer in the design data based on the position of the predetermined alignment site in the design data space. The step of determining the position of the alignment site on the wafer within the design data space may be performed based on the orientation of the wafer during design layout and / or inspection on the wafer. The method also includes determining the location of the inspection data acquired for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. The location of the inspection data may be stored and used as further described herein. In one embodiment, the location of the inspection data is determined by sub-pixel accuracy.

다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는, 그래픽 데이터 스트림(GDS) 파일, 임의의 다른 표준 기계-판독 가능 파일, 당업계에 알려진 임의의 다른 적합한 파일, 및 설계 데이터베이스와 같은 데이터 구조에 저장되는 설계 데이터를 포함한다. GDSII 파일은 설계 레이아웃 데이터의 표현을 위해 사용된 파일의 일 범주이다. 그러한 파일의 다른 예는 GL1 및 OASIS 파일을 포함한다. 일부 실시예는 여기에서 GDS 또는 GDSII 파일에 대해서 기술되지만, 그 실시예는 데이터 구조 구성, 저장 포맷, 또는 저장 메커니즘에 관계없이 파일의 전체 범주에 동일하게 적용할 수 있다. 다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트가 웨이퍼 상에 어떻게 인쇄될지를 나타내는 1 이상의 시뮬레이션된 이미지를 포함한다.In another embodiment, the data for a given alignment site may be stored in a data structure such as a graphics data stream (GDS) file, any other standard machine-readable file, any other suitable file known in the art, And includes design data to be stored. A GDSII file is a category of files used to represent design layout data. Other examples of such files include GL1 and OASIS files. Some embodiments are described herein for GDS or GDSII files, but the embodiments are equally applicable to the entire category of files regardless of the data structure configuration, storage format, or storage mechanism. In another embodiment, the data for a given alignment site includes one or more simulated images that indicate how a given alignment site will be printed on the wafer.

일부 실시예에서, 소정의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트의 1 이상의 속성을 포함하고, 정렬 사이트에 대한 데이터는 정렬 사이트의 1 이상의 속성을 포함하고, 정렬 단계는 소정의 정렬 사이트의 1 이상의 속성을 정렬 사이트의 1 이상의 정렬 사이트에 정렬하는 단계를 포함한다. 그러한 일 실시예에서, 소정의 정렬 사이트의 1 이상의 속성은 소정의 정렬 사이트의 중심을 포함하고, 정렬 사이트의 1 이상의 속성은 정렬 사이트의 중심을 포함한다.In some embodiments, the data for a given alignment site includes one or more attributes of a given alignment site, the data for the alignment site includes one or more attributes of the alignment site, And sorting the above attributes into one or more sorting sites of the sorting site. In one such embodiment, one or more attributes of a given alignment site include the center of a given alignment site, and one or more attributes of the alignment site include the center of the alignment site.

추가적인 실시예에서, 소정의 정렬 사이트에 대한 데이터는 검사 시스템 또는 다른 이미지 취득 시스템에 의해 취득되고, 설계 데이터에 대한 GDSII 파일과 같은 데이터 구조에 저장된 설계 데이터에 정렬된 데이터를 포함한다. 또 다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는 설계 데이터 스페이스 내의 설계 좌표에 정렬된 표준 기준 다이 이미지의 적어도 일부를 포함한다. 표준 기준 다이 이미지는 취득되거나, 시뮬레이션 되거나, 확대되거나, 또는 그 조합이 이루어진 기준 이미지일 수 있다.In a further embodiment, the data for a given alignment site is acquired by an inspection system or other image acquisition system and includes data aligned with design data stored in a data structure, such as a GDSII file for the design data. In yet another embodiment, the data for a given alignment site includes at least a portion of a standard reference die image that is aligned to design coordinates within the design data space. The standard reference die image may be a reference image that is acquired, simulated, magnified, or a combination thereof.

일부 실시예에서, 소정의 정렬 사이트는 x 및 y 방향에서 특유한 1 이상의 속성을 갖는 적어도 하나의 정렬 특징을 포함한다. 다른 실시예에서, 소정의 정렬 사이트는 적어도 두 개의 정렬 특징을 포함한다. 두 정렬 특징의 첫 번째는 x 방향에서 특유한 1 이상의 속성을 갖는다. 두 정렬 특징의 두 번째는 y 방향에서 특유한 1 이상의 속성을 갖는다.In some embodiments, a given alignment site includes at least one alignment feature having one or more properties that are unique in the x and y directions. In another embodiment, a given alignment site includes at least two alignment features. The first of the two alignment features has one or more properties that are unique in the x direction. The second of the two alignment features has one or more properties that are unique in the y direction.

추가적인 실시예에서, 상기 방법은 검사 시스템을 사용하여 소정의 정렬 사이트를 선택하는 단계를 포함한다. 그러한 일 실시예에서, 소정의 정렬 사이트를 선택하기 위해 사용된 검사 시스템 또는 다른 이미지 취득 시스템의 촬상 모드는 검사 데이터를 취득하기 위해 사용된 검사 시스템의 촬상 모드와는 상이하다. 일부 실시예에서, 정렬 사이트의 위치를 결정하는 단계는 웨이퍼의 검사 이전에 수행되며, 검사 데이터의 위치를 결정하는 단계는 웨이퍼의 검사 동안에 수행된다. 다른 실시예에서, 검사 데이터의 위치를 결정하는 단계는 웨이퍼의 검사에 후속하여 수행된다. 그러한 일 실시예에서, 검사 데이터의 위치를 결정하는 단계는, 결함에 대응하지 않는 검사 데이터의 부분에 대해서가 아니라, 웨이퍼 상에서 검출된 결함에 대응하는 검사 데이터의 부분에 대해 수행된다. 이러한 방식에서, 설계 데이터 스페이스 내의 검사 데이터의 위치는 웨이퍼 상의 결함 있는 장소에서 취득된 검사 데이터(예컨대, 패치 이미지)에 대해서만 결정된다.In a further embodiment, the method comprises selecting an alignment site using an inspection system. In such an embodiment, the imaging mode of the inspection system or other image acquisition system used to select a given alignment site is different from the imaging mode of the inspection system used to acquire inspection data. In some embodiments, the step of determining the position of the alignment site is performed prior to the inspection of the wafer, and the step of determining the location of the inspection data is performed during the inspection of the wafer. In another embodiment, the step of determining the location of the inspection data is performed subsequent to the inspection of the wafer. In one such embodiment, the step of determining the location of the inspection data is performed not on the portion of the inspection data that does not correspond to the defect, but on the portion of the inspection data corresponding to the defect detected on the wafer. In this manner, the location of the inspection data within the design data space is determined only for the inspection data (e.g., patch image) acquired at the defective location on the wafer.

다른 실시예에서, 정렬 사이트에 대한 데이터는 검사 데이터의 스와스(swath) 내에 존재한다. 그러한 일 실시예에서, 설계 데이터 스페이스 내의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 스와스의 위치를 결정하는 단계와, 스와스의 위치에 기초하여 설계 데이터 스페이스 내의 검사 데이터의 추가적인 스와스의 위치를 결정하는 단계를 포함한다.In another embodiment, the data for the alignment site is within the swath of the inspection data. In one such embodiment, determining the position of the swath in the design data space based on the position of the alignment site in the design data space, determining the position of the swath of the inspection data in the design data space based on the position of the swath .

일 실시예에서, 상기 방법은 설계 데이터 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위 상의 결함을 검출하기 위한 감지도(sensitivity)를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 설계 데이터의 1 이상의 속성은 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층, 다른 프로세스 계층 또는 이들의 일부 조합에 대하여, 설계 데이터, 다른 설계 데이터, 또는 이들의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼, 또는 이들의 일부 조합에 대하여 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여 선택된다. 그러한 다른 실시예에서, 설계 데이터의 1 이상의 속성은 다른 부위에서 이전에 검출된 결함의 수율 임계(yield criticality), 상기 다른 부위에서 이전에 검출된 결함의 오류 확률(fault probability), 또는 이들의 일부 조합에 기초하여 선택된다.In one embodiment, the method includes determining sensitivity to detect defects on other portions of the wafer based on the location of the inspection data in the design data and one or more attributes of the design data in the design data space . In one such embodiment, one or more attributes of the design data may be associated with the design data, other design data, or some combination thereof for the process layer, other process layers, or some combination thereof, Is selected based on one or more attributes of inspection data previously obtained for a wafer, another wafer, or some combination thereof. In such other embodiments, one or more attributes of the design data may include a yield criticality of a previously detected defect at another site, a fault probability of a previously detected defect at the other site, Is selected based on the combination.

다른 실시예에서, 설계 데이터 스페이스 내의 검사 데이터의 위치 및 콘텍스트 맵(context map)에 기초하여, 웨이퍼의 다른 부위 상의 결함을 검출하기 위한 감지도를 결정하는 단계를 포함하며, 콘텍스트 맵은 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성에 대한 값을 포함한다. 그러한 일 실시예에서, 감지도를 결정하는 단계는, 웨이퍼의 다른 부위 상의 결함을 검출하기 위해 검사 데이터에 사용되는 감지도 임계치를 결정하는 단계를 포함한다. 그러한 다른 실시예에서, 감지도를 결정하는 단계는 웨이퍼의 검사 동안에 검사 시스템에 의해 수행된다. 그러한 추가의 실시예에서, 감지도를 결정하는 단계는 웨이퍼에 대한 검사 데이터의 취득이 완료된 후에 수행된다.Determining a degree of detection for detecting defects on other parts of the wafer based on the location of the inspection data in the design data space and the context map, Lt; RTI ID = 0.0 > 1 < / RTI > In one such embodiment, the step of determining the degree of sensitivity includes determining a degree of sensitivity used in the inspection data to detect defects on other parts of the wafer. In such other embodiments, the step of determining the degree of sensitivity is performed by the inspection system during inspection of the wafer. In such a further embodiment, the step of determining the degree of sensitivity is performed after the acquisition of the inspection data for the wafer is completed.

추가적인 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 검사 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위 상의 결함을 검출하기 위한 감지도를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 검사 데이터의 1 이상의 속성은, 1 이상의 이미지 노이즈 속성, 결함이 다른 부위에서 검출되었는지, 또는 이들의 일부 조합을 포함한다.In a further embodiment, the method further comprises detecting, based on at least one attribute of the inspection data, one or more attributes of the design data in the design data space, the location of the inspection data in the design data space, And determining a degree of freedom. In one such embodiment, one or more attributes of the inspection data include one or more image noise attributes, whether the defect has been detected at another site, or some combination thereof.

일부 실시예에서, 상기 방법은 웨이퍼 상에서 제조되고 있는 장치의 설계에 대한 개략적인 데이터(schematic data)의 1 이상의 속성, 장치에 대한 물리적 레이아웃의 기대되는 전기적 거동의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여, 웨이퍼 상의 결함을 검출하기 위한 1 이상의 매개변수를 변경하는 단계를 더 포함한다. 다른 실시예에서, 상기 방법은 웨이퍼에 대해 수행되는 전기 테스트 프로세스의 1 이상의 매개변수에 기초하여, 검사 데이터를 사용하여 웨이퍼 상의 결함을 검출하기 위한 1 이상의 매개변수를 변경하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 검사 데이터를 사용하여 웨이퍼 상에서 검출되는 결함에 기초하여 웨이퍼 상에서 수행되는 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다.In some embodiments, the method may include one or more attributes of schematic data for the design of the device being fabricated on the wafer, one or more attributes of the expected electrical behavior of the physical layout for the device, or some combination thereof The method further comprises changing one or more parameters for detecting defects on the wafer. In another embodiment, the method includes altering one or more parameters for detecting defects on a wafer using inspection data based on one or more parameters of an electrical test process performed on the wafer. In a further embodiment, the method includes modifying one or more parameters of an electrical test process performed on the wafer based on defects detected on the wafer using the inspection data.

추가의 실시예에서, 상기 방법은 피드백(feedback) 제어 기술을 사용하여, 상기 방법의 1 이상의 단계의 결과에 기초하여, 검사 시스템에 의해 수행되는 검사 프로세스의 1 이상의 매개변수를 주기적으로 변경하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 피드백 제어 기술을 사용하여, 방법의 1 이상의 단계의 결과에 기초하여, 검사 시스템에 의해 수행되는 검사 프로세스의 1 이상의 매개변수를 자동으로 변경하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 그 방법의 1 이상의 단계의 결과를 사용하여 지식 베이스(knowledge base)를 생성하는 단계와, 그 지식 베이스를 사용하여 검사 시스템에 의해 수행되는 검사 프로세스를 생성하는 단계를 포함한다.In a further embodiment, the method comprises the steps of periodically changing one or more parameters of the inspection process performed by the inspection system, based on the results of one or more of the steps of the method, using a feedback control technique . In another embodiment, the method includes automatically changing one or more parameters of an inspection process performed by the inspection system, based on a result of one or more of the steps of the method, using feedback control techniques. In yet another embodiment, the method includes generating a knowledge base using the results of one or more steps of the method, generating a test process performed by the test system using the knowledge base, .

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 결함에 대응하는 검사 데이터의 부분의 위치와 콘텍스트 맵에 기초하여, 웨이퍼의 다른 부위 상에서 검출된 결함을 분류하는 단계를 포함하며, 그 콘텍스트 맵은 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성에 대한 값을 포함한다. 그러한 일 실시예에서, 분류 단계는 웨이퍼의 검사 동안에 상기 검사 시스템에 의해 수행된다. 그러한 다른 실시예에서, 분류 단계는 웨이퍼에 대한 상기 검사 데이터의 취득이 완료된 후에 수행된다.In another embodiment, the method includes classifying defects detected on other parts of the wafer based on the location of the portion of the inspection data corresponding to the defects in the design data space and the context map, And a value for one or more attributes of the design data over the data space. In one such embodiment, the classification step is performed by the inspection system during inspection of the wafer. In such another embodiment, the classification step is performed after the acquisition of the inspection data for the wafer is completed.

다른 실시예에서, 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함한다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계와, 설계 데이터 스페이스 내의 결함의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함이 뉴슨스(nuisance) 결함인지를 판정하는 단계를 포함한다. 그러한 일 실시예에서, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 뉴슨스 결함인 것으로 판정되지 않은 상기 결함이 체계적(systematic) 또는 랜덤(random) 결함인지를 판정하는 단계를 포함한다. 결함이 공간적으로 체계적 결함 또는 랜덤 결함인지를 판정하는 단계는 이력 팹 데이터 또는 설계 데이터 내의 핫 스팟에 대응하는 다른 데이터와 같은 다른 정보와 조합하여, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 수행할 수 있다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 검사 데이터의 1 이상의 통계적으로 결정된 속성에 기초하여, 결함이 체계적 또는 랜덤 결함인지를 결정하는 단계를 또한 포함할 수 있다. 일 실시예에서, 검사 데이터는 PWQ(process window qualification)에 대해 취득된다. 다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와 상기 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함을 분류하는 단계를 포함한다.In another embodiment, the inspection data includes data on defects on the wafer. In one such embodiment, the method includes determining a location of a defect in the design data space based on the location of the inspection data in the design data space, determining the location of the defect in the design data space, And determining whether the defect is a nuisance defect based on the attribute. In one such embodiment, determining whether the defect, which is not determined to be a Newson defect, is a systematic or a random defect based on one or more attributes of the design data in the design data space. Determining whether the defect is a spatially systematic defect or a random defect is based on one or more attributes of the design data in the design data space in combination with other information, such as historical fab data or other data corresponding to a hot spot in the design data Can be performed. In one such embodiment, the method may also include determining whether the defect is systematic or random defect based on the location of the inspection data in the design data space and one or more statistically determined attributes of the inspection data. In one embodiment, the inspection data is obtained for a process window qualification (PWQ). In another embodiment, the method includes classifying defects based on the location of inspection data within the design data space and one or more attributes of the design data in the design data space.

일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함을 비닝(binning) 하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 설계 데이터가 위에 인쇄되는 레티클에 대하여 취득된 레티클 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 검사 데이터의 1 이상의 속성, 및 설계 데이터가 위에 인쇄되는 레티클에 대하여 취득한 레티클 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 검사 데이터의 1 이상의 속성, 및 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층, 다른 프로세스 계층 또는 이들의 일부 조합에 대하여, 설계 데이터, 다른 설계 데이터 또는 이들의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼 또는 이들의 일부 조합에 대해 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다.In one embodiment, the method includes binning a defect based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. In some embodiments, the method further comprises determining, based on at least one of the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and the reticle inspection data acquired for the reticle onto which the design data is printed , And binning the defect into groups. In a further embodiment, the method includes binning a defect into groups based on the location of the inspection data within the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. In some embodiments, the method includes the steps of: locating inspection data within a design data space; at least one property of design data in a design data space; at least one property of inspection data; And binning the defects into groups based on the one or more attributes. In a further embodiment, the method further comprises the steps of: locating the inspection data in the design data space, one or more properties of the design data in the design data space, one or more properties of the inspection data, Based on one or more attributes of inspection data previously obtained for a wafer, another wafer, or some combination thereof, for design data, other design data, or some combination thereof, As shown in FIG.

전술한 바와 같이, 검사 데이터는 웨이퍼 상의 결함에 대한 데이터를 포함할 수 있다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 리뷰를 위해 상기 결함의 적어도 일부를 선택하는 단계를 포함한다. 그러한 추가의 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함이 리뷰되는 시퀀스(sequence)를 결정하는 단계를 포함한다. 또 다른 그러한 실시예에서, 상기 방법은 리뷰를 위해 결함의 적어도 일부를 선택하는 단계를 포함하고, 결함의 적어도 일부는 설계 데이터의 1 이상의 속성의 상이한 값을 갖는 설계 데이터 스페이스 내의 설계 데이터의 각각의 부분 내에 위치한 적어도 하나의 결함을 포함한다. 결함 리뷰 샘플링은 결함이 비닝되는 그룹의 1 이상의 속성에 기초하여 또한(또는 대안적으로) 수행될 수 있다. 결함은 여기에 추가로 기술하는 바와 같이 비닝될 수 있고, 그룹의 1 이상의 속성은 설계 데이터의 1 이상의 속성에 기초하여 또는 여기에 기술한 임의의 다른 방식으로 결정될 수 있다.As described above, the inspection data may include data on defects on the wafer. In one such embodiment, the method includes selecting at least a portion of the defect for review based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. In such a further embodiment, the method comprises determining a sequence in which the defect is to be reviewed, based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. In yet another such embodiment, the method includes selecting at least a portion of the defects for review, wherein at least a portion of the defects are associated with each of the design data in the design data space having different values of one or more attributes of the design data And at least one defect located within the portion. The defect review sampling may also (or alternatively) be performed based on one or more attributes of the group to which the defect is being binned. Defects may be binned as further described herein, and one or more attributes of the group may be determined based on one or more attributes of the design data or in any other manner described herein.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위에 대해 취득한, 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 소정의 속성을 추출하는 단계를 포함한다. 그러한 일 실시예에서, 설계 데이터의 1 이상의 속성은, 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층, 다른 프로세스 계층 또는 이들의 일부 조합에 대하여, 설계 데이터, 다른 설계 데이터 또는 이들의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼 또는 이들의 일부 조합에 대해 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여 선택된다.In another embodiment, the method further comprises the step of determining, based on the location of the inspection data in the design data space and the one or more attributes of the design data in the design data space, And extracting the predetermined attribute. In one such embodiment, one or more attributes of the design data may be associated with the design data, other design data, or some combination thereof for the process layer, other process layers, or some combination thereof, Is selected based on one or more attributes of inspection data previously obtained for a wafer, another wafer, or some combination thereof.

다른 실시예에서, 상기 방법은, 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 상기 검사 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위에 대해 취득한, 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 소정의 속성을 추출하는 단계를 포함한다. 그러한 일 실시예에서, 검사 데이터의 1 이상의 속성은 1 이상의 이미지 노이즈 속성, 1 이상의 결함이 상기 다른 부위에서 검출되었는지, 또는 이들의 일부 조합을 포함한다.In another embodiment, the method comprises the steps of: acquiring, for at least a portion of the inspection data acquired on another part of the wafer, based on at least one attribute of the inspection data, at least one attribute of the design data in the design data space, And extracting one or more predetermined attributes of the output from the one or more detectors of the system. In one such embodiment, one or more attributes of the inspection data include one or more image noise attributes, one or more defects detected at the other site, or some combination thereof.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 웨이퍼 상에서 검출된 1 이상의 결함에 대한 오류 확률 값을 결정하는 단계를 포함한다.In some embodiments, the method includes determining an error probability value for one or more defects detected on the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space do.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여, 설계 데이터 스페이스 내의 웨이퍼 상에서 검출된 결함의 위치 좌표를 결정하는 단계와, 설계 데이터의 평면도에 기초하여, 결함의 위치 좌표를 설계 셀 좌표로 이동하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 오버레이 공차(overlay tolerance)를 사용하여 결함 주위의 상이한 영역을 결정하는 단계와, 1 이상의 셀 유형이 체계적으로 결함 있는 셀 유형인지를 결정하고, 체계적으로 결함 있는 셀 유형 내의 1 이상의 체계적으로 결함 있는 구조의 1 이상의 위치를 결정하기 위해, 상기 영역을 사용하여, 1 이상의 셀 유형에 대하여 결함 리피터(repeater) 분석을 수행하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 체계적으로 결함 있는 셀 유형에 가까이 위치한 셀에 대한 설계 데이터의 1 이상의 속성, 구조, 또는 그것의 일부에 기초하여, 공간적으로 체계적인 결함이 체계적으로 결함 있는 셀 유형 내에서 발생하는지를 결정하는 단계를 포함한다.In another embodiment, the method includes determining positional coordinates of a defect detected on a wafer in a design data space based on the location of inspection data in a design data space, determining, based on the topographic view of the design data, To the design cell coordinates. In one such embodiment, the method includes determining overlay tolerance to determine a different area around the defect, determining whether the one or more cell types are systematically defective cell types, And performing a defective repeater analysis for one or more cell types using the region to determine one or more locations of at least one systematically defective structure within the type. In one such embodiment, the method is based on one or more attributes, structures, or a portion thereof, of design data for a cell that is systematically located close to a defective cell type, such that spatially systematic defects are systematically located within the defective cell type Lt; / RTI >

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여, 설계 데이터 스페이스 내의 웨이퍼 상에서 검출된 결함의 위치를 결정하는 단계와, 설계 데이터의 1 이상의 속성에 대한 소정 값이 설계 데이터 스페이스 내의 위치의 함수로서 저장되는 데이터 구조를 사용하여, 결함의 위치에 대응하는 설계 데이터의 1 이상의 속성에 대한 값을 결정하는 단계를 포함한다.In another embodiment, the method includes determining a location of a detected defect on a wafer in a design data space based on the location of inspection data in the design data space, determining a predetermined value for one or more properties of the design data, Determining a value for one or more attributes of the design data corresponding to the location of the defect using the data structure stored as a function of location within the space.

추가의 실시예에서, 레티클 검사 시스템에 의해 생성된 레티클의 이미지는 설계 데이터 스페이스 내의 설계 데이터로서 사용된다. 레티클은 설계 데이터를 웨이퍼 상에 인쇄하는데 사용된다. 다른 실시예에서, 레티클 이미지가 웨이퍼 상에 어떻게 인쇄될지를 나타내는 시뮬레이션된 이미지는 설계 데이터 스페이스 내의 설계 데이터로서 사용된다. 추가의 실시예에서, 상기 방법은 웨이퍼 상에 설계 데이터를 인쇄하는데 사용되는 레티클에 대해 취득한 레티클 검사 데이터에 기초하여, 설계 데이터 스페이스 내의 설계 데이터에 대한 콘텍스트 맵을 생성하는 단계를 포함한다.In a further embodiment, the image of the reticle generated by the reticle inspection system is used as design data in the design data space. The reticle is used to print the design data on the wafer. In another embodiment, a simulated image representing how the reticle image is to be printed on the wafer is used as design data in the design data space. In a further embodiment, the method includes generating a context map for design data in the design data space based on the reticle inspection data acquired for the reticle used to print the design data on the wafer.

일 실시예에서, 설계 데이터 스페이스 내의 검사 데이터의 위치 및 콘텍스트 맵을 사용하여 웨이퍼 상에의 레티클 결함의 인쇄 가능성을 결정하기 위해, 웨이퍼 검사 프로세스를 최적화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 표준 기준 다이 기반 검사에 대해 검사 데이터와, 표준 기준 다이 기반 검사를 위한 표준 기준 다이를 사용하여, 웨이퍼 상의 결함을 검출하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 검사 데이터, 표준 기준 다이, 및 표준 기준 다이 기반 검사에 대한 섭동(攝動) 매트릭스 내의 표준 기준 다이와 관련된 웨이퍼 노이즈의 표현(noise representation)을 사용하여 웨이퍼 상의 결함을 검출하는 단계를 포함한다.In one embodiment, the method includes optimizing the wafer inspection process to determine the printability of reticle defects on the wafer using the location of the inspection data within the design data space and the context map. In another embodiment, the method includes detecting defects on the wafer using inspection data and standard reference die for standard reference die based inspection for standard reference die based inspection. In a further embodiment, the method further comprises detecting a defect on the wafer using a noise representation of the wafer noise associated with the standard reference die in the perturbation matrix for inspection data, standard reference die, and standard reference die based inspection .

추가의 실시예에서, 웨이퍼 및 추가적인 웨이퍼는 웨이퍼 레벨 프로세스 매개변수 조정을 사용하여 처리되며, 상기 방법은 웨이퍼와 추가적인 웨이퍼 상의 다이에 대한 검사 데이터를 통상적인 표준 기준 다이에 비교함으로써, 웨이퍼 및 추가적인 웨이퍼 상의 결함을 검출하는 단계를 포함한다.In a further embodiment, the wafers and additional wafers are processed using wafer level process parameter adjustments, wherein the method further comprises comparing the inspection data for the wafers and the die on the additional wafers to a standard reference standard die, And detecting defects on the substrate.

전술한 각각의 단계는 설계 데이터 스페이스 내의 검사 데이터의 가까운 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 이력 팹 데이터, 또는 설계 데이터 내의 핫 스팟에 대응하는 다른 데이터에 기초하여 수행할 수 있다. 일부 실시예에서, 상기 방법은 결함과, 그 결함이 비닝되는 그룹의 1 이상의 속성, 또는 여기에 기술한 임의의 방법의 임의의 다른 결과에 기초하여 통계적 프로세스 제어(SPC)를 수행하는 단계를 포함할 수 있다. 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each of the above-described steps can be performed based on the near position of the inspection data in the design data space, one or more properties of the design data in the design data space, the history fab data, or other data corresponding to the hot spot in the design data. In some embodiments, the method includes performing statistical process control (SPC) based on the defect, one or more attributes of the group in which the defect is to be binned, or any other result of any of the methods described herein can do. Each embodiment of the above-described method may comprise any other step of any of the methods described herein. Each embodiment of the above-described method may be performed by any of the system embodiments described herein.

다른 실시예는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템에 관한 것이다. 상기 시스템은 설계 데이터를 포함하는 저장 매체를 포함한다. 시스템은 저장 매체에 결합된 프로세서를 또한 포함한다. 프로세서는 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득된 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬하도록 구성된다. 프로세서는 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여, 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하도록 또한 구성된다. 또한, 프로세서는 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여, 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대해 취득한 검사 데이터의 위치를 결정하도록 구성된다. 시스템의 본 실시예는 여기에 기술하는 바와 같이 추가로 구성될 수 있다.Another embodiment is directed to a system configured to determine the location of inspection data within a design data space. The system includes a storage medium containing design data. The system also includes a processor coupled to the storage medium. The processor is configured to align the data acquired by the inspection system with the data for a given alignment site for alignment sites on the wafer. The processor is further configured to determine the position of the alignment site on the wafer within the design data space based on the position of the predetermined alignment site within the design data space. The processor is further configured to determine the location of the inspection data acquired for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer within the design data space. This embodiment of the system may be further configured as described herein.

추가의 실시예는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템에 관한 것이다. 이러한 시스템은 웨이퍼 상의 정렬 사이트에 대한 데이터와, 웨이퍼에 대한 검사 데이터를 취득하도록 구성된 검사 시스템을 포함한다. 시스템은 설계 데이터를 포함하는 저장 매체를 또한 포함한다. 또한, 시스템은 검사 시스템 및 저장 매체에 결합되는 프로세서를 포함한다. 프로세서는, 웨이퍼 상의 정렬 사이트에 대한 데이터를 소정의 정렬 사이트에 대한 데이터와 정렬하도록 구성된다. 프로세서는 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하도록 구성된다. 또한, 프로세서는 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된다.A further embodiment relates to a system configured to determine the location of inspection data within a design data space. Such a system includes data for an alignment site on a wafer and an inspection system configured to acquire inspection data for the wafer. The system also includes a storage medium containing design data. The system also includes a processor coupled to the inspection system and the storage medium. The processor is configured to align data for an alignment site on the wafer with data for a given alignment site. The processor is configured to determine the position of the alignment site on the wafer within the design data space based on the position of the predetermined alignment site within the design data space. The processor is further configured to determine the location of the inspection data within the design data space based on the position of the alignment site on the wafer within the design data space.

추가적인 실시예는 구동시(예컨대, 검사 프로세스 동안) 검사 스페이스 내의 설계 데이터-기반 주의 영역(예컨대, 검사 영역, 높은 감지도로 검사될 영역, 또는 낮은 감지도로 검사될 영역)의 위치를 결정하도록 구성된다. 또한, 시스템은 데이터의 취득된 픽셀을 검사 프로세스 동안에 정확한 주의 영역에 실질적으로 정확히 할당하도록 구성될 수 있다. 주의 영역의 사이즈 및 빈도는 다이 상의 설계 구조의 사이즈 및 빈도에 접근할 수 있다. 이러한 시스템은 여기에 기술하는 바와 같이 추가로 구성될 수 있다.Additional embodiments are configured to determine the location of a design data-based attention area (e.g., an inspection area, a region to be inspected with high sensitivity, or a region to be inspected with low sensitivity) in the inspection space at the time of operation (e.g., during the inspection process) . In addition, the system can be configured to assign the acquired pixels of data substantially accurately to the correct attention area during the inspection process. The size and frequency of the attention area can approach the size and frequency of the design structure on the die. Such a system may be further configured as described herein.

추가의 실시예는 웨이퍼 상에서 검출된 결함을 비닝하는 컴퓨터-구현 방법에 관한 것이다. 그 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 비교하는 단계를 포함한다. 그 방법은 상기 비교 단계에 기초하여 그 부분 내의 설계 데이터가 적어도 유사한지를 판정하는 단계를 포함한다. 그 부분 내의 설계 데이터가 적어도 유사한지의 판정 단계는, 1 이상의 부분을 회전 및/또는 반사시키는 단계를 포함할 수 있다. 또한, 상기 방법은 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 그 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 추가로 포함한다.A further embodiment relates to a computer-implemented method of binning detected defects on a wafer. The method includes comparing portions of the design data that are close to the location of the defects in the design data space. The method includes determining based on the comparing step whether design data in the portion is at least similar. The step of determining whether the design data in the portion is at least similar may include rotating and / or reflecting one or more portions. The method also includes grouping the defects into groups such that the portions of the design data that are close to the locations of the defects in each group are at least similar. The method further includes storing the result of the binning step on a storage medium.

일 실시예에서, 부분의 치수는 결함을 검출하는데 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 부정확성, 설계 데이터의 1 이상의 속성, 검사 시스템의 결함 사이즈 에러, 또는 이들의 일부 조합에 적어도 부분적으로 기초하여 결정된다. 다른 실시예에서, 그 부분의 적어도 일부의 치수는 상이하다.In one embodiment, the dimensions of the part are determined by the location of the defect reported by the inspection system used to detect the defect, the coordinate inaccuracy of the inspection system, one or more properties of the design data, defect size errors of the inspection system, Based on at least in part. In other embodiments, the dimensions of at least some of the portions are different.

일 실시예에서, 그 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 이러한 방식에서, 여기에 기술한 방법에 사용된 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함할 수 있다. 여기에 기술한 방법에서 1 이상의 설계 층에 대한 설계 데이터를 사용하는 것은, 결함이 1 이상의 층 상의 결함을 검출할 수 있는 BF(bright field) 검사를 사용하여 검출되는 때와, 위치의 임계성이 설계의 이전 또는 이후의 층 상에서 무엇이 발생했는지에 의존할 수 있는지와 같은 인스턴스(instance)에서 유용할 수 있다. 전술한 방법은 관심 있는 데이터의 일부 또는 전부를 적어도 유사한 설계 데이터를 갖는 그룹으로 비닝하는 단계를 포함할 수 있다.In one embodiment, the design data in that portion includes design data for one or more design layers. In this manner, the design data used in the methods described herein may include design data for one or more design layers. Using design data for one or more design layers in the methods described herein may be useful when a defect is detected using a BF (bright field) inspection capable of detecting defects on one or more layers, And may depend on what occurred on the previous or subsequent layers of the application. The method described above may include binning some or all of the data of interest into a group having at least similar design data.

다른 실시예에서, 비교 단계는 상기 부분의 적어도 일부 내의 설계 데이터의 전체를 그 부분의 다른 부위 내의 설계 데이터에 비교하는 단계를 포함한다. 다른 실시예에서, 비교 단계는 그 부분의 적어도 일부 내의 설계 데이터의 다른 영역을 그 부분의 다른 부위 내의 설계 데이터에 비교하는 단계를 포함한다.In another embodiment, the comparing includes comparing the entire design data within at least a portion of the portion to design data in another portion of the portion. In another embodiment, the step of comparing includes comparing the other area of design data in at least a portion of the portion to design data in another portion of the portion.

일 실시예에서, 상기 방법은 웨이퍼 상의 정렬 사이트에 대한 검사 시스템에 의해 취득된 데이터를 소정의 정렬 사이트에 대한 데이터와 비교함으로써 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함 검사 동안에 검사 시스템에 의해 취득한 데이터를 리뷰에 의해 결정된 설계 데이터 내의 위치에 비교함으로써, 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다.In one embodiment, the method includes determining a location of a defect in a design data space by comparing data obtained by the inspection system for alignment sites on the wafer with data for a given alignment site. In another embodiment, the method includes determining a location of a defect in the design data space by comparing data acquired by the inspection system during a defect inspection to a location in the design data determined by review.

정렬 정확도는 설계로부터 웨이퍼로의 좌표 변환 및 검사 시스템의 좌표 정확도 양쪽에 의존한다. 따라서, 바람직하게는, 검사 시스템에 의해 보고된 좌표는 실질적으로 정확하다. 또한, 정렬 사이트에 대한 측정은 논리 검사 좌표를 사용하여 수행될 수 있다. (검사 시스템은 논리 웨이퍼 좌표를 출력하지만, 주사형 전자 현미경(SEM)과 같은 결함 리뷰 툴은 물리적 웨이퍼 좌표를 측정한다. 따라서, 웨이퍼 상의 물리적 좌표는 기대되는 웨이퍼 레이아웃에의 비교시, 레티클 오프셋, 스케일링(scaling) 및 미소한 회전에서의 차이를 고려하기 위해 검사 시스템에 의해 보정될 수 있다. 그와 같이, 그러한 보정은 레티클로부터 레티클로의 두 좌표 시스템 사이에서의 에러를 줄이기 위해 SEM 측정에 인가될 수 있다.Alignment accuracy depends on both the coordinate conversion from design to wafer and the coordinate accuracy of the inspection system. Thus, preferably, the coordinates reported by the inspection system are substantially accurate. Further, the measurement for the alignment site can be performed using the logical check coordinates. (The inspection system outputs the logical wafer coordinates, but a defect review tool such as a scanning electron microscope (SEM) measures the physical wafer coordinates.) Thus, the physical coordinates on the wafer can be compared with the expected wafer layout, Can be corrected by the inspection system to account for differences in scaling and minute rotation. As such, such correction may be applied to the SEM measurement to reduce errors between the two coordinate systems from the reticle to the reticle .

일 실시예에서, 비닝 단계는 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 각 그룹 내의 결함의 1 이상의 속성이 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 포함한다. 그러한 실시예에서, 1 이상의 속성은 결함이 검출되는 검사 결과의 1 이상의 속성, 검사의 1 이상의 매개변수, 또는 이들의 일부 조합을 포함한다.In one embodiment, the binning step includes binning the defect into groups such that the portion of the design data that is close to the location of the defect in each group is at least similar and at least one attribute of the defect in each group is at least similar. In such an embodiment, the one or more attributes include one or more attributes of the test results from which the defect is detected, one or more parameters of the test, or some combination thereof.

일부 실시예에서, 결함의 위치에 가까운 설계 데이터의 부분은 결함이 위치하는 설계 데이터를 포함한다. 다른 실시예에서, 결함의 위치에 가까운 설계 데이터의 부분은 결함의 위치 주변의 설계 데이터를 포함한다.In some embodiments, the portion of the design data that is close to the location of the defect includes design data where the defect is located. In another embodiment, the portion of the design data near the location of the defect includes design data around the location of the defect.

다른 실시예에서, 비닝 단계는 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 그 부분 내의 다각형에 대한 각 그룹 내의 결함의 위치가 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 포함한다.In another embodiment, the binning step includes binning the defect into groups such that the portion of the design data that is close to the location of the defect in each group is at least similar and the location of the defect in each group relative to the polygon within that portion is at least similar do.

추가의 실시예에서, 상기 방법은 1 이상의 결함에 대한 결함 임계 인덱스(DCI; defect criticality index)를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 결함을 검출하기 위해 사용된 검사 시스템에 의해서 보고된 결함의 위치, 검사 시스템의 좌표 부정확도, 또는 이들의 일부 조합에 기초하여, 1 이상의 결함이 설계 데이터에 대해 제조되는 장치 내에서 1 이상의 전기 고장을 야기할 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 그 확률에 기초하여 1 이상의 결함에 대한 DCI를 결정하는 단계를 또한 포함한다.In a further embodiment, the method includes determining a defect criticality index (DCI) for one or more defects. In another embodiment, the method includes determining at least one attribute of the design data near the location of the defect, one or more attributes of the defect, the location of the defect reported by the inspection system used to detect the defect, the coordinate inaccuracy of the inspection system, Or some combination thereof, determining the probability that one or more defects will cause one or more electrical failures in the device being manufactured for the design data. In one such embodiment, the method also includes determining a DCI for one or more defects based on the probability.

일부 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 설계 데이터 내의 1 이상의 핫 스팟을 식별하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여, 리뷰를 위한 결함의 적어도 일부를 선택하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 결함을 샘플링하기 위한 프로세스를 생성하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 검사 결과에 기초하여 검사 동안에 웨이퍼의 검사를 위한 프로세스를 변경하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스에 대한 샘플링 플랜을 변경하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 비닝 단계의 결과를 사용하여 체계적 결함, 잠재적인 체계적 결함, 또는 이들의 일부 조합을 시간에 걸쳐 모니터링하는 단계를 포함한다.In some embodiments, the method includes identifying one or more hot spots in the design data based on a result of the binning step. In another embodiment, the method includes selecting at least a portion of a defect for review based on a result of the binning step. In a further embodiment, the method includes generating a process for sampling a defect for review based on a result of the binning step. In a further embodiment, the method includes modifying a process for inspecting a wafer based on a result of a binning step. In some embodiments, the method includes modifying a process for inspection of a wafer during an inspection based on inspection results. In another embodiment, the method includes modifying the metrology process for the wafer based on the result of the binning step. In a further embodiment, the method includes modifying the sampling plan for the metrology process for the wafer based on the result of the binning step. In yet another embodiment, the method includes monitoring over time systematic defects, potential systematic defects, or some combination thereof, using the results of the binning step.

또 다른 실시예에서, 결함은 검사 프로세스에 의해 검출되며, 상기 방법은 설계 데이터 내의 1 이상의 관심 패턴(pattern of interest)이 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 1 이상의 POI의 위치에서 결함이 검출되었어야 하는지를 리뷰 단계의 결과에 기초하여 결정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다.In yet another embodiment, a defect is detected by an inspection process, the method comprising: reviewing a location on the wafer where at least one pattern of interest in the design data is printed; Based on the result of the review step, and changing the inspection process to improve one or more defect capture rates.

일부 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여, 설계 데이터가 인쇄된 웨이퍼 상에 수행될 1 이상의 프로세스를 최적화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화 하는 단계와, 그 우선순위화 단계의 결과에 기초하여 1 이상의 POI 중의 적어도 하나를 최적화하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여, 1 이상의 POI의 해상도 향상 기술(RET; resolution enhancement technology) 특징을 최적화하는 단계를 포함한다.In some embodiments, the method includes prioritizing one or more POIs in the design data, optimizing one or more processes to be performed on the wafer on which the design data is printed based on the results of the prioritizing step . In another embodiment, the method includes prioritizing one or more POIs in the design data and optimizing at least one of the one or more POIs based on the results of the prioritizing step. In a further embodiment, the method comprises prioritizing one or more POIs in the design data and optimizing the resolution enhancement technology (RET) feature of one or more POIs based on the results of the prioritizing step .

일 실시예에서, 결함은 광학적 검사에 의해 검출된다. 일부 실시예에서, 결함은 전자 빔 검사에 의해 검출된다. 다른 실시예에서, 결함은 PWQ(process window qualification) 방법에서 검출된다.In one embodiment, the defect is detected by optical inspection. In some embodiments, the defects are detected by electron beam inspection. In another embodiment, the defect is detected in a process window qualification (PWQ) method.

일부 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함의 적어도 일부를 리뷰하여 1 이상의 그룹의 결함이 뉴슨스 결함에 대응하는지를 판정하는 단계와, 검사 프로세스의 결과의 S/N을 증가시키기 위해, 결함이 검출된 검사 프로세스의 결과로부터 뉴슨스 결함에 대응하는 1 이상의 그룹을 제거하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함의 적어도 일부의 리뷰 결과, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 1 이상의 그룹의 결함을 분류하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함의 적어도 일부의 리뷰 결과, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 결함의 1 이상의 그룹의 근본 원인을 결정하는 단계를 포함한다.In some embodiments, the method includes reviewing at least a portion of the defects in the at least one group to determine whether the defects of the at least one group correspond to Newson defects, and to increase the S / N of the result of the inspection process, And removing one or more groups corresponding to the Newson defect from the result of the detected inspection process. In another embodiment, the method further comprises classifying defects of one or more groups based on review results of at least a portion of the defects in the one or more groups, one or more attributes of the design data, one or more attributes of the defects, . In a further embodiment, the method further comprises determining the root cause of one or more of the defects based on a review result of at least a portion of the defects in the one or more groups, one or more attributes of the design data, one or more attributes of the defects, .

일 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함의 적어도 일부를 실험적 프로세스 창 결과에 매핑함으로써 1 이상의 그룹의 결함의 근본 원인을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함의 적어도 일부를 시뮬레이션된 프로세스 창 결과에 매핑함으로써 1 이상의 그룹의 결함의 근본 원인을 결정하는 단계를 포함한다.In one embodiment, the method includes determining a root cause of a defect in one or more groups by mapping at least a portion of the defects in the one or more groups to an experimental process window result. In another embodiment, the method includes determining a root cause of a defect of one or more groups by mapping at least a portion of the defects in the one or more groups to a simulated process window result.

일부 실시예에서, 상기 방법은 설계 데이터를 사용하여 제조되고 있는 장치의 전기적 특성을 결함 위치에 대하여 모델링하는 단계와, 그 모델링 단계의 결과에 기초하여, 결함 위치에서의 결함의 매개변수 관련성을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 결함의 KP(kill probability) 값을 모니터링하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI에 대한 KP 값을 모니터링하는 단계와, 1 이상의 그룹으로 비닝된 결함의 위치에 가까운 설계 데이터의 부분이 1 이상의 POI에 대응하는 경우 1 이상의 POI에 대한 KP 값을 1 이상의 그룹에 할당하는 단계를 포함한다.In some embodiments, the method includes the steps of modeling the electrical characteristics of a device being manufactured using design data for a defective location, determining the parameter relevance of the defects at the defective location based on the results of the modeling step . In another embodiment, the method includes monitoring a kill probability (KP) value of one or more defects based on one or more attributes of the design data. In a further embodiment, the method further comprises monitoring a KP value for one or more POIs in the design data, and if the portion of the design data near the location of the defect binned in one or more groups corresponds to one or more POIs, Lt; / RTI > to a group of one or more.

일부 실시예에서, 여기에 기술한 방법의 1 이상의 단계는 검사 시스템(즉, "온 툴(on tool)") 또는 물리적으로 분리되지만 전송 매체에 의해 검사 시스템에 결합되는 프로세서(즉, "오프 툴(off tool)")에 의해 수행될 수 있다. 예컨대, 일 실시예에서, 컴퓨터-구현 방법은 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 대안적인 실시예에서, 컴퓨터-구현 방법은 결함을 검출하는데 사용된 검사 시스템 이외의 시스템에 의해 수행된다.In some embodiments, one or more of the steps described herein may be performed by a test system (i.e., "on tool") or a processor that is physically separate but coupled to the inspection system by a transmission medium quot; off tool "). For example, in one embodiment, the computer-implemented method is performed by an inspection system used to detect defects. In an alternative embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect defects.

다른 실시예에서, 상기 결정 단계는 상기 부분 내의 설계 데이터 내의 공통 패턴이 적어도 유사한지를 결정하는 단계를 포함한다. 추가적인 실시예에서, 그 결정 단계는 상기 부분 내의 설계 데이터의 공통 속성이 적어도 유사한지를 결정하는 단계를 포함한다. 추가의 실시예에서, 상기 결정 단계는 상기 부분 내의 설계 데이터의 특징 스페이스 내의 공통 속성이 적어도 유사한지를 결정하는 단계를 포함한다.In another embodiment, the determining includes determining whether a common pattern in design data within the portion is at least similar. In a further embodiment, the determining includes determining if the common attribute of the design data in the portion is at least similar. In a further embodiment, the determining comprises determining whether a common attribute in the feature space of the design data in the portion is at least similar.

일 실시예에서, 상기 방법은 1 이상의 그룹의 결함에 의해 영향을 받은 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 웨이퍼 상의 1 이상의 POI의 위치의 수에 대한 1 이상의 POI에 대응하는 적어도 하나의 그룹으로 비닝된 결함의 수의 비율을 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 설계 데이터 내의 1 이상의 POI의 위치의 수에 대한 1 이상의 POI에 대응하는 적어도 하나의 그룹으로 비닝된 결함의 수의 비율을 결정하는 단계를 포함한다.In one embodiment, the method includes determining a percentage of a die formed on a wafer affected by a defect of at least one group. In another embodiment, the method further comprises determining one or more POIs in the design data corresponding to the one or more groups, and determining at least one POI in the design data corresponding to the at least one group corresponding to one or more POIs And determining a ratio of the number of defects. In a further embodiment, the method comprises determining at least one POI in the design data corresponding to at least one group and at least one group corresponding to one or more POIs for the number of positions of the one or more POIs in the design data And determining the ratio of the number of binned defects.

추가의 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 적어도 하나의 그룹으로 비닝된 결함이 위치되는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 POI에 우선순위를 할당하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 1 이상의 그룹의 결함이 검출되는 웨이퍼 상의 전체 설계 인스턴스의 수로 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함이 적어도 한번 검출되는 웨이퍼 상의 설계 데이터를 인쇄하는데 사용되는 레티클 상의 설계 인스턴스의 수로 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 1 이상의 그룹으로 비닝된 결함이 검출되는 레티클 상의 위치의 수와, 1 이상의 그룹으로 비닝된 결함의 위치에 가까운 설계 데이터의 부분에 적어도 유사한 레티클 상에 인쇄된 설계 데이터 부분의 전체 수에 기초하여, 1 이상의 그룹에 대한 레티클-기반 마진을 결정하는 단계를 포함한다.In a further embodiment, the method further comprises determining a POI in design data corresponding to at least one group, determining a percentage of die formed on the wafer on which the at least one group of defects is located, And assigning a priority to the POI based on the percentage. In some embodiments, the method includes prioritizing one or more groups with a total number of design instances on a wafer from which defects of one or more groups are detected. In another embodiment, the method includes prioritizing one or more groups with the number of design instances on a reticle used to print design data on a wafer where defects in one or more groups are detected at least once. In a further embodiment, the method comprises comparing the number of positions on the reticle where a defect borne by at least one group is detected and design data printed on a reticle at least similar to a portion of the design data close to the position of the defect, Determining a reticle-based margin for the one or more groups based on the total number of portions.

일 실시예에서, 상기 방법은 상기 비교 단계 이전에, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 비트맵으로 변환하는 단계를 포함한다. 그러한 일 실시예에서, 비교 단계는 비트맵을 서로 비교한다.In one embodiment, the method includes converting the portion of the design data near the location of the defect in the design data space to a bitmap prior to the comparing step. In one such embodiment, the comparing step compares the bitmaps to each other.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다. 또한, 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the above-described method may include any of the steps of any of the methods described herein. In addition, each embodiment of the above-described method may be performed by any system described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함에 대한 DCI를 결정하기 위한 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 장치에 대해, 설계 데이터의 1 이상의 속성에 기초하여, 그 결함이 웨이퍼 상에서 제조되고 있는 장치의 1 이상의 전기적 속성을 변경할 확률을 결정하는 단계를 포함한다. 상기 방법은 결함이 1 이상의 전기적 속성을 변경할 확률에 기초하여 결함에 대한 DCI를 결정하는 단계를 또한 포함한다. 또한, 상기 방법은 저장 매체에 DCI를 저장하는 단계를 포함한다.Another embodiment relates to a method for determining a DCI for a defect detected on a wafer. The method includes determining for a device near the location of the defect in the design data space a probability of changing the one or more electrical attributes of the device on which the defect is being fabricated based on the one or more attributes of the design data . The method also includes determining a DCI for the defect based on a probability that the defect will change the one or more electrical attributes. The method also includes storing the DCI in a storage medium.

일 실시예에서, 결함은 랜덤 결함을 포함한다. 다른 실시예에서, 결함은 체계적 결함을 포함한다. 추가적인 실시예에서, 1 이상의 전기적 속성은 장치의 기능성을 포함한다. 추가의 실시예에서, 1 이상의 전기적 속성은 장치의 1 이상의 전기적 매개변수를 포함한다.In one embodiment, the defect includes a random defect. In another embodiment, the defects include systematic defects. In a further embodiment, the one or more electrical attributes comprise functionality of the device. In a further embodiment, the one or more electrical attributes comprise one or more electrical parameters of the device.

일 실시예에서, 설계 데이터의 1 이상의 속성은 용장도(redundancy), 네트 리스트(net list), 또는 이들의 일부 조합을 포함한다. 다른 실시예에서, 설계 데이터의 1 이상의 속성은 설계 데이터 내의 특징의 치수, 설계 데이터 내의 특징의 밀도, 또는 이들의 일부 조합을 포함한다.In one embodiment, one or more attributes of the design data include redundancy, a net list, or some combination thereof. In another embodiment, the one or more attributes of the design data include the dimensions of the features in the design data, the density of features in the design data, or some combination thereof.

일 실시예에서, 확률을 결정하는 단계는, 설계 데이터에 대한 전기 테스트 결과와, 설계 데이터의 1 이상의 속성 사이의 상관관계를 사용하여 확률을 결정하는 단계를 포함한다. 다른 실시예에서, 확률을 결정하는 단계는, 설계 데이터 스페이스 내의 결함의 위치, 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 부정확성, 결함의 사이즈, 검사 시스템의 결함 사이즈 에러, 또는 이들의 일부 조합과 조합하여, 설계 데이터의 1 이상의 속성에 기초하여, 그 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 그 결함은 랜덤 결함을 포함한다.In one embodiment, determining the probability comprises determining a probability using a correlation between an electrical test result for the design data and one or more attributes of the design data. In another embodiment, the step of determining a probability comprises determining a position of a defect in the design data space, a position of a defect reported by the inspection system used to detect the defect, a coordinate inaccuracy of the inspection system, Defect size error, or some combination thereof, based on one or more attributes of the design data. In one such embodiment, the defect includes random defects.

일부 실시예에서, 확률을 결정하는 단계는 결함의 1 이상의 속성과 조합하여, 설계 데이터의 1 이상의 속성에 기초하여, 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 결함은 체계적 결함을 포함한다.In some embodiments, determining the probability includes determining a probability based on at least one attribute of the design data, in combination with one or more attributes of the defect. In one such embodiment, the defects include systematic defects.

일 실시예에서, DCI를 결정하는 단계는, 결함에 할당되는 범주와 조합하여, 확률에 기초하여, 결함에 대한 DCI를 결정하는 단계를 포함한다. 다른 실시예에서, 설계 데이터의 1 이상의 결함은 장치의 1 이상의 설계 층에 대한 설계 데이터의 1 이상의 속성을 포함한다.In one embodiment, the step of determining the DCI comprises determining the DCI for the defect based on the probability, in combination with the category assigned to the defect. In another embodiment, one or more defects in the design data include one or more attributes of design data for one or more design layers of the device.

일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하여, 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함 정렬에 의해 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 부정확도, 설계 데이터의 1 이상의 속성, 결함 사이즈, 검사 시스템의 결함 사이즈 에러, 또는 이들의 일부 조합에 적어도 부분적으로 기초하여, 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다.In one embodiment, the method includes determining the location of inspection data within the design data space, and determining design data that is close to the location of the defect. In another embodiment, the method includes determining design data that is close to the location of the defect by defect alignment. In some embodiments, the method further comprises determining a position of a defect reported by the inspection system used to detect the defect, a coordinate inaccuracy of the inspection system, one or more attributes of the design data, a defect size, And determining design data that is close to the location of the defect based at least in part on some combination thereof.

일 실시예에서, 상기 방법은 결함에 대한 설계 데이터의 수율 감지도에 기초하여 DCI를 수정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함에 대해 결정된 DCI에 기초하여 결함에 대해 수행된 프로세스를 변경하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함에 대해 결정된 DCI에 기초하여 결함을 검출하기 위해 사용된 프로세스를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 결함에 대한 DCI에 기초하여, 장치가 위에서 제조될 추가적인 웨이퍼의 검사를 위한 프로세스를 생성하는 단계를 포함한다.In one embodiment, the method includes modifying the DCI based on the yield sensitivity of the design data for the defect. In another embodiment, the method includes modifying a process performed on a defect based on a DCI determined for the defect. In a further embodiment, the method includes modifying the process used to detect the defect based on the DCI determined for the defect. In a further embodiment, the method includes generating a process for inspection of additional wafers to be fabricated above, based on DCI for defects.

일 실시예에서, 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템에 의해 수행된다. 다른 실시예에서, 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템 이외의 시스템에 의해 수행된다.In one embodiment, the computer-implemented method is performed by an inspection system used to detect defects. In another embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect defects.

전술한 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다. 또한, 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each of the above-described embodiments may include any of the steps of any of the methods described herein. In addition, each embodiment of the above-described method may be performed by any system described herein.

다른 실시예는 웨이퍼 상에 형성된 메모리 뱅크에 대한 MRI(memory repair index)를 결정하는 컴퓨터 구현 방법에 관한 것이다. 상기 방법은 모리 뱅크의 어레이 블록 영역에 위치한 결함에 기초하여, 메모리 뱅크를 수리하는데 필요한 복수의 용장 열 및 복수의 용장 행을 결정하는 단계를 포함한다. 상기 방법은 메모리 뱅크를 수리하는데 필요한 용장 열의 수를 메모리 뱅크에 대해 이용가능한 용장 열의 수에 비교하는 단계를 또한 포함한다. 또한, 상기 방법은 메모리 뱅크를 수리하는데 필요한 용장 행의 수를 메모리 뱅크에 대해 이용가능한 용장 행의 양에 비교하는 단계를 포함한다. 상기 방법은 용장 열의 수를 비교하는 단계 및 용장 행의 수를 비교하는 단계의 결과에 기초하여, 메모리에 대한 MRI를 결정하는 단계를 더 포함한다. MRI는 메모리 뱅크가 수리가능한지를 지시한다. 상기 방법은 MRI를 저장 매체에 저장하는 단계를 또한 포함한다.Another embodiment relates to a computer implemented method for determining a memory repair index (MRI) for a memory bank formed on a wafer. The method includes determining a plurality of redundancy rows and a plurality of redundancy rows required to repair a memory bank based on a defect located in the array block region of the bank. The method also includes comparing the number of redundancy columns required to repair the memory bank to the number of redundancy columns available for the memory bank. The method also includes comparing the number of redundancy rows required to repair the memory bank to the amount of redundancy rows available for the memory bank. The method further includes determining an MRI for the memory based on the results of comparing the number of redundant rows and comparing the number of redundant rows. The MRI indicates whether the memory bank is repairable. The method also includes storing the MRI in a storage medium.

일 실시예에서, 상기 방법은 어레이 블록 영역 내에 위치한 결함의 어느 것이 메모리 뱅크 내의 비트가 고장이 나게 야기할 수 있는지를 결정하는 단계와, 그 비트가 고장이 나게 야기할 수 있는 결함의 위치에 기초하여, 고장이 날 비트의 위치를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 메모리 뱅크를 수리하는데 필요한 용장 열의 수 및 용장 행의 수를 결정하는 단계는 고장 날 비트의 위치를 사용하여 수행된다.In one embodiment, the method further comprises the steps of determining which of the defects located in the array block area can cause the bits in the memory bank to fail, and determining if the bit is faulty based on the location of the defect And determining the location of the failed bit. In one such embodiment, the step of determining the number of redundant rows and the number of redundant rows required to repair the memory bank is performed using the location of the fail bit.

다른 실시예에서, 상기 방법은 피드 포워드 제어 기술을 사용하여 MRI에 기초하여 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 메모리 뱅크가 수리 불가능한 경우, 메모리 뱅크가 위치하는 다이가 전기 테스트 프로세스 동안에 테스트 되지 않도록, 피드 포워드 기술을 사용하여 MRI에 기초하여 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 메모리 뱅크의 어레이 블록 영역 내에 위치한 결함의 1 이상의 속성, 메모리 뱅크의 어레이 블록 영영 내에 위치한 결함, 또는 이들의 일부 조합에 기초하여 수리 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다.In another embodiment, the method includes modifying one or more parameters of the electrical test process based on the MRI using feedforward control techniques. In a further embodiment, the method further comprises altering one or more parameters of the electrical test process based on the MRI using a feedforward technique so that, if the memory bank is unrepairable, the die on which the memory bank is located is not tested during the electrical test process . In a further embodiment, the method further comprises changing one or more parameters of the repair process based on one or more attributes of the defects located in the array block areas of the memory banks, defects located within the array blocks of the memory banks, .

일 실시예에서, 결함은 메모리 뱅크의 게이츠 층에서 검출된 결함을 포함한다. 다른 실시예에서, 결함은 메모리 뱅크의 금속 층에서 검출된 결함을 포함한다.In one embodiment, the defect includes defects detected in the gates layer of the memory bank. In another embodiment, the defect includes a defect detected in the metal layer of the memory bank.

일부 실시예에서, 상기 방법은 메모리 뱅크 내의 결함의 위치에 기초하여 결함의 비트 오류 모드를 예측하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 어레이 블록 영역 내에 위치한 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 메모리 뱅크의 수리를 위해 필요한 용장 열의 수를 결정하는 단계와, 용장 행의 수를 결정하는 단계는 1 이상의 결함에 대한 DCI를 사용하여 수행된다.In some embodiments, the method includes predicting a bit error mode of the defect based on the location of the defect in the memory bank. In another embodiment, the method includes determining a DCI for one or more defects located within the array block area. In one such embodiment, determining the number of redundancy columns required for repair of the memory bank and determining the number of redundancy rows is performed using the DCI for one or more defects.

일 실시예에서, 용장 열의 수를 비교하는 단계는 메모리 다이의 각 뱅크에 대해 개별적으로 수행되고, 용장 행의 수를 비교하는 단계는 메모리 다이의 각 뱅크에 대해 개별적으로 수행된다. 일부 실시예에서, 상기 방법은 메모리 뱅크의 용장 열 및 용장 행 내에 위치한 결함에 기초하여, 가용 용장 열의 양 및 가용 용장 행의 양을 결정하는 단계를 포함한다.In one embodiment, comparing the number of redundancy columns is performed separately for each bank of memory dies, and comparing the number of redundancy rows is performed separately for each bank of memory dies. In some embodiments, the method includes determining an amount of available redundant rows and an amount of available redundant rows based on defects located in the redundant rows and redundant rows of the memory banks.

일 실시예에서, 상기 방법은 다이 내에 형성된 1 이상의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 1 이상의 메모리 뱅크에 대한 MRI에 기초하여 다이에 대한 수리 수율을 예측하는 단계를 포함한다. 다른 실시예에서, 상기 방법은, MRI에 기초하여, 메모리 뱅크 내의 가용 용장 행의 양, 가용 용장 열의 양, 또는 이들의 일부 조합이 메모리 뱅크 설계자에 의해 평가되어야 하는지를 결정하는 단계를 포함한다.In one embodiment, the method includes determining an MRI for at least one memory bank formed in the die, and predicting the repair yield for the die based on the MRI for the at least one memory bank. In another embodiment, the method includes determining, based on the MRI, whether the amount of available redundancy rows in the memory bank, the amount of available redundancy columns, or some combination thereof is to be evaluated by a memory bank designer.

일부 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수리 수율을 결정하는 단계를 포함한다. 그러한 일부 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 1 이상의 메모리 수리 수율에 기초하여 웨이퍼 배치를 수행하는 단계를 포함한다.In some embodiments, the method includes determining an MRI for each memory bank in the at least one die on the wafer, and determining a memory repair yield for the at least one die based on the MRI for each memory bank . In some such embodiments, the method includes performing a wafer placement based on at least one memory repair yield for at least one die on the wafer.

일 실시예에서, 용장 열의 수를 비교하는 단계는 메모리 뱅크를 수리하는데 필요한 용장 열의 분율을 결정하는 단계를 포함하고, 용장 행의 수를 비교하는 단계는 메모리 뱅크를 수리하는데 필요한 용장 행의 분율을 결정하는 단계를 포함하며, 메모리 뱅크에 대한 MRI를 결정하는 단계는 용장 열의 분율 및 용장 행의 분율에 기초하여 MRI를 결정하는 단계를 포함한다. 그러한 일부 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각각의 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수리 수율을 결정하는 단계를 포함한다. 추가적인 그러한 실시예에서, 상기 방법은 1 이상의 다이 각각에 대한 메모리 수리 수율에 기초하여 웨이퍼에 대한 메모리 수리 수율을 결정하는 단계를 포함한다.In one embodiment, comparing the number of redundant rows includes determining a fraction of redundant rows required to repair a memory bank, wherein comparing the number of redundant rows includes comparing the fraction of redundant rows required to repair the memory bank Wherein determining the MRI for the memory bank comprises determining the MRI based on the fraction of redundant rows and the fraction of redundant rows. In some such embodiments, the method includes determining an MRI for each memory bank in at least one die on the wafer, and determining a memory repair yield for the one or more die based on the MRI for each memory bank . In a further such embodiment, the method includes determining a memory repair yield for the wafer based on a memory repair yield for each of the one or more dies.

일 실시예에서, MRI는 메모리 수리 뱅크가 수리될 수 없는 확률을 또한 지시한다. 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각 메모리 뱅크에 대한 MRI를 결정하는 단계와, 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI에 기초하여, 1 이상의 다이에 대한 MRI를 결정하는 단계를 포함하며, 1 이상의 다이에 대한 MRI는 1 이상의 다이가 수리될 수 없게 될 확률을 지시한다. 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 MRI의 임계에 기초하여 웨이퍼 기반 수율 예측을 결정하는 단계를 포함한다.In one embodiment, the MRI also indicates the probability that the memory repair bank can not be repaired. In one such embodiment, the method includes determining an MRI for each memory bank in the at least one die on the wafer, and determining an MRI for the at least one die based on the MRI for each memory bank in the at least one die Wherein the MRI for one or more dies indicates a probability that one or more die will not be able to be repaired. In one such embodiment, the method includes determining a wafer based yield prediction based on a threshold of MRI for at least one die on the wafer.

일 실시예에서, 상기 방법은, 메모리 뱅크의 디코더 영역 내에 위치한 결함의 수, 메모리 뱅크의 센스 앰프 영역 내에 위치한 결함의 수, 또는 이들의 일부 조합에 기초하여 메모리 뱅크 내의 수리 불가능한 결함의 수를 결정하는 단계를 포함한다.In one embodiment, the method determines a number of non-repairable defects in a memory bank based on a number of defects located in a decoder region of a memory bank, a number of defects located in a sense amplifier region of a memory bank, or some combination thereof. .

일부 실시예에서, 용장 열의 수 및 용장 행의 수를 결정하는 단계는, 메모리 뱅크의 어레이 블록 영역 내에 위치한 각각의 결함에 대한 DCI를 결정하는 단계와, 그 DCI를 소정의 임계치와 비교하는 단계와, 소정의 임계치 이상의 DCI를 갖는 모든 결함을 수리하는데 필요한 용장 열의 수 및 용장 행의 수를 결정하는 단계를 포함한다.In some embodiments, determining the number of redundancy rows and the number of redundancy rows includes determining a DCI for each defect located in an array block region of a memory bank, comparing the DCI to a predetermined threshold value , Determining the number of redundant rows and the number of redundant rows required to repair all defects having a DCI of a predetermined threshold or more.

일 실시예에서, 상기 방법은 메모리 뱅크의 어레이 블록 영역 내에 위치한 결함으로 인한 메모리 뱅크의 오류에 대한 MRI를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 메모리 뱅크의 용장 열 및 용장 행에 위치한 결함으로 인한 메모리 뱅크의 오류에 대한 MRI를 결정하는 단계를 포함한다. In one embodiment, the method includes determining an MRI for an error in a memory bank due to a defect located in an array block area of the memory bank. In another embodiment, the method includes determining an MRI for a memory bank error due to a defect located in the redundant column and redundant rows of the memory bank.

일부 실시예에서, 상기 방법은 메모리 뱅크 내에서 검출된 결함 사이의 공간적 상관관계를 나타내는 유사 메모리 뱅크 설계의 적층 맵을 생성하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 다이 기반으로 MRI를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 웨이퍼 상의 다이가 어레이 블록 영역에 위치한 결함으로 인하여 고장이 날지를 지시하는 인덱스를 결정하는 단계를 포함한다. In some embodiments, the method includes generating a stack map of a pseudo memory bank design that represents a spatial correlation between detected defects in a memory bank. In another embodiment, the method includes determining MRI on a die-based basis. In a further embodiment, the method includes determining an index that indicates that the die on the wafer has failed due to a defect located in the array block region.

일 실시예에서, 상기 방법은 웨이퍼 상의 다이 내의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 수리 불가능한 것으로 MRI에 의해 지시된 2 이상의 메모리 뱅크 사이의 공간적 상관관계를 나타내는 다이의 적층 맵을 생성하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 웨이퍼 상의 다이 내의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 수리 불가능한 것으로 MIR에 의해 지시된 2 이상의 메모리 뱅크 사이의 공간적 상관관계를 나타내는 웨이퍼 상의 메모리 뱅크를 형성하는데 사용된 레티클의 적층 맵을 생성하는 단계를 포함한다. In one embodiment, the method includes the steps of determining an MRI for a memory bank in a die on a wafer, generating a stack map of the die representing a spatial correlation between two or more memory banks indicated by the MRI as unrepairable . In another embodiment, the method includes determining an MRI for a memory bank in a die on a wafer and using the memory bank to form a memory bank on the wafer indicative of a spatial correlation between two or more memory banks indicated by the MIR as unrepairable And generating a stacked map of the reticles.

일부 실시예에서, 상기 방법은 다이 내에서 검출된 결함에 의해 영향을 받은 다이의 메모리 뱅크를 식별하는 단계와, 메모리 뱅크에 대한 결함의 영향에 기초하여 메모리 뱅크의 등급을 매기는 단계를 포함한다. 다른 실시예에서, 상기 방법은 메모리 뱅크의 수리 불가능한 영역 내의 결함에 의해 영향받은 웨이퍼 상에 형성된 메모리 뱅크의 퍼센티지를 결정하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 가능한 오류 사이의 공간적 상관관계를 나타내는 웨이퍼 상에 형성된 메모리 뱅크 내의 가능한 오류의 적층 웨이퍼 맵을 생성하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 웨이퍼 상에 형성된 1 이상의 다이에 대한 MRI를 결정하는 단계와, 그 MRI에 기초하여 1 이상의 다이의 등급을 매기는 단계를 포함한다.In some embodiments, the method includes identifying a memory bank of the die affected by the detected defect in the die, and rating the memory bank based on the effect of the defect on the memory bank . In another embodiment, the method includes determining a percentage of a memory bank formed on a wafer affected by a defect in a non-repairable area of the memory bank. In some embodiments, the method includes generating a stacked wafer map of possible errors in a memory bank formed on the wafer that exhibits spatial correlation between possible errors. In a further embodiment, the method includes determining an MRI for one or more dies formed on a wafer, and rating the one or more dies based on the MRI.

전술한 방법의 각 실시예는 여기에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다. 또한, 전술한 방법의 각 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the above-described method can include any of the steps of any of the methods described herein. Further, each embodiment of the above-described method can be performed by any of the systems described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함을 비닝하기 위한 다른 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 결함의 위치를 설계 데이터 내의 핫 스팟의 위치에 비교하는 단계를 포함한다. 적어도 유사한 설계 데이터에 가까이 위치한 핫 스팟은 서로 상호 연관지어진다. 상기 방법은 적어도 유사한 위치를 갖는 핫 스팟과 결함을 관련시키는 단계를 또한 포함한다. 또한, 상기 방법은 각 그룹 내의 결함이 서로 상호 연관지어진 핫 스팟과만 관련되도록 결함을 그룹으로 비닝하는 단계를 포함한다. 상기 방법은 그 비닝 단계의 결과를 저장 매체에 저장하는 단계를 더 포함한다.Another embodiment is directed to another computer-implemented method for binning detected defects on a wafer. The method includes comparing a location of a defect in a design data space to a location of a hot spot in the design data. At least hot spots located close to similar design data are correlated with each other. The method also includes associating a defect with a hot spot having at least a similar location. The method also includes grouping the defects into groups such that defects in each group are associated only with hot spots that are correlated with each other. The method further includes storing the result of the binning step on a storage medium.

일 실시예에서, 상기 방법은 체계적 결함과 연관된 설계 데이터 내의 POI의 위치를 식별하여 핫 스팟을 상호 연관짓는 단계와, 설계 데이터 내의 유사한 패턴을 갖는 POI를 상호 연관짓는 단계와, 상호 연관지어진 핫 스팟의 위치로서 설계 데이터 내의 유사한 패턴의 위치와 POI의 위치를 상호 연관짓는 단계를 포함한다.In one embodiment, the method comprises identifying locations of POIs in the design data associated with systematic defects to correlate hot spots, correlating POIs with similar patterns in the design data, correlating hot spots And correlating the location of the POI with the location of a similar pattern in the design data.

일부 실시예에서, 상기 방법은 상기 방법은 DBC를 1 이상의 그룹에 할당하는 단계를 포함한다. 다른 실시예에서, 컴퓨터-구현 방법은 웨이퍼 상의 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 추가의 실시예에서, 상기 방법은 설계 데이터가 인쇄되는 1 이상의 웨이퍼의 검사 결과를 사용하여 핫 스팟을 모니터링하는 단계를 포함한다.In some embodiments, the method includes assigning a DBC to one or more groups. In another embodiment, a computer-implemented method is performed by an inspection system used to detect defects on a wafer. In a further embodiment, the method includes monitoring the hot spot using the inspection results of the one or more wafers on which the design data is printed.

일 실시예에서, 상기 방법은 핫 스팟 사이의 상관관계에 기초하여 웨이퍼를 검사하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 체계적 결함, 잠재적인 체계적 결함, 또는 이들의 일부 조합을 시간에 걸쳐 모니터링하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 결함의 리뷰를 수행하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 결함을 선택하기 위한 프로세스를 생성하는 단계를 포함한다.In one embodiment, the method includes inspecting a wafer based on a correlation between hot spots. In another embodiment, the method includes monitoring over time systematic defects, potential systematic defects, or some combination thereof based on the results of the binning step. In a further embodiment, the method includes performing a review of the defect based on the result of the binning step. In a further embodiment, the method includes generating a process for selecting a defect for review based on a result of the binning step.

일 실시예에서, 상기 방법은 비닝 단계의 결과와, 시간에 걸친 체계적 결함 및 잠재적인 체계적 결함의 출현의 모니터링 결과에 기초하여, 설계 데이터 내의 체계적 결함 및 잠재적인 체계적 결함을 식별하는 단계를 포함한다. 다른 실시예에서, 비닝 단계의 결과에 기초하여, 설계 데이터가 그 위에 인쇄된 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계를 포함한다. 추가적인 실시예에서, 비닝 단계의 결과에 기초하여 설계 데이터가 그 위에 인쇄된 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계를 포함한다.In one embodiment, the method includes identifying systematic defects and potential systematic defects in the design data based on the results of the binning step, and monitoring results of systematic defects over time and the appearance of potential systemic defects . In another embodiment, the method includes generating a process for inspecting a wafer on which design data is printed based on a result of the binning step. In a further embodiment, the design data includes modifying the process for inspecting the wafer printed thereon based on the results of the binning step.

일부 실시예에서, 상기 방법은 1 이상의 그룹의 결함에 의해 영향을 받은 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 적어도 하나의 그룹으로 비닝된 결함이 위치하는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 적어도 하나의 그룹에 우선순위를 할당하는 단계를 포함한다.In some embodiments, the method includes determining a percentage of a die formed on a wafer affected by a defect of at least one group. In another embodiment, the method includes determining a DCI for one or more defects. In a further embodiment, the method includes determining a percentage of a die formed on a wafer on which a defect binned into at least one group is located, and assigning a priority to at least one group based on the percentage do.

일 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함과 관련된 핫 스팟과 상호 연관지어진 전체 핫 스팟의 수와, 1 이상의 그룹 내의 결함의 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함이 적어도 한번 검출되는 웨이퍼 상에 설계 데이터를 인쇄하는데 사용된 레티클 상의 대응하는 핫 스팟 위치의 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다.In one embodiment, the method includes prioritizing one or more groups by the number of total hot spots correlated with the hot spots associated with the defects in the at least one group and the number of defects within the at least one group. In another embodiment, the method includes prioritizing one or more groups by the number of corresponding hot spot locations on the reticle used to print the design data on the wafer at least once the defects in the at least one group are detected do.

일부 실시예에서, 상기 방법은 1 이상의 그룹으로 비닝된 결함이 검출된 레티클 상의 위치의 수와, 1 이상의 그룹 내의 결함과 관련된 핫 스팟과 상호 연관지어진 레티클 상의 핫 스팟 위치의 전체 수에 기초하여, 1 이상의 그룹에 대한 레티클-기반 마진을 결정하는 단계를 포함한다.In some embodiments, the method further comprises determining, based on the number of positions on the reticle where a defect binned in one or more groups is detected, and the total number of hot spot locations on the reticle correlated to a hot spot associated with a defect in the one or more groups, And determining a reticle-based margin for the one or more groups.

전술한 방법의 각 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법의 각 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the above-described method may include any other step of any of the methods described herein. Further, each embodiment of the above-described method can be performed by any of the systems described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함을 비닝하기 위한 다른 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성을 비교하는 단계를 포함한다. 상기 방법은 그 비교 결과에 기초하여, 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 적어도 유사한지를 판정하는 단계를 또한 포함한다. 또한, 상기 방법은, 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 상기 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 더 포함한다.Another embodiment is directed to another computer-implemented method for binning detected defects on a wafer. The method includes comparing one or more attributes of design data that are close to the location of a defect in the design data space. The method also includes determining whether at least one attribute of the design data near the location of the defect is at least similar, based on the comparison result. The method also includes grouping the defects into groups such that at least one attribute of the design data near the location of the defects in each group is at least similar. The method further comprises storing the result of the binning step on a storage medium.

일 실시예에서, 1 이상의 속성은 패턴 밀도를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 결함이 랜덤 또는 체계적 결함인지를 판정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 1 이상의 그룹의 등급을 매기는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹 내의 결함의 등급을 매기는 단계를 포함한다. 일부 실시예에서, 1 이상의 속성은 특징 스페이스 내의 1 이상의 속성을 포함한다.In one embodiment, the one or more attributes comprise a pattern density. In another embodiment, the method includes using one or more attributes to determine whether the defect is a random or systematic defect. In a further embodiment, the method includes rating one or more groups using one or more attributes. In a further embodiment, the method includes rating the defects in at least one group using one or more attributes. In some embodiments, the one or more attributes include one or more attributes within the feature space.

일 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹을 서브-그룹으로 비닝하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹 내의 결함을 분석하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 1 이상의 결함의 수율 관련성을 결정하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 전체 수율 관련성을 결정하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 DCI를 1 이상의 결함에 할당하는 단계를 포함한다.In one embodiment, the method includes binning at least one group into a sub-group using one or more attributes. In another embodiment, the method includes analyzing a defect in at least one group using one or more attributes. In a further embodiment, the method includes determining the yield relevance of one or more defects using one or more attributes. In a further embodiment, the method includes determining overall yield relevance using one or more attributes. In yet another embodiment, the method includes assigning a DCI to one or more defects using one or more attributes.

일부 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터를 결함 주위 영역 내의 설계 데이터와, 결함이 위치한 영역 내의 설계 데이터로 분리하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 룰 및 1 이상의 속성을 사용하여 비닝 또는 필터링하기 위한 설계 데이터 내의 구조를 식별하는 단계를 포함한다.In some embodiments, the method includes separating the design data near the location of the defect into design data in the defect surrounding area and design data in the area where the defect is located. In another embodiment, the method includes identifying a structure in design data for binning or filtering using rules and one or more attributes.

일 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과와, 체계적 결함으로 식별된 결함에 기초하여, 리뷰, 측정, 테스트 또는 이들의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과, 체계적 결함으로 식별된 결함, 및 결함의 수율 관련성에 기초하여, 리뷰, 측정, 테스트 또는 이들의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과, 체계적 결함으로 식별된 결함, 및 프로세스 창 매핑에 기초하여, 리뷰, 측정, 테스트 또는 이들의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함한다.In one embodiment, the method includes determining a location on the wafer on which the review, measurement, test, or some combination thereof will be performed based on the inspection results produced during the detection of the defect and the defect identified as the systematic defect do. In another embodiment, the method further comprises determining a location on the wafer on which the review, measurement, test, or some combination thereof will be performed based on the inspection results produced during the detection of the defects, the defects identified as systematic defects, . In a further embodiment, the method determines the location on the wafer on which the review, measurement, test, or some combination thereof will be performed based on the inspection results produced during the detection of the defect, the defect identified as a systematic defect, and the process window mapping .

일 실시예에서, 상기 방법은 비닝 단계 및 유저-보조 리뷰의 결과를 사용하여 체계적 탐색을 수행하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 비교 단계 이전에, 비닝 단계의 결과에서의 S/N을 향상시키기 위해, 결함이 위치하는 기능 블록에 기초하여 결함을 분리하는 단계를 포함한다.In one embodiment, the method includes performing a systematic search using the results of the binning step and the user-assisted review. In another embodiment, the method includes separating defects based on the functional block in which the defect is located, prior to the comparing step, to improve the S / N in the result of the binning step.

일부 실시예에서, 설계 데이터는 설계에 의해 계층 셀로 조직화되고, 상기 방법은, 비교 단계 이전에, 비닝 단계의 결과에서의 S/N을 향상시키기 위해, 결함이 위치되는 계층 셀에 기초하여 결함을 분리하는 단계를 포함한다. 다른 실시예에서, 설계 데이터는 설계에 의해 계층 셀로 조직화되고, 결함이 1 이상의 계층 셀 내에 위치될 수 있는 경우, 상기 방법은 계층 셀의 영역, 결함 위치 확률, 또는 이들의 일부 조합에 기초하여 결함이 각각의 계층 셀 내에 위치하는 확률에 기초하여, 결함을 각각의 계층 셀에 상호 연관짓는 단계를 포함한다.In some embodiments, design data is organized into hierarchical cells by design, and the method further comprises, prior to the comparing step, determining a defect based on the hierarchical cell in which the defect is located, in order to improve the S / N in the result of the binning step . In another embodiment, if the design data is organized into hierarchical cells by design and the defects can be located in one or more hierarchical cells, the method may be based on the area of the hierarchical cell, the defect location probability, And correlating the defect to each layer cell based on a probability of being located in each layer cell.

일 실시예에서, 결함은 검사 프로세스에 의해 검출되며, 그 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 결함이 1 이상의 POI의 위치에서 검출되었어야 하는지를 리뷰 단계의 결과에 기초하여 결정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다.In one embodiment, the defect is detected by an inspection process, the method comprising the steps of: reviewing the location on the wafer where at least one POI in the design data is printed; and determining whether the defect should have been detected at the location of the POI Determining a result based on the result, and modifying the inspection process to improve one or more defect capture rates.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전수한 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행할 수 있다.Each embodiment of the above-described method may comprise any other step of any of the methods described herein. Further, each of the embodiments can be performed by any of the systems described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함에 범주를 할당하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 상이한 DBC(예컨대, 상이한 DBC 빈(bin) 규정)에 대응하는 설계 데이터(예컨대, POI 설계 예)에 비교하는 단계를 포함한다. 상이한 DBC에 대응하는 설계 데이터와 그 상이한 DBC는 데이터 구조에 저장된다. 상기 방법은 비교 단계의 결과에 기초하여, 상기 부분 내의 설계 데이터가 상이한 DBC에 대응하는 설계 데이터와 적어도 유사한지를 판정하는 단계를 또한 포함한다. 또한, 상기 부분 내의 설계 데이터에 적어도 유사한 설계 데이터에 대응하는 DBC를 결함에 할당하는 단계를 포함한다. 상기 방법은 그 할당 단계의 결과를 저장 매체에 저장하는 단계를 또한 포함한다.Another embodiment relates to a computer-implemented method for assigning categories to defects detected on a wafer. The method includes comparing a portion of the design data near the location of the defect in the design data space to design data (e.g., a POI design example) corresponding to a different DBC (e.g., different DBC bin specifications). The design data corresponding to the different DBCs and the different DBCs are stored in the data structure. The method also includes determining whether design data in the portion is at least similar to design data corresponding to a different DBC, based on a result of the comparison step. The method also includes assigning a DBC corresponding to at least similar design data to the defects in the design data in the portion. The method also includes storing the result of the allocating step in a storage medium.

일 실시예에서, 컴퓨터-구현 방법은 결함을 검사하기 위해 사용된 검사 시스템에 의해 수행된다. 다른 실시예에서, 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템 이외의 시스템에 의해 수행된다.In one embodiment, the computer-implemented method is performed by an inspection system used to check for defects. In another embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect defects.

일 실시예에서, 상기 방법은 그 할당 단계의 결과에 기초하여 설계 데이터 내의 핫 스팟을 모니터링하는 단계를 포함한다. 다른 실시예에서, 상이한 DBC에 대응하는 설계 데이터는, 설계 데이터 스페이스 내의 1 이상의 다른 웨이퍼 상에서 검출된 결함의 위치에 가까운 설계 데이터의 부분에 기초하여, 1 이상의 다른 웨이퍼 상에서 검출된 결함을 그룹화하여 식별된다.In one embodiment, the method includes monitoring a hot spot in the design data based on a result of the allocating step. In another embodiment, design data corresponding to different DBCs are grouped by identifying defects detected on one or more other wafers based on portions of the design data that are close to the locations of defects detected on one or more other wafers in the design data space do.

일부 실시예에서, 결함은 검사 프로세스에서 검출되며, 상기 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 그 리뷰 결과에 기초하여, 결함이 1 이상의 POI의 위치에서 검출되었어야 하는지를 결정하는 단계와, 결함 포착률을 향상하기 위해 검사 프로세스를 변경하는 단계를 포함한다.In some embodiments, a defect is detected in an inspection process, the method comprising the steps of: reviewing a location on the wafer on which one or more POIs in the design data are printed; determining, based on the review result, , And modifying the inspection process to improve the defect coverage rate.

일 실시예에서, 상기 방법은 결함에 할당된 DBC에 기초하여 결함이 뉴슨스 결함인지를 결정하는 단계와, 검사 프로세스의 결과의 S/N을 증가시키기 위해서 결함이 검출된 검사 프로세스의 결과로부터 뉴슨스 결함을 제거하는 단계를 포함한다.In one embodiment, the method further comprises determining whether the defect is a Newson defect based on the DBC assigned to the defect, determining whether the defect is a Newson defect from the result of the inspection process in which the defect is detected to increase the S / And removing the defect.

다른 실시예에서, 상기 방법은 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함에 할당된 DBC가 리뷰 시스템에 가시적인 체계적 결함에 대응하는지를 판정하는 단계와, 리뷰 시스템에 가시적인 결함만을 리뷰를 위해 선택하여 리뷰를 위한 결함을 샘플링하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 패턴 의존적 결함을 지시하는 설계 데이터 내의 1 이상의 특징을 식별하여 설계 데이터 내의 1 이상의 POI를 결정하는 단계를 포함한다.In another embodiment, the method includes determining a KP value for one or more defects. In a further embodiment, the method further comprises the steps of determining whether the DBC assigned to the defect corresponds to a systematic defect visible to the review system, and selecting only the defect visible to the review system for review and sampling the defect for review . In a further embodiment, the method includes determining one or more POIs in the design data by identifying one or more features in the design data indicating a pattern-dependent defect.

일 실시예에서, DBC는 결함이 위치하거나 결함이 근처에 위치하는 설계 데이터 내의 1 이상의 다각형을 식별한다. 다른 실시예에서, DBC는 설계 데이터 내의 1 이상의 다각형의 위치를 식별한다. 추가적인 실시예에서, 데이터 구조는 기술, 프로세스, 또는 이들의 일부 조합에 의해 조직화된 설계 데이터의 예(예컨대, DBC 빈 규정에 대한 POI 설계 예)를 함유하는 라이브러리를 포함한다.In one embodiment, the DBC identifies one or more polygons in the design data where the defect is located or where the defect is located. In another embodiment, the DBC identifies the location of one or more polygons in the design data. In a further embodiment, the data structure includes a library containing examples of design data organized by techniques, processes, or some combination thereof (e.g. POI design examples for DBC bin specifications).

일부 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터를 결함 주위 영역 내의 설계 데이터와 결함이 위치한 영역 내의 설계 데이터로 분리하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 상기 할당 단계의 결과를 사용하여 체계적 결함, 잠재적인 체계적 결함, 또는 이들의 일부 조합을 시간에 걸쳐 모니터링하는 단계를 포함한다. 추가적인 실시예에서, DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 DBC에 대한 KP 값을 결정하는 단계를 포함한다. KP 값은 DBC에 대응하는 전기 테스트 데이터 및 설계 데이터의 1 이상의 속성에 기초하여 결정할 수 있다. 추가의 실시예에서, 상기 방법은 1 이상의 결함에 할당된 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 1 이상의 DBC에 대한 KP 값을 모니터링하는 단계와, 결함에 할당된 DBC에 대한 KP 값을 결함에 할당하는 단계를 포함한다.In some embodiments, the method includes separating design data near the location of the defect into design data within the defect perimeter area and design data within the area where the defect is located. In another embodiment, the method includes monitoring over time systematic defects, potential systematic defects, or some combination thereof using the results of the allocating step. In a further embodiment, determining a KP value for one or more DBCs based on one or more attributes of the design data corresponding to the DBC. The KP value may be determined based on one or more attributes of the electrical test data and design data corresponding to the DBC. In a further embodiment, the method includes determining a KP value for one or more defects based on at least one attribute of the design data corresponding to the DBC assigned to the one or more defects. In another embodiment, the method includes monitoring a KP value for one or more DBCs and assigning a KP value for a DBC assigned to the defect to a defect.

일 실시예에서, 상기 부분의 적어도 일부의 치수는 상이하다. 다른 실시예에서, 그 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 다른 실시예에서, 상기 방법은 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득한 데이터와, 소정의 정렬 사이트에 대한 데이터를 비교함으로써, 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함의 검출 동안에 검사 시스템에 의해 취득한 데이터를 리뷰를 위해 결정된 설계 데이터 내의 위치에 비교함으로써 설계 데이터 내의 결함의 위치를 결정하는 단계를 포함한다.In one embodiment, the dimensions of at least some of the portions are different. In another embodiment, the design data in that portion includes design data for one or more design layers. In another embodiment, the method includes determining a location of a defect in a design data space by comparing data obtained by the inspection system with respect to an alignment site on the wafer and data for a predetermined alignment site. In a further embodiment, the method includes determining the location of a defect in the design data by comparing the data acquired by the inspection system during the detection of the defect to a location in the design data determined for review.

일 실시예에서, 상기 할당 단계는 상기 부분 내의 설계 데이터에 적어도 유사하며, 상기 부분 내의 설계 데이터의 1 이상의 속성에 적어도 유사한 1 이상의 속성을 갖는 설계 데이터에 대응하는 DBC를 결함에 할당하는 단계를 포함한다. 그러한 일 실시예에서, 1 이상의 속성은 결함이 검출된 검사의 1 이상의 속성, 검사의 1 이상의 매개변수, 또는 이들의 일부 조합을 포함한다.In one embodiment, the step of allocating includes at least a step of assigning a DBC corresponding to the design data having at least one property that is at least similar to one or more attributes of the design data in the portion to a defect, do. In one such embodiment, the one or more attributes include one or more attributes of the defect in which the defect was detected, one or more parameters of the check, or some combination thereof.

일 실시예에서, 결함의 위치에 가까운 설계 데이터는 결함이 위치하는 설계 데이터를 포함한다. 다른 실시예에서, 결함의 위치에 가까운 설계 데이터는 결함의 위치 주변의 설계 데이터를 포함한다. 추가적인 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터의 부분 내의 다각형에 대한 각 그룹 내의 결함의 위치가 적어도 유사하도록, 1 이상의 DBC가 할당된 결함을 그룹으로 비닝하는 단계를 포함한다.In one embodiment, the design data near the location of the defect includes design data where the defect is located. In another embodiment, the design data near the location of the defect includes design data around the location of the defect. In a further embodiment, the method includes binning the defects assigned to one or more DBCs into a group such that the locations of defects in each group for the polygons in the portion of the design data near the location of the defect are at least similar.

일 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 리뷰를 위한 결함의 적어도 일부를 선택하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 리뷰를 위한 결함을 샘플링하기 위한 프로세스를 생성하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 검사 결과에 기초하여 검사 동안 검사를 위한 프로세스를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 변경하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 위한 샘플링 플랜을 변경하는 단계를 포함한다. 또한, 상기 방법은 측정, 테스트, 리뷰, 또는 이들의 일부 조합이 구동시 수행될 웨이퍼 상의 위치를 할당 단계의 결과에 기초하여 결정하는 단계를 포함할 수 있다.In one embodiment, the method includes selecting at least a portion of a defect for review based on a result of the assigning step. In another embodiment, the method includes generating a process for sampling a defect for review based on a result of the assigning step. In a further embodiment, the method includes modifying a process for inspecting a wafer based on a result of the assigning step. In some embodiments, the method includes modifying a process for inspection during an inspection based on inspection results. In a further embodiment, the method includes modifying the metrology process for the wafer based on the result of the assigning step. In yet another embodiment, the method includes modifying a sampling plan for a metrology process for a wafer based on a result of the assigning step. The method may also include determining a location on the wafer where measurements, tests, reviews, or some combination thereof are to be performed upon actuation based on the results of the assigning step.

다른 실시예에서, 상기 방법은 1 이상의 DBC를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여, 설계 데이터가 인쇄될 웨이퍼에 대해 수행될 1 이상의 프로세스를 최적화하는 단계를 포함한다.In another embodiment, the method includes optimizing one or more processes to be performed on a wafer to which design data is to be printed, prioritizing one or more DBCs and based on the results of the prioritizing step .

일 실시예에서, 상기 방법은 결함에 할당된 DBC에 기초하여 결함의 근본 원인을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함의 적어도 일부를 실험적 프로세스 창 결과에 매핑함으로써 결함의 적어도 일부의 근본 원인을 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함의 적어도 일부를 시뮬레이션된 실험적 프로세스 창 결과에 매핑함으로써 결함의 적어도 일부의 근본 원인을 결정하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 1 이상의 DBC에 대응하는 근본 원인을 결정하는 단계와, 결함에 할당된 DBC에 대응하는 근본 원인에 기초하여 결함에 근본 원인을 할당하는 단계를 포함한다.In one embodiment, the method includes determining a root cause of the defect based on the DBC assigned to the defect. In another embodiment, the method includes determining a root cause of at least a portion of a defect by mapping at least a portion of the defect to an experimental process window result. In a further embodiment, the method includes determining a root cause of at least a portion of a defect by mapping at least a portion of the defect to a simulated experimental process window result. In a further embodiment, the method includes determining a root cause corresponding to one or more DBCs and assigning a root cause to the defect based on the root cause corresponding to the DBC assigned to the defect.

일 실시예에서, 상기 방법은 1 이상의 DBC가 할당되는 결함에 의해 영향을 받는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 웨이퍼 상의 위치의 수에 대한 적어도 하나의 DBC가 할당된 결함의 수의 비율을 결정하는 단계를 포함한다.In one embodiment, the method includes determining a percentage of die formed on a wafer affected by a defect to which more than one DBC is assigned. In another embodiment, the method includes determining a POI in design data corresponding to at least one DBC and determining a ratio of the number of defects allocated by at least one DBC to the number of locations on the wafer do.

일부 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 설계 데이터 내의 1 이상의 POI의 위치의 수에 대한 DBC가 할당된 적어도 하나의 결함의 수의 비율을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 적어도 하나의 DBC가 할당된 결함이 위치되는 웨이퍼 상에서 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 POI에 우선순위를 할당하는 단계를 포함한다.In some embodiments, the method includes determining at least one POI in the design data corresponding to at least one DBC, determining a number of POIs in the design data corresponding to at least one POI in the design data, And determining a ratio. In another embodiment, the method includes determining a POI in design data corresponding to at least one DBC, determining a percentage of a die formed on the wafer on which the at least one DBC is assigned the defect, And assigning a priority to the POI based on the POI.

일 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 검출된 웨이퍼 상의(예컨대, 웨이퍼의 검사 영역 상의) 전체 설계 인스턴스(예컨대, DBC 빈 규정으로부터의 POI 설계 예의)의 수에 의해 1 이상의 DBC를 우선순위화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 적어도 한번 검출된 웨이퍼 상에 설계 데이터를 인쇄하는데 사용된 레티클 상의(예컨대, 레티클의 검사 영역 상의) 설계 인스턴스의 수에 의해 1 이상의 DBC를 우선순위화하는 단계를 포함한다.In one embodiment, the method may include determining one or more DBCs by a number of overall design instances (e.g., POI design examples from a DBC bean specification) on a wafer (e.g., on an inspection area of a wafer) And prioritizing the DBC. In another embodiment, the method further comprises determining the number of design instances on the reticle (e.g., on the reticle's inspection area) used to print the design data on the wafer at least once the defect to which more than one DBC has been assigned, And prioritizing the data.

다른 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 검출된 레티클 상의(예컨대, 레티클의 검사 영역 상의) 위치의 수와, 1 이상의 DBC가 할당된 결함의 위치에 가까운 설계 데이터의 부분에 유사한 레티클 상에 인쇄된 설계 데이터(예컨대, DBC 빈 규정으로부터의 POI 설계 예)의 부분의 전체 수에 기초하여, 1 이상의 DBC에 대한 레티클-기반 마진을 결정하는 단계를 포함한다.In another embodiment, the method further comprises the step of determining whether a defect assigned to one or more DBCs is present in a portion of the design data that is close to the number of positions on the reticle (e.g., on the inspection region of the reticle) Based margin for one or more DBCs based on the total number of portions of design data printed on a similar reticle (e.g., a POI design example from a DBC bean specification).

일부 실시예에서, 상기 방법은 상기 비교 단계 이전에, 여기에 기술하는 바와 같이 수행될 수 있는, 결함의 위치에 가까운 설계 데이터의 부분을 제 1 비트맵으로 변환하는 단계와, 상기 비교 단계 이전에, 여기에 기술하는 바와 같이 수행할 수 있는, DBC에 대응하는 설계 데이터를 제 2 비트맵으로 변환하는 단계를 포함한다. 그러한 일 실시예에서, 상기 비교 단계는 제 1 비트맵과 제 2 비트맵을 비교하는 단계를 포함한다.In some embodiments, the method further comprises the steps of: prior to the comparing step, converting a portion of the design data near the location of the defect into a first bitmap, which may be performed as described herein, , And converting the design data corresponding to the DBC into a second bitmap, which can be performed as described herein. In one such embodiment, the comparing comprises comparing the first bitmap and the second bitmap.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템에 의해서 수행할 수 있다.Each embodiment of the above-described method may comprise any other step of any of the methods described herein. Further, each embodiment of the above-described method may be performed by any system described herein.

추가의 실시예는 웨이퍼에 대한 검사 프로세스를 변경하는 방법에 관한 것이다. 상기 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계를 포함한다. 상기 방법은 1 이상의 POI의 위치에서 결함이 검출되었어야 하는지를 리뷰 단계의 결과에 기초하여 판정하는 단계를 또한 포함한다. 또한, 상기 방법은 1 이상의 POI의 적어도 일부에 위치한 결함에 대한 1 이상의 결함 포착률을 향상하기 위해 검사 프로세스를 변경하는 단계를 포함한다.A further embodiment is directed to a method of altering an inspection process for a wafer. The method includes reviewing a location on the wafer on which one or more POIs in the design data are printed. The method also includes determining whether a defect should have been detected at the location of the one or more POIs based on the result of the review step. The method also includes modifying the inspection process to improve one or more defect capture rates for defects located in at least a portion of the one or more POIs.

일 실시예에서, 상기 변경 단계는 검사 프로세스를 수행하는데 사용된 검사 시스템의 광학 모드를 변경하는 단계를 포함한다. 다른 실시예에서, 상기 변경 단계는 판정 단계의 결과에 기초하여 검사 프로세스를 수행하기 위해 사용된 검사 시스템의 광학 모드를 변경하는 단계를 포함한다. 추가적인 실시예에서, 변경 단계는 검사 프로세스의 결과에서의 노이즈를 억제하기 위해 검사 프로세스를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 변경 단계는 관심없는 결함의 검출을 줄이기 위해 검사 프로세스를 변경하는 단계를 포함한다. 또 다른 실시예에서, 변경 단계는 검사 프로세스에 사용된 알고리즘을 변경하는 단계를 포함한다. 또 다른 실시예에서, 변경 단계는 검사 프로세스에 사용된 알고리즘의 1 이상의 매개변수를 변경하는 단계를 포함한다.In one embodiment, the modifying includes modifying the optical mode of the inspection system used to perform the inspection process. In another embodiment, the modifying includes modifying the optical mode of the inspection system used to perform the inspection process based on the result of the determining step. In a further embodiment, the modifying step includes modifying the inspection process to suppress noise in the result of the inspection process. In a further embodiment, the modifying step includes modifying the inspection process to reduce the detection of uninteresting defects. In another embodiment, the altering step includes altering the algorithm used in the inspecting process. In yet another embodiment, the altering step includes altering one or more parameters of the algorithm used in the inspection process.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다. 또한, 전술한 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the above-described method may include any of the steps of any of the methods described herein. Further, each of the above-described embodiments can be performed by any of the systems described herein.

추가적인 실시예는 설계 및 결함 데이터를 표시하고 분석하도록 구성된 시스템에 관한 것이다. 시스템은 반도체 장치에 대한 설계 레이아웃, 반도체 장치의 적어도 일부가 형성된 웨이퍼에 대해 취득된 인라인 검사 데이터, 웨이퍼에 대해 취득된 전기 테스트 데이터를 표시하도록 구성되는 유저 인터페이스를 포함한다. 유저 인터페이스는 반도체 장치에 대한 모델링된 데이터 및/또는 웨이퍼에 대한 오류 분석 데이터를 표시하도록 구성될 수 있다. 시스템은 유저 인터페이스를 통하여 유저로부터 분석을 수행하라는 지령을 수신시, 1 이상의 설계 레이아웃, 인라인 검사 데이터, 및 전기 테스트 데이터를 분석하도록 구성된 프로세서를 또한 포함한다. 프로세서는 전술한 바와 같이 모델링된 데이터 및/또는 오류 분석 데이터를 분석하도록 구성될 수 있다.A further embodiment relates to a system configured to display and analyze design and defect data. The system includes a design layout for a semiconductor device, in-line inspection data obtained for a wafer on which at least a portion of the semiconductor device is formed, and a user interface configured to display electrical test data acquired for the wafer. The user interface can be configured to display modeled data for the semiconductor device and / or error analysis data for the wafer. The system also includes a processor configured to analyze one or more design layouts, inline inspection data, and electrical test data upon receiving an instruction from the user to perform an analysis via the user interface. The processor may be configured to analyze modeled data and / or error analysis data as described above.

일 실시예에서, 전기 테스트 데이터는 로직 비트맵 데이터를 포함한다. 다른 실시예에서, 유저 인터페이스는, 가능하게는 여기에 기술한 임의의 다른 데이터와 조합하여, 설계 레이아웃, 인라인 검사 데이터 및 전기 테스트 데이터의 적어도 2가지의 오버레이를 표시하도록 구성된다. 그러한 일 실시예에서, 전기 테스트 데이터는 로직 비트맵 데이터를 포함한다. 일부 실시예에서, 프로세서는 유저 인터페이스를 통하여 유저로부터 결함 밀도 결정 단계를 수행하라는 지령을 수신 시, 설계 데이터 스페이스 내의 결함 밀도를 결정하도록 구성된다. 추가의 실시예에서, 유저 인터페이스를 통하여 유저로부터 결함 샘플링 단계를 수행하라는 지령을 수신시 리뷰를 위한 결함 샘플링을 수행하도록 구성된다. 추가의 실시예에서, 유저 인터페이스를 통하여 유저로부터 그룹화 단계를 수행하라는 지령을 수신시, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 레이아웃의 유사도에 기초하여, 결함을 그룹화하도록 구성된다. 여기에 기술한 시스템의 각각의 실시예는 여기에 기술하는 바와 같이 추가로 구성될 수 있다.In one embodiment, the electrical test data includes logic bitmap data. In another embodiment, the user interface is configured to display at least two overlays of design layout, inline check data, and electrical test data, possibly in combination with any other data described herein. In one such embodiment, the electrical test data includes logic bitmap data. In some embodiments, the processor is configured to determine a defect density in the design data space upon receiving an instruction from the user to perform a defect density determination step through a user interface. In a further embodiment, upon receiving a command to perform a defect sampling step from the user via the user interface, the defect sampling is performed for review. In a further embodiment, upon receiving an instruction from the user to perform the grouping step through the user interface, the defect grouping is configured to group defects based on the similarity of the design layout near the location of the defects in the design data space. Each embodiment of the system described herein may be further configured as described herein.

추가의 실시예는 웨이퍼 상에서 검출된 전기적 결함의 근본 원인을 결정하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 전기적 결함의 위치를 결정하는 단계를 포함한다. 상기 방법은 전기적 결함의 부분의 위치가 1 이상의 프로세스 조건에 대응하는 공간적 시그네처(spatial signature)를 규정하는지를 판정하는 단계를 또한 포함한다. 전기적 결함의 부분의 위치가 1 이상의 프로세스 조건에 대응하는 공간적 시그네처를 규정하는 경우, 상기 방법은 전기적 결함의 부분의 근본 원인을 1 이상의 프로세스 조건으로서 식별하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 전기 테스트 결과에 대한 공간적 시그네처 분석을 수행하는 단계를 포함한다. 상기 방법은 식별 단계의 결과를 저장 매체에 저장하는 단계를 포함한다. 전술한 방법의 실시예는 여기에 기술한 임의의 다른 단계를 포함할 수 있다. 전술한 방법의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다. A further embodiment relates to a computer-implemented method for determining the root cause of an electrical defect detected on a wafer. The method includes determining the location of an electrical defect in the design data space. The method also includes determining whether the location of the portion of the electrical defect defines a spatial signature corresponding to one or more process conditions. Where the location of the portion of the electrical defect defines a spatial signature corresponding to the one or more process conditions, the method includes identifying the root cause of the portion of the electrical defect as one or more process conditions. In this manner, the method includes performing a spatial signature analysis on the electrical test results. The method includes storing the result of the identifying step on a storage medium. Embodiments of the above-described method may include any of the other steps described herein. Embodiments of the above-described methods may be performed by any of the system embodiments described herein.

또 다른 실시예는 웨이퍼 상에서 검출된 결함을 리뷰를 위해 선택하는 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 웨이퍼의 1 이상의 영역을 식별하는 단계를 포함한다. 1 이상의 영역은 웨이퍼 상의 1 이상의 결함 유형(예컨대, 가능하게는 체계적 결함)의 위치와 관련된다. 상기 방법은 리뷰를 위해 1 이상의 영역에서만 검출된 결함을 선택하는 단계를 포함한다. 또한, 상기 방법은 선택 단계의 결과를 저장 매체에 저장하는 단계를 포함한다. 이러한 방법 실시예는 여기에 기술한 임의의 다른 단계를 포함할 수 있다. 이러한 방법 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행될 수 있다.Yet another embodiment relates to a computer-implemented method for selecting defects detected on a wafer for review. The method includes identifying one or more regions of the wafer. One or more regions are associated with the location of one or more types of defects (e.g., possibly systematic defects) on the wafer. The method includes selecting defects detected in at least one region for review. The method also includes storing the result of the selection step on a storage medium. Such method embodiments may include any of the other steps described herein. This method embodiment may be performed by any of the system embodiments described herein.

전술한 바와 같은 방법이 사용될 수 있는 복수의 리뷰 이용 케이스가 있다. 예컨대, 전술한 방법은 잠재적인 체계적 결함의 리스트로부터의 체계적 결함 입증을 위해 사용될 수 있고, 이는 탐색 단계 또는 모니터링 단계의 유지 동안에 수행될 수 있다. 또한, 전술한 방법은 알려진 핫 스팟(탐색 단계 또는 레시피 셋업 동안에 수행될 수 있는, 임의의 패턴 검색에 의해 식별될 수 있는)에 유사한 로컬 패턴(즉, 로컬 설계 데이터)를 갖는 알려진 핫 스팟 또는 위치를 리뷰함으로써 체계적 결함 포착을 위해 사용할 수 있다. 상기 방법은 핫 스팟에서 또는 그 근처에서 검출된 결함의 입증 또는 분류를 위해 사용될 수 있으며, 이는 모니터링 동안에 수행될 수 있다.There are a plurality of review use cases in which the method as described above can be used. For example, the above-described method can be used for systematic defect verification from a list of potential systematic defects, which can be performed during the search phase or maintenance of the monitoring phase. Further, the above-described method may also be applied to a known hot spot or location having a local pattern (i. E., Local design data) similar to a known hot spot (which may be identified during search step or recipe setup, Can be used to capture systematic defects. The method can be used for the verification or classification of defects detected at or near a hot spot, which can be performed during monitoring.

전술한 영역 정보는 특정 영역으로부터의 결함을 샘플링하기 위해서뿐만 아니라, 웨이퍼의 모든 영역으로부터의 결함을 일부 지능적 방식으로 샘플링하고 및/또는 설계로부터 설계-결정 주요 영역의 특정 유형을 발견하거나 위치시킬 확률이 높은 웨이퍼의 특정 영역으로 추출된 주요 영역을 보정하기 위해 사용될 수 있다. 설계 데이터로부터 추출된 주요 영역은 단일 장치를 위한 것일 수 있지만, 주요 영역에 기인한 실제 검사 결함을 발견할 확률은 다른 영역보다는 특정 웨이퍼 영역에서 더욱 현저할 수 있다. 이러한 방식에서, 상기 방법은 전술한 영역 분석을 사용하여 다이로부터의 결함 정보를 웨이퍼로 보외(補外)하는 단계를 포함할 수 있다. 이러한 실시예는 여기에 기술한 임의의 다른 정보를 사용할 수 있다.The above-described area information is used not only to sample defects from a specific area, but also to sample defects from all areas of the wafer in some intelligent manner and / or to detect or locate a specific type of design- Can be used to correct the extracted main area to a specific area of the high wafer. The primary area extracted from the design data may be for a single device, but the probability of finding the actual inspection defect due to the primary area may be more pronounced in a particular wafer area than in the other areas. In this manner, the method may include the step of supplementing the defect information from the die with the wafer using the area analysis described above. Such an embodiment may use any of the other information described herein.

또 다른 실시예는 설계 데이터에 대한 1 이상의 수율 관련 프로세스를 평가하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 룰 체크 또는 여기에 기술한 임의의 적합한 단계 또는 방법을 사용하여 설계 데이터 내의 잠재적 오류를 식별하는 단계를 포함한다. 상기 방법은 잠재적 오류의 1 이상의 속성을 결정하는 단계를 또한 포함한다. 또한, 상기 방법은 1 이상의 속성에 기초하여 잠재적 오류가 검출가능한지를 결정하는 단계를 포함한다. 상기 방법은 1 이상의 속성에 기초하여, 복수의 상이한 검사 시스템 중에서 어느 것이 잠재적 오류를 검출하는데 가장 적합한지를 결정하는 단계를 포함한다. 또한, 상기 방법은 복수의 상이한 검사 시스템 중에서 어느 것이 잠재적 오류를 검출하는데 가장 적합한지의 결정 결과를 저장 매체에 저장하는 단계를 포함한다.Yet another embodiment relates to a computer-implemented method for evaluating one or more yield related processes for design data. The method includes identifying a potential error in the design data using a rule check or any suitable step or method described herein. The method also includes determining one or more attributes of the potential error. The method also includes determining if a potential error is detectable based on the one or more attributes. The method includes determining, based on the one or more attributes, which of the plurality of different inspection systems is most suitable for detecting a potential error. The method also includes storing the determination result in a storage medium which of the plurality of different inspection systems is most suitable for detecting a potential error.

일 실시예에서, 상기 방법은 가장 적합하다고 결정된 검사 시스템의 1 이상의 매개변수를 선택하는 단계를 포함한다. 매개변수는 1 이상의 속성에 기초하여 선택된다. 이러한 방식에서, 최선의 검사 시스템 유형은 관심 있는 결함의 속성에 기초하여 추정되거나 선택될 수 있다. 다른 실시예에서, 상기 방법은 설계 데이터에 의해 제조되는 장치의 수율에 대한 잠재적 오류의 영향을 결정하는 단계를 포함한다. 전술한 방법 실시예의 각각은 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법 실시예의 각각은 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.In one embodiment, the method comprises selecting one or more parameters of the inspection system determined to be most appropriate. The parameter is selected based on one or more attributes. In this way, the best inspection system type can be estimated or selected based on the properties of the defect of interest. In another embodiment, the method comprises determining the effect of a potential error on the yield of the device produced by the design data. Each of the above-described method embodiments may include any other step of any of the methods described herein. Further, each of the above-described method embodiments may be performed by any of the system embodiments described herein.

추가의 실시예는 전술한 임의의 컴퓨터-구현 방법을 수행하기 위해 프로세서상에서 실행가능한 프로그램 지령을 포함하는 캐리어 매체(carrier medium)에 관한 것이다. 추가적인 실시예는 여기에 기술한 임의의 컴퓨터-구현 방법을 수행하도록 구성된 시스템에 관한 것이다. 상기 시스템은 여기에 기술한 1 이상의 컴퓨터-구현 방법을 수행하기 위한 컴퓨터 지령을 실행하도록 구성된 프로세서를 포함할 수 있다. 일 실시예에서, 상기 시스템은 독립 시스템일 수 있다. 다른 실시예에서, 상기 시스템은 웨이퍼 검사 시스템과 같은 검사 시스템의 일부이거나 그것에 결합될 수 있다. 다른 실시예에서, 상기 시스템은 결함 리뷰 시스템의 일부이거나 그것에 결합될 수 있다. 또 다른 실시예에서, 상기 시스템은 팹 데이터베이스에 결합될 수 있다. 상기 시스템은 와이어, 케이블, 무선 통신 패쓰, 및/또는 네트워크와 같은 전송 매체에 의해 검사 시스템, 리뷰 시스템, 및/또는 팹 데이터베이스에 결합될 수 있다. 전송 매체는 "유선" 또는 "무선" 부분을 포함할 수 있다.A further embodiment relates to a carrier medium comprising program instructions executable on a processor to perform any of the computer-implemented methods described above. Additional embodiments relate to a system configured to perform any of the computer-implemented methods described herein. The system may include a processor configured to execute a computer instruction to perform the one or more computer-implemented methods described herein. In one embodiment, the system may be an independent system. In another embodiment, the system is part of or may be coupled to an inspection system, such as a wafer inspection system. In another embodiment, the system is part of or may be coupled to a defect review system. In another embodiment, the system may be coupled to a fab database. The system may be coupled to an inspection system, a review system, and / or a fab database by a transmission medium such as wire, cable, wireless communication path, and / or network. The transmission medium may include "wired" or "wireless" portions.

검사 데이터를 설계 데이터에 서브-픽셀 정확도(픽셀의 사이즈는 검사되고 있는 구조의 크기 정도일 수 있다)로 정렬시키는 방법 및 시스템을 제공한다.A method and system are provided for aligning inspection data to design data with sub-pixel accuracy (the size of the pixel can be as much as the size of the structure being examined).

본 발명의 추가의 이점은 바람직한 실시예의 이하의 상세한 설명과 첨부 도면을 참조할 때 당업자에게 자명해질 것이다.
도 1은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터 구현 방법의 일 실시예를 도시하는 플로차트.
도 2 및 도 3은 소정의 정렬 사이트의 다른 실시예의 상면도를 나타내는 개략도.
도 4는 웨이퍼간 비교를 수행하기 위한 컴퓨터 구현 방법의 각종 실시예를 설명하는 계층도.
도 5는 웨이퍼간 비교를 수행하기 위한 컴퓨터 구현 방법의 일 실시예를 설명하는 개략도.
도 6은 환형 링으로 분리된 웨이퍼 표면 영역에 대해 취득된 검사 데이터의 일 실시예의 상면도를 나타내는 개략도.
도 7은 반경 방향 섹터로 분리된 웨이퍼 표면 영역에 대해 취득된 검사 데이터의 일 실시예의 상면도를 나타내는 개략도.
도 8은 웨이퍼간 비교를 수행하기 위한 컴퓨터 구현 방법의 다른 실시예를 나타내는 개략도.
도 9는 웨이퍼 상에 인쇄된 다이(die) 배열의 일 실시예의 상면도를 나타내는 개략도.
도 10은 프레임(frame)으로 분리된 웨이퍼 상의 인쇄 다이에 대하여 취득된 검사 데이터의 일 실시예의 상면도를 나타내는 개략도.
도 11은 웨이퍼간 비교를 수행하기 위한 컴퓨터 구현 방법의 다른 실시예를 나타내는 개략도.
도 12는 웨이퍼 상의 스캔 경로와, 웨이퍼 상에 인쇄된 다이 배열의 일 실시예의 상면도를 나타내는 개략도.
도 13은 웨이퍼에 대하여 취득된 검사 데이터의 연속 스와스(swath)의 상면도를 나타내는 개략도.
도 14는 웨이퍼에 대하여 취득된 검사 데이터의 연속 스와스와, 스와스 오버랩 영역 내의 데이터를 사용하여 스와스 N에 대한 스와스 N+1의 위치를 결정하기 위한 컴퓨터 구현 방법에 의해 선택된 정렬 사이트의 상면도를 나타내는 개략도.
도 14a는 정렬 사이트가 제 1 검사 스와스로부터 비교적 멀리 이격된 웨이퍼에 대하여 취득된 검사 데이터의 다른 스와스의 일 실시예의 상면도를 나타내는 개략도.
도 14b 내지 도 14d는 웨이퍼에 대하여 취득된 검사 데이터의 다른 스와스의 각종 실시예의 상면도를 나타내는 개략도.
도 15는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터 구현 방법의 다른 실시예를 나타내는 플로차트.
도 16은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템의 각종 실시예의 측면도를 나타내는 개략도.
도 17은 웨이퍼 상의 검출된 결함을 비닝(binning) 하기 위한 컴퓨터 구현 방법의 일 실시예를 나타내는 개략도.
도 18은 삼각형 배열로 웨이퍼 상에 위치된, 3개의 다른 다이 내의 웨이퍼 상의 배열 사이트의 일 실시예의 상면도를 나타내는 개략도.
도 19는 웨이퍼 상에서 검출된 결함을 비닝하기 위한 컴퓨터 구현 방법의 다른 실시예를 나타내는 개략도.
도 20은 여기에 기술한 실시예에 따라 웨이퍼 상에서 검출된 결함을 비닝하기 위한 컴퓨터 구현 방법을 수행하도록 구성된 모듈에의 입력 및 그것으로부터의 출력의 일 실시예를 나타내는 개략도.
도 21 및 도 22는 도 20의 모듈의 출력의 다른 실시예를 나타내는 개략도.
도 23은 도 20의 모듈의 입력 및 출력의 일 실시예를 나타내는 개략도.
도 24는 도 20의 모듈의 출력의 일 실시예의 상면도를 나타내는 개략도.
도 25는 결함 데이터 및 설계를 표시하고 분석하도록 구성된 시스템의 일 실시예의 측면도를 나타내는 개략도.
도 26은 웨이퍼 상의 1 이상의 결함 유형의 위치와 연관된 웨이퍼 상의 1 이상의 영역의 일 실시예의 상면도를 나타내는 개략도.
도 27은 설계 데이터에 대한 1 이상의 수율(yield) 관련 프로세스를 평가하기 위한 컴퓨터 구현 방법의 일 실시예를 나타내는 플로차트.
본 발명은 각종 변형예 및 대안적 형태의 여지가 있지만, 도면에서는 그것의 특정 실시예를 예시적으로 도시하였고, 여기에서 상세히 기술할 것이다. 도면은 크기 조정되지 않을 수 있다. 하지만, 도면 및 그것에 대한 상세한 설명은 본 발명을 개시된 특정 형태로 한정하도록 의도된 것이 아니고, 첨부한 청구의 범위에 의해 규정된 바와 같이 본 발명의 정신 및 범주 내의 모든 변형예, 등가물 및 대안을 포함하도록 의도된다.
Additional advantages of the present invention will become apparent to those skilled in the art from the following detailed description of the preferred embodiments and accompanying drawings.
1 is a flow diagram illustrating one embodiment of a computer implemented method for determining the location of inspection data within a design data space.
Figures 2 and 3 are schematic diagrams showing a top view of another embodiment of a given alignment site.
4 is a hierarchy diagram illustrating various embodiments of a computer implemented method for performing inter-wafer comparison.
5 is a schematic diagram illustrating one embodiment of a computer implemented method for performing inter-wafer comparison.
6 is a schematic diagram showing a top view of one embodiment of the inspection data acquired for a wafer surface area separated by an annular ring;
7 is a schematic diagram illustrating a top view of one embodiment of inspection data acquired for a wafer surface area separated by a radial sector;
8 is a schematic diagram illustrating another embodiment of a computer implemented method for performing inter-wafer comparison.
Figure 9 is a schematic diagram illustrating a top view of one embodiment of a die array printed on a wafer.
10 is a schematic diagram showing a top view of one embodiment of inspection data obtained for a printing die on a wafer separated by a frame;
11 is a schematic diagram illustrating another embodiment of a computer implemented method for performing inter-wafer comparison.
12 is a schematic diagram illustrating a scan path on a wafer and a top view of one embodiment of a die array printed on a wafer.
13 is a schematic view showing a top view of a continuous swath of inspection data acquired for a wafer;
14 is a top view of an alignment site selected by a computer implemented method for determining the location of swath N + 1 relative to swath N using data in the swath overlap region, Fig.
14A is a schematic diagram showing a top view of one embodiment of another swath of inspection data acquired for a wafer whose alignment site is relatively far away from the first inspection swath;
Figures 14 (b) - 14 (d) are schematic views showing top views of various embodiments of other swaths of inspection data acquired for a wafer.
Figure 15 is a flow chart illustrating another embodiment of a computer implemented method for determining the location of inspection data within a design data space.
16 is a schematic diagram illustrating a side view of various embodiments of a system configured to determine the location of inspection data within a design data space;
Figure 17 is a schematic diagram illustrating one embodiment of a computer implemented method for binning detected defects on a wafer.
Figure 18 is a schematic diagram illustrating a top view of one embodiment of an array site on a wafer in three different dies, positioned on the wafer in a triangular arrangement.
19 is a schematic diagram illustrating another embodiment of a computer implemented method for binning detected defects on a wafer.
Figure 20 is a schematic diagram illustrating one embodiment of an input to and output from a module configured to perform a computer implemented method for binning detected defects on a wafer in accordance with an embodiment described herein.
Figures 21 and 22 are schematic diagrams illustrating another embodiment of the output of the module of Figure 20;
Figure 23 is a schematic diagram illustrating one embodiment of the input and output of the module of Figure 20;
Figure 24 is a schematic diagram illustrating a top view of one embodiment of the output of the module of Figure 20;
Figure 25 is a schematic diagram illustrating a side view of one embodiment of a system configured to display and analyze defect data and design.
26 is a schematic diagram illustrating a top view of one embodiment of one or more regions on a wafer associated with the location of one or more defect types on the wafer.
Figure 27 is a flow chart illustrating one embodiment of a computer implemented method for evaluating one or more yield related processes for design data.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and will be described in detail herein. The drawings may not be scaled. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims. .

여기에 사용된 바와 같이, 용어 "웨이퍼"는 반도체 또는 비-반도체 재료로 형성된 기판을 일반적으로 칭한다. 그러한 반도체 또는 비-반도체 재료의 예는 단결정 실리콘, 갈륨 비소, 및 인화 인듐을 비한정적으로 포함한다. 그러한 기판은 반도체 제조 설비 내에서 통상적으로 발견 및/또는 처리될 수 있다.As used herein, the term "wafer" generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples of such semiconductor or non-semiconductor materials include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such a substrate may be commonly found and / or processed in a semiconductor manufacturing facility.

웨이퍼는 기판상에 형성된 1 이상의 층을 포함할 수 있다. 예컨대, 그러한 층은 레지스트, 유전 재료, 및 도전 재료를 비한정적으로 포함할 수 있다. 그러한 층의 여러 가지 다른 유형은 당업계에서 공지되며, 여기에 사용된 바와 같은 용어인 웨이퍼는 그러한 층의 모든 유형을 포함하는 웨이퍼를 포함하도록 의도된다.The wafer may comprise at least one layer formed on the substrate. For example, such a layer may include, but is not limited to, resist, dielectric material, and conductive material. Various other types of such layers are known in the art, and the term wafer as used herein is intended to include wafers that include all types of such layers.

웨이퍼 상에 형성된 1 이상의 층은 패터닝되거나 패터닝되지 않을 수 있다. 예컨대, 웨이퍼는 반복가능한 패턴 특징을 각각 갖는 복수의 다이(die)를 포함할 수 있다. 재료의 그러한 층의 형성 및 처리는 궁극적으로 완성된 장치의 결과를 낳을 수 있다. 집적 회로(IC)와 같은 여러 다른 유형의 장치가 웨이퍼 상에 형성될 수 있고, 여기에 개시한 바와 같은 용어인 웨이퍼는 당업계에서 알려진 임의 유형의 장치가 그 위에 형성되는 웨이퍼를 포함하도록 의도된다.The one or more layers formed on the wafer may be patterned or unpatterned. For example, the wafer may include a plurality of dies each having repeatable pattern features. The formation and treatment of such a layer of material may ultimately result in a finished device. Various other types of devices, such as integrated circuits (ICs), may be formed on a wafer, and the term wafer as disclosed herein is intended to include wafers on which any type of device known in the art is formed .

여기에서, 웨이퍼에 대하여 실시예를 기술하였지만, 실시예는 통상적으로 마스크 또는 포토마스크라 칭해질 수 있는 레티클과 같은 다른 시편에 대하여 사용될 수 있다는 것을 이해해야 한다. 여러 다른 유형의 레티클이 당업계에서 공지되며, 여기에 개시된 용어 "레티클", "마스크", 및 "포토마스크"는 당업계에 알려진 모든 유형의 레티클을 포함하도록 의도된다.Although an embodiment has been described herein with respect to a wafer, it should be understood that embodiments may be used for other specimens, such as a reticle, which may be commonly referred to as a mask or a photomask. Various other types of reticles are known in the art, and the terms "reticle", "mask", and "photomask" disclosed herein are intended to encompass all types of reticles known in the art.

여기에 사용된 바와 같은 용어 "설계 데이터"는 IC의 물리적 설계(레이아웃)와, 복잡한 시뮬레이션 또는 간단한 불 연산(boolean operation)을 통하여 물리적 설계로부터 유도된 데이터를 일반적으로 칭한다. 또한, 레티클 검사 시스템 및/또는 그 유도품에 의해 취득한 레티클의 이미지가 설계 데이터의 "프록시(proxy)" 또는 "프록시들(proxies)"로서 사용될 수 있다. 레티클 이미지 또는 그 유도품은 설계 데이터를 사용하는 여기에 개시한 임의의 실시예에서의 설계 레이아웃의 대체물로서 작용할 수 있다.The term "design data" as used herein generally refers to data derived from a physical design through the physical design (layout) of the IC and complex simulations or simple boolean operations. In addition, the image of the reticle acquired by the reticle inspection system and / or its derivation can be used as "proxies " or" proxies "of design data. The reticle image or derivative thereof may serve as an alternative to the design layout in any of the embodiments disclosed herein using design data.

예컨대, 일 실시예에서, 레티클 검사 시스템에 의해 생성된 레티클의 이미지는 설계 데이터 스페이스 내의 설계 데이터로서 사용된다. 레티클은 웨이퍼 상에 설계 데이터를 인쇄하기 위해 사용된다. 이러한 방식에서, 레티클 검사 시스템에 의해 생성된 레티클의 이미지는 설계 데이터의 대체물로서 사용될 수 있다. 이러한 실시예에서 사용된 레티클의 이미지는 당업계에 공지된 임의의 레티클 검사 시스템에 의해 임의의 적합한 방식으로 생성된 임의의 적합한 레티클 이미지를 포함할 수 있다. 예컨대, 레티클의 이미지는 고배율 광학적 레티클 검사 시스템 또는 전자 빔 기반 레티클 검사 시스템 각각에 의해 취득한 레티클의 고배율 광학 또는 전자 빔 이미지일 수 있다. 대안적으로, 레티클의 이미지는 에어리얼(aerial) 촬상 레티클 검사 시스템에 의해 취득한 레티클의 에어리얼 이미지일 수 있다. 레티클의 이미지는 1 이상의 단계를 수행하기 위해 설계 데이터를 사용하는 여기에 기술한 임의의 실시예에서 설계 데이터를 위한 프록시로서 사용될 수 있다.For example, in one embodiment, the image of the reticle generated by the reticle inspection system is used as design data in the design data space. The reticle is used to print design data on the wafer. In this way, the image of the reticle generated by the reticle inspection system can be used as an alternative to the design data. The image of the reticle used in this embodiment may comprise any suitable reticle image produced in any suitable manner by any reticle inspection system known in the art. For example, the image of the reticle may be a high magnification optical or electron beam image of the reticle acquired by each of the high magnification optical reticle inspection system or the electron beam based reticle inspection system. Alternatively, the image of the reticle may be an aerial image of a reticle acquired by an aerial imaging reticle inspection system. The image of the reticle may be used as a proxy for design data in any of the embodiments described herein that use design data to perform one or more steps.

추가적인 실시예에서, 방법은 웨이퍼 상에 설계 데이터를 인쇄하는데 사용되는 레티클에 대하여 취득한 레티클 검사 데이터에 기초한 설계 데이터 스페이스 내의 설계 데이터에 대한 콘텍스트 맵(context map)을 생성하는 단계를 포함한다. 이러한 방식에서, 레티클 검사 데이터는 콘텍스트 맵의 생성에 입력으로서 포함될 수 있다. 콘텍스트 맵은 여기에 추가로 기술하는 바와 같이 구성될 수 있다(예컨대, 콘텍스트 맵은 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성(attribute)에 대한 값을 포함할 수 있다). 콘텍스트 맵을 생성하기 위해 사용된 레티클 검사 데이터는 전술한 레티클 이미지의 1 이상과 같은 당업계에 공지된 임의의 적합한 레티클 검사 데이터를 포함할 수 있다. 따라서, 본 실시예에서, 레티클 검사 데이터는 레티클에 걸쳐 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성에 대한 값을 결정하는데 사용될 수 있고, 그 값은 콘텍스트 맵을 생성하기 위해 설계 데이터 스페이스에 매핑(mapping)될 수 있다. 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성에 대한 값을 결정하는 단계는 여기에 기술한 바와 같이 또는 임의의 적합한 방식으로 수행될 수 있다. 설계 데이터의 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 레티클 스페이스로부터 설계 데이터 스페이스로의 1 이상의 속성에 대한 값의 매핑은 여기에 추가로 기술한 바와 같이 수행될 수 있다. 그러한 콘텍스트 맵은 1 이상의 단계를 수행하기 위해 콘텍스트 맵을 사용하는 단계를 포함하는 여기에 기술한 임의의 실시예에서 사용될 수 있다. 또한, 그러한 콘텍스트 맵은 여기에 기술한 바와 같이 및/또는 여기에 기술한 임의의 다른 정보에 기초하여 추가로 생성될 수 있다.In a further embodiment, the method includes generating a context map for design data in a design data space based on the reticle inspection data acquired for the reticle used to print the design data on the wafer. In this manner, the reticle inspection data can be included as input to the creation of the context map. The context map may be configured as described further herein (e.g., the context map may include values for one or more attributes of the design data across the design data space). The reticle inspection data used to generate the context map may include any suitable reticle inspection data known in the art, such as one or more of the reticle images described above. Thus, in this embodiment, the reticle inspection data can be used to determine a value for one or more attributes of the design data printed on the reticle over the reticle, the value being mapped to the design data space to generate the context map mapping. The step of determining a value for one or more attributes of the design data printed on the reticle may be performed as described herein or in any suitable manner. One or more attributes of the design data may include any of the attributes described herein. The mapping of values to one or more attributes from the reticle space to the design data space may be performed as further described herein. Such a context map may be used in any of the embodiments described herein, including using a context map to perform one or more steps. Further, such a context map may be additionally generated based on any other information described herein and / or as described herein.

레티클 이미지로부터 유도된 이미지는 설계 데이터에 대한 "프록시"로서 역할할 수 있다. 예컨대, 레티클 검사 시스템 또는 임의의 다른 적합한 촬상 시스템에 의해 생성된 레티클 이미지는 설계 데이터에 대한 "프록시"로서 사용될 수 있는, 레티클 이미지가 어떻게 웨이퍼 상에 인쇄될 수 있는지를 설명하는 시뮬레이션된 이미지를 생성하는데 사용될 수 있다. 일 실시예에서, 레티클 이미지가 어떻게 웨이퍼 상에 인쇄되는지를 나타내는 시뮬레이션된 이미지는 설계 데이터 스페이스 내의 설계 데이터로서 사용될 수 있다. 이러한 방식에서, 레티클 이미지가 웨이퍼 표면에 어떻게 나타나는지의 시뮬레이션은 설계 데이터의 대체물로서 역할할 수 있다. 시뮬레이션된 이미지는 당업계에서 공지된 임의의 적합한 방법 또는 시스템을 사용하는 임의의 방식으로 생성될 수 있다. 시뮬레이션된 이미지는 1 이상의 단계를 수행하기 위해 설계 데이터를 사용하는 여기에 계시한 임의의 실시예에서 설계 데이터에 대한 프록시로서 사용될 수 있다.The image derived from the reticle image can serve as a "proxy" for the design data. For example, a reticle image generated by a reticle inspection system or any other suitable imaging system may be used to generate a simulated image that can be used as a "proxy" for design data, describing how a reticle image can be printed on a wafer . In one embodiment, a simulated image representing how the reticle image is printed on the wafer may be used as design data in the design data space. In this way, a simulation of how the reticle image appears on the wafer surface can serve as a substitute for the design data. The simulated image may be generated in any manner using any suitable method or system known in the art. The simulated image may be used as a proxy for design data in any of the embodiments shown herein that use design data to perform one or more steps.

1 이상의 단계를 수행하기 위해 적어도 부분적으로 설계 데이터가 사용되는 여기에 기술한 실시예에서, 설계 데이터는 전술한 임의의 설계 데이터 또는 설계 데이터 프록시 또는 그것의 임의의 조합을 포함할 수 있다.In the embodiments described herein in which design data is used, at least in part, to perform one or more steps, the design data may comprise any of the design data or design data proxies described above or any combination thereof.

도면에 있어서, 도면은 축척대로 도시되지 않은 것을 유념해야 한다. 특히, 도면의 일부 요소의 축척은 그 요소의 특징을 강조하기 위해서 매우 과장되어 있다. 또한, 도면은 동일한 축척으로 도시되지 않은 것을 유념해야 한다. 유사하게 구성될 수 있는 1 이상의 도면에서 도시한 요소는 동일한 참조부호를 사용하여 지시된다.In the drawings, it should be noted that the drawings are not drawn to scale. In particular, the scale of some of the elements in the figures is highly exaggerated to emphasize the features of the elements. It should also be noted that the drawings are not drawn to scale. Elements shown in one or more figures that may be similarly configured are indicated using the same reference numerals.

도 1은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터 구현 방법의 일 실시예를 도시한다. 도 1에 도시한 모든 단계는 방법의 실시를 위해서 필수적인 것이 아님을 유념해야 한다. 1 이상의 단계가 도 1에 나타낸 방법으로부터 생략되거나 그 방법에 부가될 수 있으며, 그 방법은 여전히 본 실시예의 범주 내에서 실시할 수 있다.Figure 1 illustrates one embodiment of a computer implemented method for determining the location of inspection data within a design data space. It should be noted that not all of the steps shown in FIG. 1 are necessary for the implementation of the method. One or more steps may be omitted from or added to the method shown in FIG. 1, and the method may still be practiced within the scope of this embodiment.

일반적으로, 상기 방법은 데이터 준비 단계와, 레시피(recipe) 셋업 단계(예컨대, 웨이퍼 검사 레시피 셋업)와, 웨이퍼 검사 단계 자체를 포함할 수 있다. 상기 방법은 리뷰(review) 및 분석 단계를 또한 포함할 수 있다. 데이터 준비 단계는 웨이퍼 상에 제조되고 있거나 웨이퍼 상에 제조될 장치의 물리적 설계 레이아웃을 반영하는 설계 데이터(예컨대, 그래픽 데이터 스트림(GDS) 파일, GDSII 파일, 또는 다른 표준 파일이나 데이터베이스와 같은 데이터 구조로부터 획득된 정보)를 생성하거나 취득하는 단계를 포함할 수 있다. GDS 파일, 다른 파일, 또는 데이터베이스로부터의 정보는 물리적 설계 레이아웃 프리-데코레이션(pre-decoration)을 기술할 수 있다(즉, 설계에 부가된 OPC(optical proximity correction; 광 근접 보정) 특징 및 임의의 다른 RET(resolution enhancement technology; 해상도 향상 기술) 특징이 없이).In general, the method may include a data preparation step, a recipe setup step (e.g., wafer inspection recipe setup), and a wafer inspection step itself. The method may also include a review and analysis step. The data preparation step may be performed from a data structure such as a design data (e.g., a graphics data stream (GDS) file, a GDSII file, or other standard file or database) that is being fabricated on a wafer or that reflects the physical design layout of the device to be fabricated on the wafer And acquiring or acquiring the acquired information). GDS files, other files, or information from a database may describe the physical design layout pre-decoration (i.e., the optical proximity correction (OPC) feature added to the design and any other Without resolution enhancement technology (RET) features).

도 1에 도시한 방법은 여기에 추가로 기술하는 바와 같이 서브-픽셀(sub-pixel) 정밀도 내에서 검사 데이터 스트림을 설계 데이터에 정렬시키는 단계를 일반적으로 포함한다. 이러한 방식에서, 여기에 기술한 방법은 검사(예컨대, 웨이퍼 검사)를 위한 "설계에 정렬하는(align to design)" 방법이라고 일반적으로 칭해질 수 있다. 상기 방법은 설계 데이터와 선택적으로 웨이퍼 검사를 위한 콘텍스트 데이터를 활용한다. 이러한 방식에서, 여기에 기술한 방법은 "콘텍스트 기반 검사(CBI)" 방법이라도 또한 칭할 수 있다. 장치 설계 데이터 및 콘텍스트 데이터는 웨이퍼 검사 감도를 증가시키고, 뉴슨스 이벤트(nuisance event) 검출을 극적으로 줄이고, 결함 분류 정밀도를 증가시키고, PWQ(process window qualification)와 같은 검사 시스템에 대한 적용을 향상하기 위해 사용될 수 있다. 콘텍스트 데이터는 여기에 추가로 기술하는 바와 같은 결함 리뷰 프로세스 및 시스템에 장점을 제공하기 위해 사용될 수 있다. 또한, 설계 데이터 및 콘텍스트 데이터를 사용하는 방법의 예는 미국 특허 6,886,153호(Devis)와, 미국 특허 출원 공개번호 2005/0004774호(Volk 등)로서 2005년 1월 6일자로 공개된 미국 특허 출원 일련번호 10/883,372호(2004년 1월 1일 출원)에 개시되고, 여기에 완전히 언급된 것과 같이 참고자료로 포함된다. 여기에 기술한 방법은 그러한 특허 및 특허 출원에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다.The method shown in FIG. 1 generally includes aligning the inspection data stream to design data within sub-pixel accuracy as further described herein. In this manner, the method described herein can be generally referred to as a " align to design "method for inspection (e.g., wafer inspection). The method utilizes design data and optionally context data for wafer inspection. In this manner, the method described herein may also be referred to as a "Context Based Inspection (CBI)" method. Device design and context data can be used to increase wafer inspection sensitivity, dramatically reduce nuisance event detection, increase defect classification accuracy, and improve application to inspection systems such as process window qualification (PWQ) Lt; / RTI > Context data may be used to provide advantages to the defect review process and system as further described herein. Examples of how to use design data and context data are described in U.S. Patent 6,886,153 (Devis) and U.S. Patent Application Publication No. 2005/0004774 (Volk et al.), Filed January 6, 2005, No. 10 / 883,372, filed January 1, 2004, which is hereby incorporated by reference as if fully set forth herein. The methods described herein may include any step in any of the methods described in such patents and patent applications.

여기에 기술한 방법은 핫 스팟(hot spot) 탐색 단계를 포함할 수 있다. 핫 스팟 탐색은 기술 조사 및 전개, 제품 설계, RET 설계, 레티클 설계 및 제조, 및 제품 램프(ramp) 동안에 수행될 수 있다. 핫 스팟 탐색 단계는 레티클 설계 향상과 결함 모니터링 및 분류를 위해 핫 스팟을 식별하는 단계를 포함할 수 있다. 핫 스팟 탐색 단계는 핫 스팟 데이터베이스와 같은 핫 스팟에 대한 정보를 포함하는 데이터 구조를 생성하는 단계를 또한 포함할 수 있다. 일부 실시예에서, 핫 스팟 탐색은 다중 소스를 사용하여 수행될 수 있다. 예컨대, 핫 스팟 탐색은 설계 스페이스 핫 스팟 탐색과, 웨이퍼 스페이스 핫 스팟 탐색과, 레티클 핫 스팟 탐색과, 테스트 스페이스 핫 스팟 탐색과, 프로세스 스페이스 핫 스팟 탐색 중에서 임의의 것 사이의 상관관계를 사용하여 수행될 수 있다. 일 예에서, 핫 스팟의 탐색은 설계, 모델링 결과, 검색 결과, 계측 결과, 및 테스트 및 오류 분석(FA) 결과의 다중 소스를 상호 연관지어 수행될 수 있다. 여기에 기술한 임의의 단계는 핫 스팟을 탐색하기 위하여 임의의 조합으로 사용될 수 있다.The method described herein may include a hot spot search step. The hotspot search can be performed during technology investigation and deployment, product design, RET design, reticle design and manufacture, and product ramp. The hot spot exploration step may include the steps of enhancing the reticle design and identifying hot spots for defect monitoring and classification. The hot spot searching step may also include generating a data structure that includes information about a hot spot, such as a hot spot database. In some embodiments, the hot spot search may be performed using multiple sources. For example, the hotspot search may be performed using a correlation between any of design space hotspot search, wafer space hotspot search, reticle hotspot search, test space hotspot search, and process space hotspot search . In one example, the hotspot search can be performed correlating multiple sources of design, modeling results, search results, metrology results, and test and error analysis (FA) results. Any of the steps described herein may be used in any combination to search hot spots.

설계 스페이스에서, 핫 스팟은 설계 데이터 내의 임계점 목록을 생성하기 위해 설계 룰 점검(DRC)의 결과를 사용하여 식별될 수 있다. DRC는 마스크 제조(프리-마스크(pre-mask)) 이전의 레티클 레이아웃 데이터의 품질 제어(QC; quality control)를 위해 통상적으로 수행된다. 그리하여, DRC는 핫 스팟을 생성하지 않을 수 있다. 대신에, DRC의 결과는 DRC 룰의 부분이 아닌 설계 매뉴얼에 있거나 새롭게 탐색된 새로운 마진 핫 스팟을 식별하는데 사용될 수 있다. 또한, 핫 스팟은 전자 설계 자동화(EDA; electron design automation)를 사용하여 탐색될 수 있다. 이러한 방식에서, 핫 스팟 탐색 단계 동안에, 설계 룰(마진 체커(marginality checker)로서 사용된 DRC) 및/또는 EDA 설계 툴(tool)이 핫 스팟의 소스로서 사용될 수 있다. 또한, 핫 스팟은 컴퓨터 보조 설계(TCAD) 툴 및 프록시를 위한 기술을 사용하여 탐색될 수 있다. TCAD 툴은 캘리포니아주 마운티 뷰 소재의 시놉스사(Synopsis, Inc.)로부터 상업적으로 입수가능하다. 또한(또는, 대안적으로), 캘리포니아주 산 요세 소재의 KLA-Tencor사로부터 상업적으로 입수가능한 디자인 스캔(Design Scan) 분석 소프트웨어, 임의의 패턴 검색, 및 설계 콘텍스트(예컨대, 기능 블록, 설계 라이브러리 요소, 셀, 패턴이 중복적인지 아닌지, 패턴 밀도, 더미/충전(fill) 대(對) 활성 등)가 핫 스팟의 소스로서 사용될 수 있다. 다른 예에서, 결함의 설계 데이터 기반 그룹화(파레토(pareto) 분석과 함께 또는 없이)가 핫 스팟을 탐색하고 그룹화하는데 사용될 수 있으며, 그것은 여기에 기술한 바와 같이 수행될 수 있다.In the design space, hotspots can be identified using the results of a design rule check (DRC) to generate a list of critical points in the design data. DRC is typically performed for quality control (QC) of the reticle layout data prior to mask fabrication (pre-mask). Thus, the DRC may not generate hot spots. Instead, the result of the DRC can be used in a design manual that is not part of the DRC rule, or can be used to identify a newly discovered marginal hot spot. Hot spots can also be explored using electron design automation (EDA). In this way, during the hotspot search phase, design rules (DRCs used as marginality checkers) and / or EDA design tools can be used as a source of hotspots. Hot spots can also be explored using computer aided design (TCAD) tools and techniques for proxies. The TCAD tool is commercially available from Synopsis, Inc. of Mountaintown, CA. In addition (or alternatively), design scan analysis software commercially available from KLA-Tencor Corporation of San Jose, Calif., Any pattern search and design context (e.g., function block, design library element , Whether cells, patterns are redundant, pattern density, dummy / fill vs. activity, etc.) can be used as a source of hot spots. In another example, a design data based grouping of defects (with or without pareto analysis) can be used to search and group hot spots, which can be performed as described herein.

추가적인 예에서, 설계 스페이스에서, 핫 스팟 탐색 단계는 설계 데이터 스페이스 내의 실제 결함 위치를 식별하기 위해, 웨이퍼 상에 인쇄된 설계 데이터의 주사형 전자 현미경(SEM) 이미지를 설계 데이터에 정렬하거나 중첩시키는 단계(여기에 기술한 바와 같이 수행될 수 있다)를 포함할 수 있고, 설계 데이터 스페이스 내의 결함의 위치에 근접한 설계 데이터에 기초한 임의의 패턴 검색은 설계에서 유사한 가능한 핫 스팟을 식별하기 위해 수행될 수 있다. 그 후, 체계적 결함 및 설계 데이터 내의 그것의 설계 그룹을 식별하기 위해, 여기에 기술한 바와 같이 수행될 수 있는, 웨이퍼에 대한 오리지널 검사 결과에 대하여 수행된 리피토(repeater) 분석이 사용될 수 있다. 이러한 접근 방식의 하나의 이점은 타깃 결함이 설계 데이터 스페이스 내에 실질적으로 정밀하게 위치되는 경우, 임의적인 패턴 검색 및/또는 체계적 결함 식별을 위해 사용된 패턴 검색창이 결함마다 조정될 수 있다는 것이다.In a further example, in the design space, the hotspot searching step includes aligning or superimposing a scanning electron microscope (SEM) image of the design data printed on the wafer onto the design data to identify the actual defect location in the design data space (Which may be performed as described herein), and any pattern search based on design data close to the location of the defects in the design data space may be performed to identify similar hot spots in the design . A repeater analysis performed on the original inspection results for the wafer, which may then be performed as described herein, may be used to identify its design grouping in systematic defects and design data. One advantage of this approach is that the pattern search window used for arbitrary pattern searching and / or systematic defect identification can be adjusted for each defect if the target defect is located substantially precisely in the design data space.

웨이퍼 스페이스에서, 핫 스팟은 각각 여기에 추가로 기술하는 바와 같이 수행될 수 있는 리피터 분석, 체계적(예컨대, 프로세스 마진) 결함의 구역적/공간적 시그네처(signature) 분석, 체계적 결함의 일시적 시그네처 분석, 레티클/다이 스페이스 내에서의 탐색을 위해 신호 대 잡음비(S/N)를 향상하기 위한 설계 오버레이에 의한 적층 다이(또는 레티클) 결과, 및 체계적 결함 또는 체계적 결함 그룹을 우선시키기 위한 결함의 속성으로서 결함 스페이스에 상호 연관지어진 수율(또는 KP(kill probability))의 1 이상을 사용하여 탐색될 수 있다.In the wafer space, the hot spots can be divided into a plurality of areas, such as repeater analysis, which can be performed as described further herein, regional / spatial signature analysis of systematic (e.g., process margin) deficiencies, temporary signature analysis of systematic defects , Stacking die (or reticle) results by design overlay to improve signal to noise ratio (S / N) for searching in the reticle / die space, and attributes of defects to prioritize systematic defects or systematic defect groups Can be searched using one or more of the yields (or KP (kill probability)) correlated to the defect space.

레티클/다이 스페이스에서, 핫 스팟은 여기에서 추가로 기술하는 바와 같이 수행될 수 있는 리피터 분석, 결함 밀도 매핑, 설계 패턴 기반 그룹화 분석, S/N을 향상시키기 위한 설계 콘텍스트(예컨대, 기능 블록)에 의한 필터링, 설계 내의 콜드 스폿(cold spot)을 탐색하기 위한 레티클 검사로부터 관심없는 결함의 식별중의 1 이상을 이용하여 탐색될 수 있다.In the reticle / die space, hot spots can be added to a design context (e.g., function block) to improve the S / N, such as repeater analysis, defect density mapping, design pattern based grouping analysis, Or by identifying one or more defects that are not of interest from the reticle inspection to search for cold spots in the design.

테스트 스페이스에서, 핫 스팟은 매핑을 설계하기 위한 비트 오류(bit failure)와 매핑을 설계하기 위한 로직 비트맵 밀도 중의 1 이상을 사용하여 탐색될 수 있으며, 그 양쪽은 관심없는 결함(또는 설계에서의 콜드 스폿)을 식별하기 위하여 리피터 분석(웨이퍼 스페이스에서 수행) 또는 설계 데이터 기반 그룹화(레티클/다이 스페이스에서 수행)와 결합될 수 있다. 이들 단계의 각각은 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In a test space, a hot spot can be searched using one or more of a bit failure density for designing a mapping and a logic bitmap density for designing a mapping, (Performed in a wafer space) or a design data based grouping (performed in a reticle / die space) to identify a cold spot. Each of these steps may be performed as further described herein.

프로세스 스페이스에서, 핫 스팟은 핫 스팟의 소스로서의 PWQ(다이-다이, 표준 기준 다이, 또는 다이-데이터베이스 방법을 사용)와, 핫 스팟으로서 임계 설계 특징 및 프로세스 창을 결정하기 위한 프로세스의 DOE(design of experiment)(다이-다이, 표준 기준 다이, 또는 다이-데이터베이스 방법을 사용)를 사용하여 탐색될 수 있고, 그 각각은 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In a process space, a hot spot is defined as a PWQ (using a die-die, a standard reference die, or a die-database method) as a source of a hot spot and a DOE of experiment (using a die-die, standard reference die, or die-database method), each of which may be performed as described further herein.

일부 실시예에서, 도 1의 단계 10에 도시한 바와 같이, 상기 방법은 설계 데이터 내의 소정의 정렬 사이트를 선택하는 단계를 포함한다. 소정의 정렬 사이트 선택 단계는 검사 시스템을 사용하여 수행될 수 있다. 소정의 정렬 사이트는 검사 프로세스 레시피의 셋업 동안에 선택될 수 있다. "레시피"는 일반적으로 검사와 같은 프로세스를 수행하기 위한 지령 세트로서 규정된다. 여기에 기술하는 바와 같이 웨이퍼 검사를 위한 레시피 셋업은 자동, 반-자동(예컨대, 유저-보조), 또는 수동으로 수행될 수 있다.In some embodiments, as shown in step 10 of Figure 1, the method includes selecting a predetermined alignment site in the design data. The predetermined alignment site selection step can be performed using the inspection system. The predetermined alignment site may be selected during setup of the inspection process recipe. A "recipe" is generally defined as a set of instructions for performing processes such as inspection. Recipe setup for wafer inspection, as described herein, may be performed automatically, semi-automatically (e.g., user-assisted), or manually.

일 예에서, 검사 시스템에 의해 수행되는 검사 프로세스의 셋업 동안에, 설계 데이터에 부가하여, 웨이퍼 스와싱(swathing) 정보, 검사 시스템 모델 넘버, 검사를 위해 사용될 광학 모드, 및 픽셀 사이즈와 같은 검사 시스템 매개변수에 관한 정보가 소정의 정렬 사이트를 선택하기 위해 사용될 수 있다. 소정의 정렬 사이트는 검사될 웨이퍼의 1 이상의 속성에 기초하여 선택될 수 있다. 소정의 정렬 사이트(또는 이 데이터를 칭하는 표식)에 대한 데이터 및/또는 그것의 이미지는 검사 프로세스를 위한 레시피에 저장될 수 있다. 예컨대, 웨이퍼 상의 층에 대한 소정의 정렬 사이트에 관한 정보는 웨이퍼 상의 층에 대한 검사 프로세스 레시피 내에 정렬 데이터로서 저장될 수 있고, 그 정렬 데이터는 검사 시스템이 이러한 특정 장치 및 층의 웨이퍼를 검사할 때마다 사용할 수 있다.In one example, during the setup of the inspection process performed by the inspection system, in addition to the design data, inspection system parameters such as wafer swathing information, inspection system model number, optical mode to be used for inspection, Information about the variable can be used to select a predetermined sorting site. The predetermined alignment site can be selected based on one or more attributes of the wafer to be inspected. The data for a given alignment site (or indicia that refers to this data) and / or its image may be stored in a recipe for the inspection process. For example, information about a given alignment site for a layer on a wafer can be stored as alignment data in an inspection process recipe for a layer on the wafer, and the alignment data is used when the inspection system inspects wafers of this particular device and layer .

일부 실시예가 웨이퍼에 대한 데이터 및/또는 이미지를 취득하기 위한 "웨이퍼 스캐닝 단계"를 포함할지라도, 그러한 데이터 및/또는 이미지는 당업계에 공지된 임의의 적절한 기술 및/또는 시스템을 사용하여 취득될 수 있다는 것을 이해해야 한다. 예컨대, 웨이퍼에 대한 데이터 및/또는 이미지는 여기에 기술한 검사 시스템 또는 필드별 이미지 취득을 수행하도록 구성된 다른 검사 시스템에 의해 취득할 수 있다. 이러한 방식에서, 웨이퍼에 걸친 스캐닝 대신에, 검사 시스템은 스테핑(stepping) 방식으로 데이터 및/또는 이미지를 취득할 수 있다. 다른 예에서, 웨이퍼에 대한 데이터 및/또는 이미지는 여기에 기술한 검사 시스템 또는 통상적으로 자동화 프로세스 검사(API)라고 칭하는 포인트별 검사를 수행하도록 구성된 다른 검사 시스템에 의해 취득할 수 있다.Although some embodiments include "wafer scanning steps" for acquiring data and / or images for wafers, such data and / or images may be acquired using any suitable technology and / or system known in the art You should understand that you can. For example, data and / or images for wafers may be obtained by the inspection system described herein, or by another inspection system configured to perform field-by-field image acquisition. In this manner, instead of scanning across the wafer, the inspection system can acquire data and / or images in a stepping fashion. In another example, data and / or images for wafers may be obtained by the inspection system described herein, or by another inspection system configured to perform point-by-point inspection, commonly referred to as an automated process inspection (API).

소정의 정렬 사이트를 선택하기 위해 몇몇 방법이 사용될 수 있다. 일 실시예에서, 상기 방법은 소정의 정렬 사이트에 대응하는 설계 데이터를 취득하는 단계를 포함한다. 여기에 기술한 방법에서 사용할 수 있는 소정의 정렬 사이트에 대한 데이터 또는 이미지는 렌더드(rendered) GDS 클립(여기에 사용된 용어 "클립"은 설계 레이아웃의 비교적 작은 부위를 칭한다)과, 렌더드 GDS 클립에 정렬된 검사 시스템에 의해서 생성된 이미지를 포함한다. 소정의 정렬 사이트에 대응하는 설계 데이터를 시뮬레이션(또는 "렌더링")하는 단계는 설계 데이터가 웨이퍼 상에 어떻게 인쇄되는지를 설명하는 이미지를 생성하는데 사용할 수 있다. 상기 방법은 시뮬레이션된("렌더링된") 이미지와 설계 데이터 또는 GDS 클립의 교차-상호연관을 수행하는 단계와, 시뮬레이션된 이미지의 위치를 설계 데이터 스페이스에 (즉, 설계 데이터 스페이스 내의 좌표에) 기록하는 단계를 포함한다. 소정의 정렬 사이트에 대응하는 설계 데이터가 전술한 바와 같이 웨이퍼 상에 어떻게 인쇄될지를 설명하는 이미지 시뮬레이션은 임의의 적합한 방법, 알고리즘, 또는 KLA-Tencor사로부터 상업적으로 입수가능한 PROLITH와 같은 당업계에 공지된 소프트웨어를 사용하여 수행할 수 있다.Several methods can be used to select a given alignment site. In one embodiment, the method includes obtaining design data corresponding to a predetermined alignment site. Data or images for a given alignment site that can be used in the methods described herein may be used for rendering GDS clips (the term "clip" used herein refers to a relatively small portion of the design layout) Contains images generated by inspection systems arranged in clips. The step of simulating (or "rendering") the design data corresponding to a given alignment site can be used to create an image that describes how the design data is printed on the wafer. The method includes performing a cross-correlation of a simulated ("rendered") image with design data or GDS clips, writing the location of the simulated image into a design data space (i.e., . Image simulations that describe how design data corresponding to a given alignment site are to be printed on a wafer, as described above, can be performed using any suitable method, algorithm, or method known in the art, such as PROLITH, commercially available from KLA-Tencor Can be performed using the software.

또한, 1 이상의 프로세스가 웨이퍼에 대하여 수행된 후에, 소정의 정렬 사이트가 웨이퍼 상에 어떻게 인쇄될지를 설명하는 시뮬레이션 이미지가 전술한 바와 같이 생성될 수 있다. 1 이상의 프로세스는, 예컨대, 리소그라피, 리소그라피 및 에치(etch)의 조합, 다른 리소그라피 프로세스 등을 포함할 수 있다. 이러한 방식에서, 여기에 기술한 방법에 사용된 소정의 정렬 사이트에 대한 데이터는 검사 이전에 웨이퍼에 대하여 수행된 1 이상의 프로세스에 기초하여 선택되거나 생성되는 1 이상의 시뮬레이션된 이미지를 포함할 수 있다. 다른 프로세스가 웨이퍼에 대하여 수행된 후에 취득된 검사 데이터의 정렬을 위한 소정의 정렬 사이트에 대하여 다른 데이터를 사용하는 것은 여기에 기술한 방법의 정밀도를 증가시킬 수 있다.In addition, after one or more processes have been performed on the wafer, a simulation image may be generated as described above that describes how a given alignment site will be printed on the wafer. One or more processes may include, for example, lithography, combinations of lithography and etch, other lithography processes, and the like. In this manner, the data for a given alignment site used in the methods described herein may include one or more simulated images selected or generated based on one or more processes performed on the wafer prior to inspection. Using different data for a given alignment site for alignment of the acquired inspection data after another process is performed on the wafer may increase the precision of the method described herein.

소정의 정렬 사이트를 선택하는 단계는 검사 프로세스 및 시스템과 양립할 수 있는 소정의 정렬 사이트를 선택하기 위하여 설계 데이터(예컨대, GDS 데이터)를 전-처리하는 단계를 포함할 수 있다. 예컨대, 일부 예에서, 렌더링 된 GDS 클립은 그 GDS 클립이 웨이퍼 제조 프로세스에 의해 야기된 변동(예컨대, 컬러 변동)에 영향을 받지 않기 때문에, 여기에 기술한 방법에서의 소정의 정렬 사이트에 대한 데이터로서 사용하는데 이점이 있다. 하지만, 렌더링 된 GDS 클립 "오프-라인"에 대하여 정렬된, 검사 시스템에 의해 취득된 소정의 정렬 사이트의 이미지는, 그 이미지가 렌더링 된 GDS 클립보다는 검사 시스템에 의해 생성된 웨이퍼 상의 정렬 사이트의 이미지와 더욱 유사할 수 있고 이에 의해 더욱 정밀한 정렬을 제공할 수 있기 때문에, 장치 제조의 나중의 단계에서 생성된 검사 데이터와 함께 사용하는 것이 유리하다. 따라서, 일부 실시예에서, 여기에 기술한 방법에서 사용된 정렬 데이터는, 웨이퍼 상의 정렬 사이트를 위한 데이터에의 적합한 매치가 검사 구동시 발견될 수 있는 것을 보장하기 위해, GDS 클립과 그 GDS 클립에 정렬된 이미지 양쪽을 포함할 수 있다. 대안적으로, 소정의 정렬 사이트의 중심과 같이 설계 데이터 내의 소정의 정렬 사이트의 1 이상의 속성이 결정될 수 있고, 검사 시스템에 의해 취득된 정렬 사이트의 이미지의 대응하는 중심이 검사 픽셀 데이터를 설계 데이터에 정렬시키기 위해 결정되고 사용될 수 있다.Selecting a given alignment site may include pre-processing design data (e.g., GDS data) to select a predetermined alignment site that is compatible with the inspection process and system. For example, in some instances, the rendered GDS clips are not affected by the variations (e.g., color variations) caused by the wafer fabrication process because the GDS clips are not affected by the data for a given alignment site in the methods described herein As shown in Fig. However, the image of the given alignment site acquired by the inspection system aligned with respect to the rendered GDS clip "off-line " is not an image of the alignment site on the wafer produced by the inspection system, It is advantageous to use it with the inspection data generated at a later stage of the device fabrication. Thus, in some embodiments, the alignment data used in the method described herein may be applied to a GDS clip and its GDS clips to ensure that a suitable match to the data for the alignment site on the wafer can be found during test run. And may include both aligned images. Alternatively, one or more attributes of a given alignment site in the design data may be determined, such as the center of a given alignment site, and the corresponding center of the image of the alignment site acquired by the inspection system may be scanned Can be determined and used to align.

다이마다 선택된 소정의 정렬 사이트의 수는 크게 변할 수 있다. 예컨대, 비교적 드문드문한 세트의 소정의 정렬 사이트가 선택될 수 있다. 또한, 소정의 정렬 사이트는 다이에 걸친 소정의 빈도로 선택될 수 있다. 소정의 정렬 사이트가 다이 자체에 포함될 수 있기 때문에, 소정의 정렬 사이트는 다이 내의 장치 특징 및/또는 다이의 장치 영역 내에 위치한 특징을 포함하도록 선택될 수 있다. 이러한 방식에서, 소정의 정렬 사이트는 설계 데이터의 사전-존재하는 특징을 포함하도록 선택될 수 있다. 그러한 소정의 정렬 사이트는, 설계 데이터가 정렬 특징을 포함하도록 수정되지 않아도 되고 정렬 특징이 다이의 사이즈를 증가시키기 않기 때문에 유리하다.The number of predetermined alignment sites selected per die may vary greatly. For example, a relatively sparse set of predetermined alignment sites may be selected. Also, a given alignment site can be selected at a predetermined frequency across the die. Because a given alignment site may be included in the die itself, the desired alignment site may be selected to include device features within the die and / or features located within the device region of the die. In this manner, a given alignment site may be selected to include pre-existing features of the design data. Such predetermined alignment sites are advantageous because the design data need not be modified to include alignment features and alignment features do not increase die size.

상기 방법은 검사 시스템에 의해 취득된 데이터 또는 이미지 내에서 특유하게 식별될 수 있는(일부 비-정렬 공차 창 내에서) 설계 데이터 내의 소정의 정렬 사이트를 선택하는 단계를 또한 포함할 수 있다. 예컨대, 소정의 정렬 사이트는 소정의 검색 범위 불확실성 내에서 특유한 정렬 특징(즉, 타깃)을 포함하도록 선택될 수 있다. 이러한 방식에서, 웨이퍼 상의 정렬 사이트의 위치의 특정의 위치적 불확실성이 이미지 또는 데이터에 주어지면, 임의의 모호한 점이 없이 두 정렬 사이트의 비교적 강한 매치를 식별하기 위해 정렬 데이터와, 이미지 또는 데이터에 대하여 보정이 수행될 수 있다.The method may also include selecting a given alignment site in the design data (in some non-alignment tolerance windows) that can be uniquely identified within the image or data acquired by the inspection system. For example, a given alignment site may be selected to include a distinct alignment feature (i.e., a target) within a given search range uncertainty. In this manner, if a particular positional uncertainty of the position of the alignment site on the wafer is given to the image or data, alignment data and alignment data to identify a relatively strong match of the two alignment sites without any ambiguity, Can be performed.

일 실시예에서, 소정의 정렬 사이트는 x 및 y 방향에서 특유한 1 이상의 속성을 갖는 적어도 하나의 정렬 특징을 포함한다. 그러한 소정의 정렬 사이트의 하나의 실시예가 도 2에 도시된다. 도 2에 도시한 바와 같이, 소정의 정렬 사이트(32)는 정렬 특징(34)을 포함한다. 정렬 특징(34)은 x 및 y 방향에서 특유한 1 이상의 속성을 갖는다. 예컨대, 정렬 특징의 코너는 다이 내의 다른 특징에 대하여 x 및 y 방향에서 특유한 정렬 특징을 부여하고, 정렬 특징을 근접화한다. 소정의 정렬 사이트는 유사하거나 상이하게 구성될 수 있는 1 이상의 그러한 정렬 특징을 또한 포함할 수 있다. 이러한 방식에서, 정렬 특징은 x 및 y 방향에서 특유할 수 있다.In one embodiment, a given alignment site includes at least one alignment feature having one or more properties unique in the x and y directions. One embodiment of such a predetermined alignment site is shown in Fig. As shown in FIG. 2, the predetermined alignment site 32 includes the alignment feature 34. The alignment feature 34 has one or more attributes that are unique in the x and y directions. For example, the corners of the alignment feature impart a unique alignment feature in the x and y directions to other features within the die, and approximate the alignment feature. A given alignment site may also include one or more such alignment features that may be similar or differently configured. In this way, the alignment feature may be unique in the x and y directions.

대안적인 실시예에서, 소정의 정렬 사이트는 적어도 2개의 정렬 특징을 포함한다. 두 정렬 특징의 첫 번째는 x 방향에서 특유한 1 이상의 속성을 갖는다. 두 정렬 특징의 두 번째는 y 방향에서 특유한 1 이상의 속성을 갖는다. 그러한 소정의 정렬 사이트의 실시예는 도 2에 도시한다. 도 2에 도시한 바와 같이, 소정의 정렬 사이트(32)는 정렬 특징(38)을 포함한다. 정렬 특징(38)은 x 방향에서는 특유하지만, y 방향에서의 정렬에 관한 정보는 제공하지 않는 1 이상의 속성을 갖는다. 예컨대, 정렬 특징(38)의 수직 에지는 다이 내의 다른 특징에 대하여 x 방향에서 특유한 정렬 특징을 부여하고, 정렬 특징을 근접화할 수 있다. 소정의 정렬 사이트는 1 이상의 그러한 특징을 포함할 수 있다.In an alternative embodiment, a given alignment site includes at least two alignment features. The first of the two alignment features has one or more properties that are unique in the x direction. The second of the two alignment features has one or more properties that are unique in the y direction. An embodiment of such a predetermined alignment site is shown in Fig. As shown in FIG. 2, the predetermined alignment site 32 includes alignment features 38. The alignment feature 38 has one or more attributes that are unique in the x direction but do not provide information about alignment in the y direction. For example, the vertical edge of the alignment feature 38 may give a distinctive alignment feature in the x direction for other features in the die, and may approximate the alignment feature. A given alignment site may include one or more such features.

소정의 정렬 사이트(36)는 정렬 특징(40)을 포함한다. 정렬 특징(40)은 y 방향에 특유하지만 x 방향에서의 정렬 정보를 제공하지 않는 1 이상의 속성을 갖는다. 예컨대, 정렬 특징(40)의 수평 에지는 다이 내의 다른 특징에 대하여 y 방향에서 특유한 정렬 특징을 부여하고, 정렬 특징을 근접화할 수 있다. 소정의 정렬 사이트는 1 이상의 그러한 특징을 또한 포함할 수 있다. 또한, 소정의 정렬 사이트는 x 및/또는 y 방향에 특유한 2 이상의 정렬 특징을 포함할 수 있다. 이러한 방식에서, 소정의 정렬 사이트는 "라이브(live)" 이미지 또는 데이터(예컨대, 검사 동안 검사 시스템에 의해 취득한 이미지 또는 데이터)와 소정의 정렬 사이트에 대한 데이터 사이의 절대 (x, y) 오프셋을 결정하기 위하여, 조합하여 충분한 x 및 y 정렬 정보를 제공하는 특징(38 및 40)과 같은 정렬 특징 세트를 포함하도록 선택될 수 있다.The predetermined alignment site 36 includes the alignment feature 40. The alignment feature 40 has one or more attributes that are specific to the y direction but do not provide alignment information in the x direction. For example, the horizontal edge of the alignment feature 40 may impart a unique alignment feature in the y direction for other features within the die, and may approximate the alignment feature. A given alignment site may also include one or more such features. Also, a given alignment site may include two or more alignment features that are specific to the x and / or y directions. In this manner, a given sorting site may store an absolute (x, y) offset between the "live" image or data (e.g., image or data acquired by the inspection system during inspection) Such as features 38 and 40, that provide sufficient x and y alignment information in combination to determine the alignment feature.

소정의 정렬 사이트의 선택은 수동, 자동, 또는 그 둘의 임의의 조합(즉, 반-자동 또는 유저-보조)으로 수행할 수 있다. 수동, 자동 또는 그 양쪽으로 수행되는지 간에, 소정의 정렬 사이트 선택은 설계 데이터, 웨이퍼의 광학 또는 전자 빔 이미지, 또는 그 양쪽을 사용하여 수행할 수 있다. 소정의 정렬 사이트의 유저-보조 선택에서, 유저는 전술한 특유 기준을 만족하는 1 이상의 소정 정렬 사이트를 결정하기 위해서, 컴퓨터 보조 설계(CAD) 레이아웃, 웨이퍼의 라이브 또는 저장된 광학 또는 전자 빔 이미지, 또는 그 양쪽을 검사할 수 있다.The selection of a given alignment site may be performed manually, automatically, or any combination thereof (i.e., semi-automatic or user-assisted). Whether performed manually, automatically, or both, a given alignment site selection may be performed using design data, optical or electron beam images of the wafer, or both. In a user-assisted selection of a given alignment site, a user may select a computer-aided design (CAD) layout, a live or stored optical or electron beam image of the wafer, or a computer-aided design (CAD) layout to determine one or more predetermined alignment sites that meet the above- You can check both of them.

소정의 정렬 사이트의 자동 또는 반-자동 선택에서, 상기 방법은 검사 시스템을 사용하여 웨이퍼 상의 다이 열을 스캐닝하는 단계와, 특유의 정렬 사이트를 식별하기 위해 다이의 각 프레임을 처리하는 단계(예컨대, 알고리즘 실행에 의해)를 포함할 수 있다. 용어 "프레임"은 일반적으로 웨이퍼의 스캐닝 동안에 취득한 검사 데이터 또는 이미지의 스와스 내의 다이의 일부에 대한 데이터 또는 이미지로서 여기에 규정된다. 프레임을 처리하는 단계는 프레임 내의 특징의 x 및 y 구배를 결정하는 단계와, 소정의 정렬 사이트에 사용하기 위하여 x 및/또는 y 방향에서의 비교적 강한 구배를 갖는 1 이상의 특징을 선택하는 단계를 포함한다. 상기 방법은 구배의 단지 하나의 비교적 강한 피크가 소정의 검색 범위 내에 위치하는지를 결정하기 위해 그러한 특징을 포함하는 패치 이미지(patch image) 및 프레임의 교차-상호 연관을 수행하는 단계를 포함한다. 이러한 방식에서, 패턴 검색창 내에서 특유한 정렬 특징이 소정의 정렬 사이트에 대하여 식별되고 선택될 수 있다. 상기 방법은 설계 데이터에 접속하는 단계와, 설계 데이터의 1 이상의 비교적 작은 영역을 1 이상의 이미지로서 부여하는 단계와, 적합한 정렬 사이트를 식별하기 위해 전술한 단계를 수행하는 단계를 포함한다. 상기 방법은 그 방법에 의해 식별된 1 이상의 잠재적 정렬 사이트(예컨대, 잠재적 정렬 사이트에 대한 광학 또는 전자 빔 및 CAD 이미지 쌍)를 표시하는 단계와, 소정의 최소의 간격 거리에서 다이에 걸쳐 분포된 1 이상의 적합한 정렬 사이트를 유저가 선택하게 허용하는 단계를 포함한다.In automatic or semi-automatic selection of a given alignment site, the method includes scanning the die array on the wafer using the inspection system, processing each frame of the die to identify a unique alignment site (e.g., By algorithm execution). The term "frame" is generally defined herein as data or an image for a portion of a die in a swath of inspection data or images acquired during scanning of the wafer. Processing the frame includes determining an x and y gradient of a feature in the frame and selecting one or more features having a relatively strong gradient in the x and / or y direction for use at a given alignment site do. The method includes performing a cross-correlation of a patch image and a frame comprising such features to determine if only one relatively strong peak of the gradient is within a predetermined search range. In this manner, distinctive alignment features within the pattern search window can be identified and selected for a given alignment site. The method includes connecting to the design data, assigning at least one relatively small region of the design data as at least one image, and performing the steps described above to identify a suitable alignment site. The method includes displaying at least one potential alignment site identified by the method (e.g., an optical or electron beam and a CAD image pair for a potential alignment site), and determining a 1 And allowing the user to select a suitable alignment site.

다른 실시예에서, 소정의 정렬 사이트를 선택하기 위해 사용된 검사 시스템 또는 다른 이미지 취득 시스템의 촬상 모드는 검사 데이터를 취득하기 위해 사용된 검사 시스템의 촬상 모드와는 상이하다. 이러한 방식에서, 상기 방법은 정렬 사이트 선택 및 웨이퍼 검사를 위해 다른 촬상 모드를 사용하는 단계를 포함할 수 있다. 정렬 사이트 선택 단계는 웨이퍼를 검사하기 위해 사용될 수 있는 각종 촬상 모드에 기초하여 수행될 수 있다. 예컨대, 검사 시스템은 밝은 필드(BF) 모드, 어두운 필드(DF) 모드, 에지 콘트라스트(Edge Contrast)(KLA-Tencor사의 상표) 모드, 각종 어퍼쳐(aperture) 모드, 및/또는 전자 빔 촬상 모드와 같은 1 이상의 검사용 광학 촬상 모드를 사용하도록 구성될 수 있다. 에지 콘트라스트(EC) 검사는 일반적으로 상보적 촬상 어퍼쳐와 함께 원형의 대칭적 조명 어퍼쳐를 사용하여 수행된다. 웨이퍼 상의 특정 층의 검사를 위한 최선의 촬상 모드는 결함 S/N을 최대화하는 촬상 모드이며, 최선의 촬상 모드는 층 유형에 따라 변할 수 있다. 또한, 검사 시스템은 1 이상의 촬상 모드를 동시적으로 또는 순차적으로 사용하여 웨이퍼를 검사하도록 구성될 수 있다. 웨이퍼 검사 동안에 수행된 정렬 사이트 이미지 또는 데이터 취득이 웨이퍼 검사를 위한 최선의 촬상 모드를 사용하기 때문에, 정렬 사이트 선택은 적절한 정렬 사이트 및 정렬 특징을 선택하기 위해 그 모드를 사용하는 것이 바람직하다.In another embodiment, the imaging mode of the inspection system or other image acquisition system used to select a given alignment site is different from the imaging mode of the inspection system used to acquire inspection data. In this manner, the method may include using different imaging modes for alignment site selection and wafer inspection. The alignment site selection step may be performed based on various imaging modes that may be used to inspect the wafer. For example, the inspection system may include a light field (BF) mode, a dark field (DF) mode, an edge contrast (KLA-Tencor trademark) mode, various aperture modes, and / May be configured to use one or more same optical imaging modes for inspection. Edge contrast (EC) inspection is generally performed using a circular symmetrical illumination aperture with a complementary imaging aperture. The best imaging mode for inspection of a specific layer on the wafer is an imaging mode that maximizes the defect S / N, and the best imaging mode may vary depending on the layer type. The inspection system can also be configured to inspect the wafer using one or more imaging modes simultaneously or sequentially. Since the alignment site image or data acquisition performed during the wafer inspection uses the best imaging mode for wafer inspection, it is preferred that the alignment site selection use that mode to select the appropriate alignment site and alignment feature.

하지만, 설계 데이터 스페이스 내의 선택된 소정의 정렬 사이트의 위치를 정밀하게 결정하기 위해서, 소정의 정렬 사이트(웨이퍼 상의)의 광학적 패치 이미지는 GDSII 클립 또는 전술한 바와 같은 설계 데이터로부터 유도된 시뮬레이션된 이미지와 정렬될 수 있다. 광학적 이미지와 시뮬레이션된 이미지의 정렬에 대하여 적합한 품질을 갖는 시뮬레이션된 이미지를 획득하는 것은 모든 촬상 모드에 대하여 어려울 수 있다. 하지만, 시뮬레이션된 이미지와 광학적 이미지의 최선의 매치가 특정 촬상 모드(예컨대, BF 모드)에 대하여 획득될 수 있다. 따라서, 상기 방법은 적합한 소정의 정렬 사이트를 선택하기 위하여 검사용 최선의 촬상 모드를 사용하여 웨이퍼를 스캐닝하는 단계를 또한 포함할 수 있다. 상기 방법은 시뮬레이션된 이미지 또는 GDSII 클립에 가장 잘 매치할 수 있는 이미지를 제공하는 모드를 사용하여 광학적 패치 이미지를 취득하기 위해서, 검사 시스템을 사용하여 웨이퍼 상의 선택된 소정의 정렬 사이트를 재방문하는 단계를 또한 포함할 수 있다.However, in order to precisely determine the position of a selected predetermined alignment site within the design data space, the optical patch image of a given alignment site (on the wafer) is aligned with the GDSII clip or a simulated image derived from the design data as described above . Obtaining a simulated image with an appropriate quality for the alignment of the optical image and the simulated image may be difficult for all imaging modes. However, the best match of the simulated image and the optical image may be obtained for a particular imaging mode (e.g., BF mode). Thus, the method may also include scanning the wafer using the best imaging mode for inspection to select a suitable alignment site. The method may include revisiting a selected predetermined alignment site on the wafer using an inspection system to obtain an optical patch image using a mode that provides a simulated image or an image that best matches a GDSII clip May also be included.

시뮬레이션된 이미지 또는 GDSII 클립과 매치시키기 위해 최선의 모드를 사용하여 취득한 이미지는 설계 데이터 내의 대응하는 정렬 사이트에 대한 시뮬레이션된 이미지 또는 GDSII 클립에 정렬될 수 있다. 매치를 위해 최선의 모드를 사용하여 취득한 이미지를 시뮬레이션된 이미지 또는 GDSII 클립에 정렬시켜 결정된 설계 데이터 스페이스 내의 선택된 정렬 사이트의 (x, y) 위치를 사용함으로써, 그러한 x 및 y 위치는 검사를 위해 최선의 모드를 사용하여 취득한 패치 이미지와 연관될 수 있다. 다른 모드(검사 모드와, 시뮬레이션된 이미지 또는 GDSII 클립에 매치시키기 위한 최선의 모드)에서 동일한 사이트에 대하여 수집된 이미지들 사이에 일부 고정된 오프셋이 존재하는 경우, 이러한 오프셋은 적합한 보정 타깃을 사용하여 검사의 개시(또는 이후)에 측정 및/또는 수정할 수 있다.The image acquired using the best mode to match the simulated image or GDSII clip may be aligned to the simulated image or GDSII clip for the corresponding alignment site in the design data. By using the (x, y) position of the selected alignment site in the design data space determined by aligning the acquired image with the simulated image or GDSII clip using the best mode for the match, such x and y positions are best for inspection Lt; / RTI > may be associated with the acquired patch image using the mode of FIG. If there are some fixed offsets between images collected for the same site in different modes (the test mode and the best mode for matching a simulated image or a GDSII clip), this offset can be determined using an appropriate calibration target May be measured and / or modified at the start (or after) of the test.

그러한 일 실시예에서, 상기 방법은 매핑을 결정하기 위해(즉, 설계 데이터 스페이스 내의 광학적 또는 전자 빔 이미지의 개별 픽셀의 위치를 결정하기 위해), 소정의 정렬 사이트의 광학 또는 전자 빔 이미지에의 CAD 시뮬레이션된 이미지 또는 GDSII 클립의 오프-라인 정렬을 포함할 수 있다. 예컨대, 소정의 정렬 사이트를 선택하고, 시뮬레이션된 이미지와의 매치를 위한 최선의 이미지를 제공할 수 있는 촬상 모드를 사용하여 웨이퍼 상의 이들 사이트의 이미지를 취득한 후에, 소정의 정렬 사이트에 대응하는 설계 데이터는 (다각형 표현과 같은 임의의 포맷으로) 취득된 후, 적절한 변환 함수를 사용하여 적절한 픽셀 사이즈의 시뮬레이션된 이미지로서 부여될 수 있다. 광학적(또는 전자 빔) 이미지 및 시뮬레이션된 이미지는 당업계에 공지된 임의의 적절한 방법 및/또는 알고리즘을 사용하여 서로 정렬될 수 있다. 광학적(또는 전자 빔) 이미지 및 시뮬레이션된 이미지를 서로 정렬하는 단계는, 이전의 층 구조가 광학적 이미지로부터 제거되거나 충분히 정밀한 정렬을 달성하기 위해 달리 고려될 수 있도록, 광학적 이미지 내의 노이즈의 소스일 수 있는 이전의 층 구조와 같은 설계 데이터에 관한 다른 정보(예컨대, 설계 데이터베이스 내의)를 사용하여 수행될 수 있다.In such an embodiment, the method may be used to determine the mapping (i. E., To determine the position of an individual pixel of an optical or electron beam image within the design data space), to the optical < And may include off-line alignment of the simulated image or GDSII clip. For example, after an image of these sites on the wafer is acquired using an imaging mode that can select a predetermined alignment site and provide the best image for matching with the simulated image, design data corresponding to a predetermined alignment site (In any format, such as a polygonal representation), and then applied as a simulated image of the appropriate pixel size using the appropriate transform function. The optical (or electron beam) image and the simulated image may be aligned with one another using any suitable method and / or algorithm known in the art. The step of aligning the optical (or electron beam) image and the simulated image with each other may be performed in such a way that the previous layer structure can be a source of noise in the optical image such that it can be removed from the optical image or otherwise considered to achieve sufficiently precise alignment May be performed using other information (e.g., in the design database) about the design data, such as the previous layer structure.

검사용 레시피를 셋업하는 프로세스의 결과는 소정의 정렬 사이트를 나타내는 1 이상의 광학 또는 전자 빔 패치 이미지와, 설계 데이터 스페이스 내의 소정의 정렬 사이트의 각각의 위치(예컨대, x 및 y 좌표)와, 후속 웨이퍼 검사 동안에 실질적으로 정밀한 정렬을 수행하기 위해 검사 시스템에 의해 활용될 수 있는 임의의 부가적인 정보를 포함할 수 있다.The result of the process of setting up the inspection recipe may include one or more optical or electron beam patch images representing a given alignment site, respective positions (e.g., x and y coordinates) of a given alignment site within the design data space, And may include any additional information that can be utilized by the inspection system to perform substantially precise alignment during inspection.

도 1의 단계 12에 도시한 바와 같이, 상기 방법은 웨이퍼 상의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터를 소정의 정렬 사이트에 대한 데이터와 정렬시키는 단계를 포함한다. 소정의 정렬 사이트에 대한 데이터는 전술한 임의의 데이터를 포함할 수 있다. 예컨대, 소정의 정렬 사이트에 대한 데이터는 GDSII 파일 또는 다른 표준 기기-판독가능한 파일 포맷과 같은 데이터 구조로 저장된 설계 데이터를 포함할 수 있다. 다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트가 웨이퍼 상에 어떻게 인쇄될지를 설명하는 1 이상의 시뮬레이션된 이미지를 포함한다. 1 이상의 시뮬레이션된 이미지는 여기에 추가로 기술하는 바와 같은 설계 데이터 스페이스에 매핑되어서, 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치는 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 여기에 추가로 기술하는 바와 같이 결정할 수 있다.As shown in step 12 of Figure 1, the method includes aligning the data acquired by the inspection system with the data for a given alignment site for alignment sites on the wafer. The data for a given alignment site may include any of the data discussed above. For example, data for a given alignment site may include design data stored in a data structure such as a GDSII file or other standard instrument-readable file format. In another embodiment, the data for a given alignment site includes one or more simulated images that describe how a given alignment site will be printed on the wafer. One or more simulated images may be mapped to a design data space as further described herein so that the location of alignment sites on the wafer within the design data space may be further described herein based on the location of certain alignment sites within the design data space Can be determined as follows.

추가적인 실시예에서, 소정의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트의 1 이상의 속성을 포함하고, 웨이퍼 상의 정렬 사이트에 대한 데이터는 정렬 사이트의 1 이상의 속성을 포함하고, 정렬 단계는 소정의 정렬 사이트의 1 이상의 속성을 정렬 사이트의 1 이상의 속성에 정렬시키는 단계를 포함한다. 본 실시예에서 사용된 웨이퍼 상의 정렬 사이트와, 소정의 정렬 사이트의 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 예컨대, 일 실시예에서, 소정의 정렬 사이트의 1 이상의 속성은 소정의 정렬 사이트의 중심을 포함하고, 웨이퍼 상의 정렬 사이트의 1 이상의 속성은 정렬 사이트의 중심을 포함한다. 웨이퍼 상의 정렬 사이트와 소정의 정렬 사이트의 중심은 사이트 내의 1 이상의 정렬 특징에 대한 중심일 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 상의 정렬 사이트를 소정의 정렬 사이트에 정렬시키기 위해서, 웨이퍼 상의 정렬 사이트와 소정의 정렬 사이트의 중심을 매치시키는 단계를 포함할 수 있다. 그와 같이, 소정의 정렬 사이트에 대한 데이터는 웨이퍼 상의 정렬 사이트에 대한 데이터의 대응하는 특징에 정렬될 수 있는 중심과 같은 소정의 정렬 사이트의 일부 특징을 포함할 수 있다. 웨이퍼 상의 정렬 사이트 및 소정의 정렬 사이트의 중심과 같은 1 이상의 속성은 당업계에서 공지된 임의의 방식으로 또는 여기에 기술한 바와 같이 결정될 수 있다.In a further embodiment, the data for a given alignment site includes one or more attributes of a given alignment site, the data for an alignment site on the wafer includes one or more attributes of the alignment site, To at least one attribute of the sorting site. The alignment sites on the wafers used in this embodiment and one or more attributes of a given alignment site may include any of the attributes described herein. For example, in one embodiment, one or more attributes of a given alignment site include the center of a given alignment site, and one or more attributes of the alignment site on the wafer include the center of the alignment site. The alignment sites on the wafer and the center of a given alignment site may be centered on one or more alignment features within the site. In this manner, the method may include matching the alignment site on the wafer with the center of the predetermined alignment site to align the alignment site on the wafer to the predetermined alignment site. As such, the data for a given alignment site may include some feature of a given alignment site, such as a center, that can be aligned to a corresponding feature of the data for the alignment site on the wafer. One or more attributes, such as the alignment site on the wafer and the center of a given alignment site, may be determined in any manner known in the art or as described herein.

추가의 실시예에서, 소정의 정렬 사이트에 대한 데이터는 GDSII 파일과 같은 데이터 구조로 저장된 설계 데이터에 정렬된 검사 시스템에 의해 취득한 데이터를 포함한다. 소정의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터는 여기에 기술한 바와 같이 설계에 정렬될 수 있다. 일부 실시예에서, 소정의 정렬 사이트에 대한 데이터는 설계 데이터 스페이스 내의 설계 좌표에 정렬된 표준 기준 다이 이미지의 적어도 일부를 포함한다. 표준 기준 다이 이미지는 여기에 기술한 임의의 표준 기준 다이 이미지를 포함할 수 있고, 표준 기준 다이 이미지는 여기에 기술한 바와 같이 설계 좌표에 정렬될 수 있다. 예컨대, 표준 기준 다이 이미지는 설계 스페이스에 매핑된 후, 정렬을 위해 사용될 수 있다.In a further embodiment, the data for a given alignment site includes data obtained by inspection systems arranged in design data stored in a data structure such as a GDSII file. The data acquired by the inspection system for a given alignment site may be arranged into a design as described herein. In some embodiments, the data for a given alignment site includes at least a portion of a standard reference die image aligned with the design coordinates in the design data space. The standard reference die image may include any of the standard reference die images described herein, and the standard reference die image may be aligned to the design coordinates as described herein. For example, a standard reference die image may be mapped to a design space and then used for alignment.

정렬 사이트에 대한 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬시키는 단계는 당업계에 공지된 임의의 적합한 정렬 방법 및/또는 정렬 알고리즘을 사용하여 수행할 수 있다.The step of aligning the data for the alignment site to the data for a given alignment site may be performed using any suitable alignment and / or alignment algorithm known in the art.

일 실시예에서, 단계 12는 웨이퍼 검사 동안에 수행될 수 있다. 또한, 이러한 단계는 검사 프로세스 레시피를 사용하여 웨이퍼가 검사될 때마다 수행할 수 있다. 예컨대, 다수의 웨이퍼 검사 개시시와 로트(lot) 내의 각 웨이퍼의 검사 개시시에 수행할 수 있는 초기화 단계를 포함할 수 있다. 초기화 단계 동안에, 소정의 정렬 사이트와, 설계 데이터 스페이스 내의 소정의 정렬 사이트의 (x, y 또는 2차원) 매핑은 레시피 셋업 결과로부터 접속될 수 있고, 검사되고 있는 웨이퍼에 대하여 검사 시스템에 의해 취득한 라이브 패치 이미지와 저장된 정렬 패치 이미지의 정렬을 수행하는데 사용될 수 있는 이미지 컴퓨터 처리 노드에 다운 로드될 수 있다. 이미지 컴퓨터 및 처리 노드는 당업계에 공지된 임의의 적합한 구성을 가질 수 있다.In one embodiment, step 12 may be performed during wafer inspection. This step may also be performed each time the wafer is inspected using the inspection process recipe. For example, it may include an initialization step that can be performed at the start of a plurality of wafer tests and at the start of inspection of each wafer in a lot. During the initialization step, the (x, y or two-dimensional) mapping of a given alignment site and a predetermined alignment site in the design data space can be accessed from the recipe setup result, and the live May be downloaded to an image computer processing node that may be used to perform the alignment of the patch image and the stored alignment patch image. The image computer and processing node may have any suitable configuration known in the art.

검사 프로세스 동안에, 상기 방법은 검사 데이터의 스와스를 취득하기 위해 검사 시스템을 사용하여 웨이퍼를 스캐닝하는 단계를 포함할 수 있다. 각각의 스와스는 검사 시스템이 웨이퍼 상의 열 또는 행으로 다이에 걸쳐 스캔시(x 방향에서), 일부 높이(H)(y 방향에서)의 픽셀 스트림으로서 취득될 수 있다. 이미지 컴퓨터 내의 각각의 처리 노드는 스와스의 일부를 처리할 수 있다. 예컨대, 스와스는 부분 또는 "페이지(page)"로 분리될 수 있고, 스와스의 각 부분은 다른 처리 노드로 향해질 수 있다. 처리 노드는 처리 노드에 의해 수신된 스와스의 부분 내의 픽셀을 사용하여 결함 검출을 수행하도록 구성될 수 있다. 상기 방법 및 이미지 컴퓨터는 웨이퍼 상의 정렬 사이트의 위치(예컨대, 각 다이 내의 위치)에 관한 정보와, 이미지 컴퓨터 저장 매체로부터 취득한 소정의 정렬 사이트의 패치 이미지(예컨대, 초기화 단계 동안에 다운로드 된)를 사용하여 소정의 정렬 사이트를 웨이퍼 상의 정렬 사이트에 대한 라이브 스트림 데이터에 정렬시킬 수 있다.During the inspection process, the method may include scanning the wafer using an inspection system to obtain a swath of inspection data. Each swath may be acquired as a pixel stream at some height H (in the y direction) when the inspection system scans across the die in rows or columns on the wafer (in the x direction). Each processing node in the image computer can process a portion of the swath. For example, the swath can be divided into parts or "pages " and each part of the swath can be directed to another processing node. The processing node may be configured to perform defect detection using pixels within the portion of the swath received by the processing node. The method and image computer use the information about the location of the alignment site (e.g., location within each die) on the wafer and the patch image of a given alignment site acquired from the image computer storage media (e.g., downloaded during the initialization step) To align the given alignment site to the live stream data for the alignment site on the wafer.

일부 실시예에서, 콘텍스트 맵(예컨대, 데이터베이스와 같은 데이터 구조로 저장된)이 접속되어 처리 노드에 다운로드 될 수 있다. 이러한 콘텍스트 데이터는 당업계에 공지된 임의의 적합한 포맷으로 저장될 수 있다. 이러한 콘텍스트 데이터는 이미지 포맷보다는 콤팩트한 다각형 포맷으로 저장 및/또는 사용될 수 있다. 하지만, 콘텍스트 맵은 그 콘텍스트 맵이 결함 검출 목적으로 사용될 수 있도록 이미지에 부여될 수 있다. 이러한 부여는 초기화 동안에 한번 또는 검사 동안 콘텍스트 맵이 사용될 때마다 수행될 수 있다. 전자의 접근 방식의 이점은 초기화 동안에 콘텍스트 맵을 부여하는 것은 검사 프로세스 동안에 수행되는 데이터 처리 사이클을 줄인다는 것이다. 하지만, 이러한 접근 방식의 단점은 전체 콘텍스트 맵의 부여된 이미지를 저장하는 것은 비교적 다량의 메모리를 필요로 할 수 있다는 것이다.In some embodiments, a context map (e.g., stored in a data structure such as a database) may be connected and downloaded to the processing node. Such context data may be stored in any suitable format known in the art. Such context data may be stored and / or used in a compact polygonal format rather than an image format. However, the context map can be given to the image so that its context map can be used for defect detection purposes. This grant may be performed once during the initialization or whenever a context map is used during the test. The advantage of the former approach is that granting a context map during initialization reduces the data processing cycles that are performed during the inspection process. However, the disadvantage of this approach is that storing the full image of the context map may require a relatively large amount of memory.

도 1의 단계 14에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 위치를 결정하는 단계를 포함한다. 예컨대, 설계 데이터 좌표(즉, 설계 데이터 스페이스 내)에 대한 소정의 정렬 사이트의 (x, y) 위치가 결정되고, 소정의 정렬 사이트에 대한 데이터가 정렬 사이트에 대한 데이터에 정렬되기 때문에, 웨이퍼 상의 정렬 사이트의 라이브 픽셀 좌표의 절대 위치는 설계 데이터 스페이스 내에서 결정될 수 있다. 다른 실시예에서, 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계는 미가공 데이터 스트림(raw data stream)(예컨대, 라이브 이미지)을 소정의 정렬 사이트에 대한 데이터(예컨대, 기준 이미지)에 정렬시키는 단계를 포함할 수 있다. 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계는 웨이퍼의 검사 이전에 수행되거나 웨이퍼에 대한 검사 데이터의 취득 후에 수행될 수 있다.As shown in step 14 of FIG. 1, the method includes determining an alignment position on a wafer within a design data space based on a position of a predetermined alignment site in the design data space. For example, since the (x, y) location of a given alignment site for design data coordinates (i.e., within the design data space) is determined and the data for a given alignment site is aligned with the data for the alignment site, The absolute position of the live pixel coordinates of the alignment site can be determined within the design data space. In another embodiment, the step of determining the position of the alignment site on the wafer within the design data space comprises arranging the raw data stream (e.g., a live image) into data for a given alignment site . ≪ / RTI > The step of determining the position of the alignment site on the wafer within the design data space may be performed before the inspection of the wafer or after the acquisition of inspection data for the wafer.

도 1의 단계 16에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 위치에 기초하여 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대하여 취득한 검사 데이터의 위치를 결정하는 단계를 포함한다. 설계 데이터가 결정되는 위치에 대한 검사 데이터는 검사 동안에 검사 시스템에 의해 웨이퍼에 대하여 취득한 임의의 데이터(예컨대, 이미지 데이터)를 포함할 수 있다. 예컨대, 검사 데이터의 위치는 웨이퍼의 검사 동안에 검사 시스템에 의해 취득한 데이터의 일부 또는 전부에 대하여 결정될 수 있다. 예컨대, 검사 데이터의 위치는 웨이퍼 상의 주의 영역에 대하여 취득한 검사 데이터에 대해서만 결정될 수 있다.As shown in step 16 of Figure 1, the method includes determining the location of the inspection data acquired for the wafer by the inspection system in the design data space based on the alignment location on the wafer within the design data space. The inspection data for the location at which the design data is determined may include any data (e.g., image data) acquired for the wafer by the inspection system during the inspection. For example, the location of the inspection data may be determined for some or all of the data acquired by the inspection system during inspection of the wafer. For example, the position of the inspection data can be determined only for the inspection data acquired for the area of interest on the wafer.

일 실시예에서, 웨이퍼 상의 정렬 사이트에 대응하는 새로운 데이터 스트림의 위치를 전술한 바와 같이 소정의 정렬 사이트의 기준 이미지에 정렬한 후에, 상기 방법은 검사 데이터 스트림과 설계 데이터 사이의 좌표 오프셋을 서브-픽셀 정밀도 내에서 측정하는 단계를 포함할 수 있다. 또한, 라이브 검사 데이터와 설계 데이터 사이의 좌표 에러는, 웨이퍼 상의 정렬 사이트가 다이에 걸친 모든 지점에 대한 소정의 정렬 사이트에 실질적으로 정확히 정렬되도록, 새로운 검사 데이터 이미지를 소정의 정렬 사이트에 대한 기준 이미지에 대하여 이동시킴으로써 보정될 수 있다. 여기에 기술한 상기 방법 및 시스템의 하나의 현저한 이점은 설계 데이터 스페이스 내의 검사 데이터의 위치가 서브-픽셀 정밀도로 결정될 수 있다는 것이다. 이러한 방식에서, 웨이퍼 상의 보호 및 비-주의 영역은 여기에 추가로 기술하는 바와 같이 100nm 이하 정확도의 비교적 높은 정밀도로 결정될 수 있다.In one embodiment, after aligning the position of a new data stream corresponding to an alignment site on the wafer with a reference image of a given alignment site, as described above, the method may include the step of providing a coordinate offset between the inspection data stream and the design data, And measuring within the pixel precision. In addition, the coordinate errors between the live inspection data and the design data are such that a new inspection data image is projected onto a reference image for a given alignment site, such that the alignment site on the wafer is aligned substantially precisely to a predetermined alignment site for all points across the die As shown in FIG. One significant advantage of the methods and systems described herein is that the location of inspection data within the design data space can be determined with sub-pixel accuracy. In this manner, the protection and non-attention regions on the wafer can be determined with a relatively high accuracy of less than 100 nm accuracy, as further described herein.

다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는 라이브 이미지 픽셀 스페이스를 설계 데이터 스페이스에 매핑하기 위해 사용될 수 있는 2-차원 매핑 변환을 결정하는데 사용될 수 있다. 예컨대, 전술한 바와 같이, 상기 방법은 다운로드 한 소정의 정렬 사이트 패치 이미지(검사 프로세스의 셋업 동안에 취득된)와 소정의 범위에 걸친 라이브 이미지 데이터를 상호 연관짓는 단계와, 다운로드 한 이미지와 라이브 이미지 사이의 오프셋을 결정하는 단계를 포함할 수 있다. 상기 방법은, 설계 데이터 스페이스 내의 소정의 정렬 사이트의 (x, y) 위치가 셋업 동안에 결정되기 때문에, 그러한 오프셋을 이용하여 라이브 이미지 픽셀 위치와 설계 데이터 좌표를 사이의 대응성을 결정하는 단계를 또한 포함할 수 있다. 상기 방법은 라이브 이미지 픽셀 위치와 설계 데이터 좌표 사이의 대응성을 사용하여 라이브 픽셀 좌표 스페이스를 설계 데이터 스페이스에 매핑시키기 위한 2-차원 함수를 결정하는 단계를 포함할 수 있다.In another embodiment, the data for a given alignment site can be used to determine a two-dimensional mapping transformation that can be used to map the live image pixel space to the design data space. For example, as described above, the method may include correlating the downloaded predetermined alignment site patch image (obtained during setup of the inspection process) with live image data over a predetermined range, and between the downloaded image and the live image And determining an offset of the first signal. The method further comprises determining the correspondence between the live image pixel location and the design data coordinates using such an offset since the (x, y) location of a given alignment site in the design data space is determined during setup . The method may include determining a two-dimensional function for mapping live pixel coordinate space to a design data space using correspondence between live image pixel locations and design data coordinates.

그러한 일 예에서, 설계 데이터 스페이스 내의 절대 좌표에 대한 정렬 사이트의 그리드의 적합한 다항식 피트를 사용함으로써, 검사 데이터(예컨대, 라이브 픽셀 스트림) 내의 임의의 픽셀을 설계 데이터 스페이스 내의 대응하는 위치에 매핑하는데 사용할 수 있는 매핑 함수를 결정할 수 있다. 유사한 방식으로, 검사 데이터 내의 임의의 픽셀은 후술하는 바와 같이 콘텍스트 스페이스 내의 그것의 대응하는 위치에 매핑될 수 있다. 실질적으로 정확한 매핑을 제공하기 위해 몇몇 다른 보정이 사용될 수 있다. 예컨대, 보정은 스테이지(stage) 보정 데이터와, 검사 시스템의 런타임 정렬(RTA) 서브시스템에 의해 취득할 수 있는 x 방향에서의 픽셀 사이즈와 같이 검사 시스템에 의해 제공되는 데이터에 기초하여 수행할 수 있다. 매핑은 다이-다이 검사 모드에 사용할 수 있다. 전술한 바와 같은 라이브 픽셀 스트림의 매핑은 웨이퍼의 검사 동안에 실시간으로 수행되거나, 웨이퍼에 대한 검사 데이터의 취득 이후에 수행할 수 있다. 이러한 방식에서, 설계 데이터 스페이스 내의 검사 데이터의 위치 결정은 웨이퍼의 검사 동안에 수행할 수 있다. 대안적으로, 설계 데이터 스페이스 내의 검사 데이터의 위치 결정은 웨이퍼의 검사에 후속하여 수행할 수 있다.In such an example, by using the appropriate polynomial fit of the grid of the alignment site for the absolute coordinates in the design data space, any pixels in the inspection data (e.g., the live pixel stream) may be used to map to corresponding locations in the design data space The mapping function can be determined. In a similar manner, any pixel in the inspection data may be mapped to its corresponding location in the context space, as described below. Several other corrections may be used to provide a substantially accurate mapping. For example, the correction can be performed based on the stage correction data and the data provided by the inspection system, such as pixel size in the x direction, obtainable by the runtime alignment (RTA) subsystem of the inspection system . The mapping can be used in die-die inspection mode. The mapping of the live pixel stream as described above may be performed in real time during inspection of the wafer or may be performed after acquisition of inspection data for the wafer. In this way, positioning of the inspection data within the design data space can be performed during inspection of the wafer. Alternatively, the positioning of the inspection data within the design data space may be performed subsequent to inspection of the wafer.

설계 데이터 스페이스 내의 검사 데이터의 위치는 여기에 기술한 임의의 방식으로 저장 및 사용할 수 있다.The location of inspection data within the design data space can be stored and used in any manner described herein.

일 실시예에서, 상기 방법은 검사 데이터와, 표준 기준 다이 기반 검사를 위한 표준 기준 다이를 사용하여 웨이퍼 상의 결함을 검출하는 단계를 포함한다. 이러한 방식에서, 여기에 기술한 상기 방법의 실시예는 표준 기준 다이 기반 검사를 수행하는 단계를 포함할 수 있다. 그러한 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 표준 기준 다이 이미지의 매핑을 표준 기준 다이-다이 검사 모드에서 웨이퍼에 대한 검사 시스템에 의해 취득한 라이브 이미지에 인가하는 단계를 포함할 수 있다. 용어 "표준 기준 다이"는 일반적으로 검사되고는 있지만, 다이-다이 검사에 대해 취득된 "테스트" 다이에 대한 통상의 인접 구속을 충족하지 않는 기준 다이를 칭한다. 일부 상업적으로 입수가능한 검사 시스템은 표준 기준 다이-다이 검사 모드의 일부 버전을 사용하도록 구성된다. 표준 기준 다이-다이 검사 모드의 일 구현은 일 다이를 다이열 내의 임의의 다이와 비교하는 단계를 포함한다. 다른 구현에서, 표준 기준 다이 이미지는 저장된 이미지일 수 있다. 따라서, 저장된 표준 기준 다이-다이 검사 모드는 웨이퍼 상의 기준 다이를 사용하는 구속이 제거된 것을 제외하면, 표준 기준 다이-다이 검사 모드와 유사하다. 이러한 검사 모드의 하나의 이점은 저장된 기준 다이 이미지가 "실질적으로 결함이 없는" 표준 기준 다이 이미지를 만들도록 변형될 수 있다는 것이다. 또한, 이러한 검사 모드는 다른 웨이퍼로부터의 표준 기준 다이 이미지를 사용하는 것을 가능하게 하고, 이에 의해, 여기에 추가로 기술하는, iPWQ 애플리케이션의 가장 단순한 구현을 가능하게 한다.In one embodiment, the method includes detecting inspection data and defects on the wafer using a standard reference die for standard reference die based inspection. In this manner, embodiments of the method described herein may include performing a standard reference die based inspection. In some such embodiments, the method may include applying a mapping of a standard reference die image within a design data space to a live image obtained by a inspection system for a wafer in a standard reference die-die inspection mode. The term "standard reference die" refers to a reference die that, while generally being inspected, does not meet normal adjacent constraints on the "test " die obtained for the die-die inspection. Some commercially available inspection systems are configured to use some version of the standard reference die-die inspection mode. One implementation of the standard reference die-die check mode includes comparing a die to any die in the die array. In other implementations, the standard reference die image may be a stored image. Thus, the stored standard reference die-die inspection mode is similar to the standard reference die-die inspection mode, except that the constraint using the reference die on the wafer is removed. One advantage of this inspection mode is that the stored reference die image can be modified to produce a " substantially defect free "standard reference die image. This inspection mode also enables the use of standard reference die images from other wafers, thereby enabling the simplest implementation of the iPWQ application, as further described herein.

표준 기준 다이-다이 검사 모드에 사용할 수 있는 일 실시예에서, 검사되고 있는 다이에 대하여 취득한 라이브 이미지는 다른 웨이퍼 상의 알려진 양호한 다이(표준 기준 다이)로부터 획득한 저장된 다이 이미지에 정렬되고 그것과 비교된다. 그러한 정렬 및 비교는 여기에 기술하는 바와 같이 수행할 수 있다. 이 경우, 설계 데이터 좌표 스페이스로의 표준 기준 다이 픽셀의 매핑은 완전히 오프라인으로 수행할 수 있다. 예컨대, 표준 기준 다이 내의 정렬 사이트는 전술한 바와 같이 설계 데이터 스페이스 내에서 매핑될 수 있고, 매핑된 표준 기준 다이 픽셀은 검사 동안에 오프라인 저장되고, 검사 시스템에 공급될 수 있다. 이러한 방식에서, 표준 기준 다이-다이 검사 모드에 대해, 설계 데이터 좌표 스페이스 내의 라이브 검사 데이터의 위치 결정은 라이브 데이터를 표준 기준 다이 이미지 또는 설계 스페이스에 자체가 매핑된 데이터에 정렬함으로써 수행할 수 있다.In one embodiment, which may be used in a standard reference die-die inspection mode, the live image acquired for the die being inspected is aligned with and compared to a stored die image obtained from a known good die (standard reference die) on another wafer . Such alignment and comparison can be performed as described herein. In this case, the mapping of the standard reference die pixel to the design data coordinate space can be performed completely offline. For example, an alignment site within a standard reference die may be mapped in the design data space as described above, and a mapped standard reference die pixel may be stored offline during inspection and supplied to the inspection system. In this manner, for the standard reference die-die check mode, positioning of the live inspection data within the design data coordinate space can be performed by aligning the live data to the standard reference die image or to the self-mapped data in the design space.

다른 실시예에서, 표준 기준 다이-다이 검사에 대해, 기준 웨이퍼 상의 알려진 양호한 다이는 선택된 픽셀 사이즈와 촬상 모드에서 스캐닝되고, 전체의 알려진 양호한 다이 이미지는 적절한 저장 매체(예컨대, 디스크)에 저장할 수 있다. 웨이퍼의 검사 동안에, 적절한 표준 기준 다이 이미지의 스와스는 검사 시스템 이미지 컴퓨터에 다운로드 되고, 각각의 다이가 스캐닝될 때, 타깃 다이(즉, 검사되고 있는 다이)의 프레임은 대응하는 표준 기준 다이 프레임과 정렬된다. 프레임 사이의 정렬 불량은 서브-픽셀의 보간(補間)을 사용하여 정정될 수 있다. 그 후, 표준 기준 다이 이미지는 웨이퍼 상의 결함을 검출하기 위해(즉, 결함 있는 픽셀을 검출하기 위해) 웨이퍼의 이미지와 비교될 수 있다. 이러한 방식에서, 검사 데이터를 설계 데이터 스페이스 좌표에 정렬하기 위해 그리고 결함 검출을 위해 동일한 이미지가 사용될 수 있다.In another embodiment, for a standard reference die-die check, a known good die on a reference wafer is scanned in a selected pixel size and imaging mode, and the entire known good die image can be stored in a suitable storage medium (e.g., a disk) . During inspection of the wafer, the swath of the appropriate standard reference die image is downloaded to the inspection system image computer, and when each die is scanned, the frame of the target die (i.e., the die being inspected) do. Misalignment between frames can be corrected using interpolation of sub-pixels. The standard reference die image can then be compared to the image of the wafer to detect defects on the wafer (i.e., to detect defective pixels). In this manner, the same image can be used to align the inspection data to the design data space coordinates and for defect detection.

다른 실시예에서, 상기 방법은 실시간으로 에러를 보정하기 위해서, 검사 데이터 스트림 내의 웨이퍼 상의 정렬 사이트에 대한 정렬 데이터를, 소정의 정렬 사이트에 대하여 랜더링된 GDS 클립에 정렬시키는 단계를 포함한다. 예컨대, 상기 방법은 설계 데이터 스페이스 내의 렌더링된 GDSII 클립의 매핑을 다이-다이 검사 모드에 대해 웨이퍼 상의 정렬 사이트에 대한 데이터에 인가하는 단계를 포함할 수 있다. 상기 방법은 소정의 검색 범위에 걸쳐 라이브 이미지 데이터와 다운로드 한 정렬 사이트 패치 이미지(검사 프로세스의 셋업 동안에 선택된)를 상호 연관짓는 단계를 포함할 수 있다. 다른 예에서, 검사 데이터 스트림 내의 웨이퍼 상의 정렬 사이트에 대한 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬하는 단계는, 여기에 추가로 기술하는 바와 같이 수행할 수 있는, 정렬 사이트 내의 1 이상의 특징의 중심 또는 다른 속성을 정렬함으로써 수행할 수 있다.In another embodiment, the method includes aligning alignment data for an alignment site on a wafer in the inspection data stream to a rendered GDS clip for a given alignment site to correct errors in real time. For example, the method may include applying a mapping of rendered GDSII clips in a design data space to data for an alignment site on a wafer for a die-die inspection mode. The method may include correlating the live image data and the downloaded alignment site patch image over a predetermined search range (selected during setup of the inspection process). In another example, aligning the data for the alignment site on the wafer in the inspection data stream to the data for a given alignment site may include aligning the center of one or more features within the alignment site, Or by aligning other attributes.

일 실시예에서, 다이-다이 검사 모드에서의 결함 걸출에 대해, 각각의 스캐닝된 다이 프레임은 스와스 내의 후속 다이 프레임에 대한 데이터와 정렬된다. 이 경우, 소정의 정렬 사이트와 웨이퍼 상의 정렬 사이트의 매핑은, 검사 데이터 스트림 내의 각 다이에 대한 데이터의 위치가 검사 시스템의 기계적 에러 소스 및 다른 에러 소스에 처해지기 때문에, 오프라인으로 수행되지 않을 수 있다. 따라서, 이 경우, 상기 방법은 검사 데이터의 취득 동안에 각 다이 내의 정렬 사이트를 식별하는 단계(예컨대, 이미지 컴퓨터를 사용하여)를 포함할 수 있다.In one embodiment, for fault locating in the die-and-die checking mode, each scanned die frame is aligned with the data for subsequent die frames in the swath. In this case, the mapping of a given alignment site to an alignment site on the wafer may not be performed off-line because the location of the data for each die in the inspection data stream is subject to a mechanical error source and other error sources of the inspection system . Thus, in this case, the method may include identifying an alignment site within each die during acquisition of inspection data (e.g., using an image computer).

다른 실시예에서, 결함 검출은 웨이퍼간 검사 모드로 수행될 수 있다. 그러한 일 실시예에서, 일 웨이퍼 상의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트에 대한 데이터에 정렬될 수 있고, 그러한 웨이퍼 상의 정렬 사이트에 대한 데이터는 다른 웨이퍼 상의 정렬 사이트에 대한 데이터에 정렬될 수 있다. 대안적으로, 양쪽의 웨이퍼 상의 정렬 사이트에 대한 데이터는 여기에 기술한 임의의 데이터를 포함하는 소정의 정렬 사이트에 대한 데이터에 정렬될 수 있다. 이러한 방식에서, 웨이퍼 상의 정렬 사이트에 대한 데이터가 소정의 정렬 사이트에 대한 데이터에 정렬된 후에, 웨이퍼에 대한 검사 데이터는 서로 효과적으로 정렬될 수 있고, 결함 검출을 위해 중첩되거나 비교될 수 있다. 일부 실시예에서, 웨이퍼간 검사 모드는 검사되고 있는 웨이퍼의 외측에 존재하는 기준 다이를 사용하는 것을 포함한다(즉, 오프 웨이퍼 기준). 이러한 방법의 구현은, 적절한 감지도의 결과를 달성하기 위해, 검사 시스템이 다이-다이 레벨 오버레이 공차(예컨대, 0.1 픽셀)를 달성할 수 있도록 현재 사용된 런타임 피드백 개념을 분리하는 단계를 포함하기 때문에, 결코 용이하지 있다.In another embodiment, the defect detection can be performed in the inter-wafer inspection mode. In one such embodiment, data for an alignment site on one wafer can be aligned to data for a given alignment site, and data for an alignment site on such a wafer can be aligned to data for an alignment site on another wafer . Alternatively, the data for the alignment sites on both wafers may be aligned to the data for a given alignment site containing any of the data described herein. In this manner, after the data for the alignment sites on the wafer are aligned to the data for a given alignment site, the inspection data for the wafers can be effectively aligned with each other and can be overlapped or compared for defect detection. In some embodiments, the inter-wafer inspection mode includes using a reference die that is external to the wafer being inspected (i.e., off wafer basis). Implementations of this method include separating the currently used runtime feedback concept so that the inspection system can achieve die-to-die level overlay tolerance (e.g., 0.1 pixel) to achieve the result of proper sensitivity , Never easy.

그러한 일 실시예에서, 상기 방법은 검사되고 있는 웨이퍼의 오프-웨이퍼 기준 이미지에의 RTA를 포함한다. 오프-웨이퍼 이미지에의 RTA는 다이-다이 비교 및 셀-셀 비교와 같은 웨이퍼 "자기-참조(self-referencing)" 접근 방식으로부터 패터닝된 웨이퍼 상의 결함을 검출하기 위한 웨이퍼간 검사로의 스캐닝 검사 기술의 연장을 가능하게 하도록 사용될 수 있는 이미지 정렬 접근 방식이다. 예컨대, RTA는 검사 시스템의 1 이상의 검출기에 의해 생성된 신호를 디지털화하기 이전에 서브-픽셀 정밀도의 위치 결정을 얻기 위하여 이전에 취득한 이미지와 취득한 라이브 이미지를 전기-기계적으로 정렬하는 단계를 포함할 수 있다. RTA가 여기에 기술한 실시예에서 어떻게 수행될 수 있는지의 예는 미국 특허 제7,061,625호(Hwang et al)에 개시되며, 여기에 완전히 언급된 것과 참고자료로 포함된다.In one such embodiment, the method includes RTA on an off-wafer reference image of the wafer being inspected. The RTA to off-wafer image is a scanning inspection technique to wafer-to-wafer inspection to detect defects on the patterned wafer from a wafer "self-referencing" approach such as die- Lt; RTI ID = 0.0 > a < / RTI > image alignment approach. For example, the RTA may include electromechanically aligning the acquired live image with the previously acquired image to obtain positioning of the sub-pixel accuracy prior to digitizing the signal generated by the one or more detectors of the inspection system have. Examples of how RTA can be performed in the embodiments described herein are described in U.S. Patent No. 7,061,625 (Hwang et al), which is incorporated herein by reference in its entirety.

웨이퍼의 이미지와 오프-웨이퍼 이미지를 비교하는 단계를 포함하는 현재 이용가능한 하나의 접근 방식은 일본의 "Nanogeometric Research"에 의해 사용된 다이-데이터베이스 검사 모드이다(NGR). 다이-데이터베이스 검사 접근 방식은 복잡한 일련의 에지 기반 이미지 처리, 프로세스 시뮬레이션, 및 검출 알고리즘 단계가 후속하는 "단계 및 반복" 이미지 취득 및 스티칭(stitching)을 수반한다. 하지만, 이러한 방법은 다른 웨이퍼의 이미지를 직접적으로 비교하는데 사용될 수 없다. 특히, 다이-데이터베이스 검사 모드는 웨이퍼 이미지와, 설계 레이아웃 데이터베이스로부터 도출된 시뮬레이션된 기준과 비교한다. 이러한 접근 방식의 시뮬레이션 단계는 테스트하의 웨이퍼를 제조하는데 사용된 특정 제조 프로세스에 따라 주위 깊게 교정되어야만 한다. 이러한 교정은 고비용이며 시간 소모적인 프로세스이다. 그 보정은 다중 단계의 통합 프로세스 플로우에 대해서는 특히 복잡하다. 또한, "단계 및 반복" 이미지 취득 검사 프로세스는 스테이지 관성, 스테이지 진동, 정적 이미지 취득, 이미지 스티칭 등의 실제 제한으로 인하여 스캐닝 기반 검사 프로세스에 비하여 통상적으로 느리다.One currently available approach, including comparing the wafer image to the off-wafer image, is the die-database inspection mode (NGR) used by Japan's "Nanogeometric Research ". The die-database inspection approach involves "step and repeat" image acquisition and stitching followed by a complex series of edge-based image processing, process simulation, and detection algorithm steps. However, this method can not be used to directly compare the images of other wafers. In particular, the die-to-database inspection mode compares the wafer image and the simulated reference derived from the design layout database. The simulation step of this approach must be deeply calibrated according to the specific manufacturing process used to manufacture the wafer under test. This calibration is a costly and time consuming process. The correction is particularly complicated for multi-step integrated process flows. Also, the "step and repeat" image acquisition inspection process is typically slower than the scanning based inspection process due to the practical limitations of stage inertia, stage vibration, static image acquisition, image stitching,

대안적인 다이-데이터베이스 검사 모드는 전술한 "오프-웨이퍼" 기준 다이를 사용하는 검사 모드의 논리적 연장이다. 이 경우, "데이터베이스"는 전술한 바와 같이 설계 데이터 및 프로세스 시뮬레이션으로부터 생성된 랜더링된 이미지이다. 따라서, 웨이퍼간 검사가 취득된 이미지(가능하게는 여기에 기술한 바와 같이 수행될 수 있는 통계적 증대)로부터 생성된 "표준 기준 다이"와, 중점적으로 설계 데이터 및 프로세스 모델링으로부터 생성된 "표준 기준 다이"를 사용하여 수행될 수 있기 때문에, 데이터베이스 기반 검사는 "오프-웨이퍼" 기준 검사 모드의 논리적 연장으로 간주될 수 있다. 중점적으로 설계 데이터 및 프로세스 모델링으로부터 생성된 표준 기준 다이를 사용하는 것은 구현하기가 가장 복잡한 웨이퍼 검사 모드이다. 이러한 검사 모드를 구현하기 위해 여러 시도가 이루어지고 있지만, 그러한 애플리케이션의 연산 강도(모델링 및 검출), 이미지 취득 속도, 및 이미지 품질 도전으로 인하여, 시도된 구현의 현재 성능은 적합하지 않다. 하지만, 여기에 기술한 방법은 통상적인 절대 기준(예컨대, 설계 데이터)이 오프-웨이퍼 기준과 테스트하의 웨이퍼에 대한 검사 데이터의 정렬을 위해 사용될 수 있기 때문에, 구현이 더욱 실질적이다.An alternative die-to-database check mode is a logical extension of the check mode using the "off-wafer" In this case, "database" is the rendered image generated from the design data and the process simulation as described above. Thus, a "standard reference die" generated from the inter-wafer inspection image (possibly a statistical increase that can be performed as described herein) and a "standard reference die" generated from the design data and process modeling Quot ;, the database based inspection can be regarded as a logical extension of the "off-wafer" reference inspection mode. Using standard reference dies generated from design data and process modeling is the most complex wafer inspection mode to implement. While many attempts have been made to implement such an inspection mode, the current performance of an attempted implementation is not suitable due to the computational strength (modeling and detection), image acquisition rate, and image quality challenges of such applications. However, the method described herein is more practical because the conventional absolute reference (e.g., design data) can be used for alignment of inspection data for off-wafer reference and wafer under test.

따라서, 여기에 기술한 방법은, 잠재적으로 매우 유용한 애플리케이션인, 웨이퍼의 서로에 대한 비교를 가능하게 하도록 사용될 수 있다. 웨이퍼-웨이퍼 비교를 사용하는 결함 검사에 대한 하나의 모티베이션은 웨이퍼 제조 프로세스의 누적 공차와 특정 회로 레이아웃의 상호작용으로부터 기인할 수 있는 "체계적 결함 메커니즘"을 탐색하는 것이다. 이러한 탐색 프로세스는 동일한 장치 설계가 그 위에 인쇄되지만 상이하게 처리되는 웨이퍼들을 비교하는 단계를 포함할 수 있다. 가장 결정적인 접근 방식은 단일 또는 다중 변수 실험에서의 프로세스 매개변수를 모듈화하는 것이다(예컨대, 계통적인 DOE 접근 방식을 사용하여). 일 실시예에서, 웨이퍼와 추가적인 웨이퍼(예컨대, 2 이상의 웨이퍼)는 웨이퍼 레벨 프로세스 매개변수 조정을 사용하여 처리되며, 이는 전술한 바와 같이 또는 임의의 다른 적합한 방식으로 수행할 수 있다. 프로세스 매개변수는 결과적인 웨이퍼의 측정가능한 물리적 및/또는 전기적 속성이 그것의 허용가능한 한계에 접근하게 하도록 조정될 수 있다. 또한, 상기 방법은 웨이퍼와 추가적인 웨이퍼 상의 다이에 대한 검사 데이터를 통상적인 표준 기준 다이에 비교함으로써, 웨이퍼 및 추가적인 웨이퍼 상의 결함을 검출하는 단계를 포함할 수 있다. 이러한 방식에서의 웨이퍼 상의 결함 검출은 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 그러한 일 실시예에서, 상기 방법은 "결함"의 검출에 의해 측정되는 바와 같이, 웨이퍼 사이에 구조적 차이가 발생하는지를 판정하는 단계를 포함할 수 있다. 그러한 접근 방식은 통합된 PWQ(iPWQ)라 칭할 수 있다. 이러한 방식에서, 여기에 기술한 상기 방법은 iPWQ의 구현을 가능하게 하도록 사용될 수 있다(예컨대, iPWQ에 대한 표준 기준 다이 접근 방식을 사용하여). 그와 같이, PWQ 방법론은 iPWQ 방법론의 구현을 목적으로 다른 웨이퍼 상의 다이와 통상적 표준 기준 다이의 비교 및 웨이퍼 레벨 프로세스 매개변수 조정을 포함하도록 연장될 수 있다.Thus, the method described herein can be used to enable comparison of wafers to each other, potentially a very useful application. One motivation for defect inspection using wafer-to-wafer comparison is to search for "systematic defect mechanisms" that can result from the interaction of the specific circuit layout with the cumulative tolerances of the wafer fabrication process. This search process may include comparing the wafers to be processed that are printed on but the same device design. The most critical approach is to modularize process parameters in single- or multi-variable experiments (eg, using a systematic DOE approach). In one embodiment, the wafer and additional wafers (e.g., two or more wafers) are processed using wafer level process parameter adjustment, which may be performed as described above or in any other suitable manner. The process parameters may be adjusted so that the measurable physical and / or electrical properties of the resulting wafer approach its acceptable limits. The method may also include detecting defects on the wafer and additional wafers by comparing inspection data for the wafers and additional wafers on the die to a standard reference standard die. Detection of defects on the wafer in this manner can be performed as described further herein. In one such embodiment, the method may include determining whether structural differences between wafers occur, as measured by detection of "defects ". Such an approach can be referred to as an integrated PWQ (iPWQ). In this manner, the method described herein can be used to enable the implementation of iPWQ (e.g., using a standard reference die approach to iPWQ). As such, the PWQ methodology can be extended to include comparison of die on other wafers with conventional standard reference dies and wafer level process parameter adjustments for the purpose of implementing the iPWQ methodology.

대조적으로, 리소그라피 유도 "체계적 결함 메커니즘"의 탐색은, 여기에 완전히 언급된 것과 같이 참고자료로 포함되는 미국 특허 제6,902,855호(Peterson et al)에 개시된 방법과, KLA-Tencor사로부터 상업적으로 입수가능한 PWQ 제품을 사용하여 수행할 수 있다. PWQ는 설계-리소그라피 상호작용을 결정하기 위한 변수로서 초점 및 노출을 사용하여 레티클 샷(shot) 레벨에서의 리소그라피 노광 프로세스 변수를 조정하기 위하여 리소그라피 툴의 특유의 능력에 영향을 준다. 이러한 애플리케이션은 OPC 입증을 위해 종종 사용된다. 하지만, PWQ는 인쇄된 웨이퍼 상의 다이와 조정된 초점 및/또는 노출 매개변수와의 직접 비교에 제한된다. 에치, 퇴적, 열 처리, 화학적-기계적 연마(CMP) 등과 같은 프로세스 단계와 관련된 다른 프로세스 변수의 영향은, 이들 변수를 단지 웨이퍼 레벨에서 조정할 수 있기 때문에, 직접적으로 평가할 수 없다. 하지만, 이들 프로세스 변수와 관련되거나 그것에 의해 기인하는 체계적 결함 메커니즘은 여기에 기술한 방법을 사용하여 탐색할 수 없다. 특히, 여기에 기술한 방법은 웨이퍼-웨이퍼 비교에 의해 PWQ 타입 애플리케이션에서의 비-리소그라피 프로세스 조정을 검사하기 위해 사용할 수 있다.In contrast, the search for a lithographic induction "systematic defect mechanism" is based on a method disclosed in U.S. Patent No. 6,902,855 (Peterson et al), which is incorporated herein by reference in its entirety, as well as a method that is commercially available from KLA-Tencor It can be done using PWQ products. The PWQ affects the unique ability of the lithography tool to adjust the lithographic exposure process parameters at the reticle shot level using focus and exposure as variables to determine the design-lithographic interaction. These applications are often used for OPC verification. However, the PWQ is limited to a direct comparison of the die on the printed wafer with the adjusted focus and / or exposure parameters. The impact of other process variables associated with process steps such as etch, deposition, heat treatment, chemical-mechanical polishing (CMP), etc. can not be directly assessed because these parameters can only be adjusted at the wafer level. However, systematic fault mechanisms associated with or caused by these process variables can not be exploited using the methods described herein. In particular, the methods described herein can be used to inspect non-lithographic process adjustments in PWQ type applications by wafer-to-wafer comparison.

스캐닝 기반 결함 검출 시스템에서, 다이-다이 이미지 감산(image subtraction)은 차분 이미지 레지스트레이션(difference image registration) 노이즈를 줄여서 결함에 대한 더 양호한 감지도를 가능하게 하기 위한 "서브-픽셀" 이미지 정렬에 의해 수행할 수 있다. 결함은 1 이상의 임계치를 초과하는 차분 이미지에서의 픽셀을 검출함으로써 식별할 수 있다. 스캐닝 기반 이미지 취득 프로세스는 RTA라 종종 칭하는 피드백 메커니즘을 포함한다. 이러한 메커니즘은 취득되고 있는 이미지와, 현재의 이미지 이전에 종종 동일한 웨이퍼로부터 취득한 이미지를 정밀하게 정렬한다. 검사 시스템의 구성에 따라, 피드백 메커니즘은 광-기계적 접근 방식, 전기-기계적 접근 방식, 및 전자/알고리즘 접근 방식의 조합을 포함할 수 있다.In a scanning-based defect detection system, die-to-die image subtraction is performed by "sub-pixel" image alignment to reduce difference image registration noise to enable better detection of defects can do. A defect can be identified by detecting a pixel in a difference image that exceeds one or more thresholds. The scanning-based image acquisition process includes a feedback mechanism, often referred to as RTA. This mechanism precisely aligns the image being acquired with the image often taken from the same wafer prior to the current image. Depending on the configuration of the inspection system, the feedback mechanism may include a combination of a photo-mechanical approach, an electromechanical approach, and an electronic / algorithm approach.

일 실시예에서, 여기에 기술한 방법은 테스트하의 웨이퍼에 대하여 취득한 이미지보다 저장된 이미지를 기준으로 사용하는 RTA를 포함한다. 저장된 이미지는 "표준 기준 웨이퍼" 또는 기준 웨이퍼의 이미지일 수 있다. 테스트 하 웨이퍼 상의 각 다이는 표준 기준 웨이퍼 상의 대응하는 다이와 비교될 수 있다. 여기에 기술한 실시예가 두 웨이퍼 또는 웨이퍼의 이미지의 비교를 포함하는 것으로 기술하지만, 실시예는 2 이상의 웨이퍼의 검사에 의해 취득한 임의의 데이터를 비교하는 단계를 포함할 수 있다.In one embodiment, the method described herein includes an RTA that uses a stored image as a reference based on images acquired for a wafer under test. The stored image may be a "standard reference wafer" or an image of a reference wafer. Each die on a wafer under test can be compared to a corresponding die on a standard reference wafer. While the embodiments described herein are described as including a comparison of images of two wafers or wafers, an embodiment may include comparing any data acquired by inspection of two or more wafers.

도 4는 웨이퍼-웨이퍼 비교를 수행하기 위한 컴퓨터 구현 방법의 각종 실시예를 도시한다. 도 4에 도시한 단계는 방법을 수행하는데 필수적인 것은 아니다. 1 이상의 단계가 도 4에 도시한 방법으로부터 생략될 수 있으며, 그 방법은 여전히 본 실시예의 범주 내에서 실시할 수 있다.Figure 4 illustrates various embodiments of a computer implemented method for performing wafer-to-wafer comparisons. The steps shown in Fig. 4 are not necessary to perform the method. One or more steps may be omitted from the method shown in FIG. 4, and the method may still be practiced within the scope of the present embodiment.

단계 220에 나타낸 바와 같이, 본 방법은 웨이퍼-웨이퍼 비교를 포함한다. 일 실시예에서, 웨이퍼-웨이퍼 비교는 단계 222에 나타낸 바와 같이 기준 웨이퍼 이미지를 테스트 웨이퍼 이미지와 비교하는 단계를 포함한다. 예컨대, 여기에 기술한 방법에 사용된 기준 웨이퍼 이미지는 전체 기준 웨이퍼의 저장된 이미지일 수 있다. 기준 웨이퍼 이미지와 테스트 웨이퍼 이미지의 비교는 여기에 기술한 바와 같이 수행할 수 있다. 대안적으로, 단계 224에 나타낸 바와 같이, 웨이퍼-웨이퍼 비교는 표준 기준 다이 이미지와 웨이퍼(예컨대, 기준 또는 테스트 웨이퍼) 상의 모든 다이의 이미지와 비교하는 단계를 포함할 수 있다.As shown in step 220, the method includes wafer-wafer comparison. In one embodiment, the wafer-to-wafer comparison includes comparing the reference wafer image to a test wafer image, as shown in step 222. For example, the reference wafer image used in the method described herein may be a stored image of the entire reference wafer. A comparison of the reference wafer image and the test wafer image can be performed as described herein. Alternatively, as shown in step 224, the wafer-to-wafer comparison may include comparing the standard reference die image with the image of all the die on the wafer (e.g., a reference or test wafer).

일 실시예에서, 상기 방법은 검사 데이터, 표준 기준 다이, 및 표준 기준 다이 기반 검사에 대한 섭동(攝動) 매트릭스 내의 표준 기준 다이와 관련된 웨이퍼 노이즈의 표현(noise representation)을 사용하여 웨이퍼 상의 결함을 검출하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 표준 기준 다이와 연관된 웨이퍼 노이즈의 비교적 콤팩트한 표현을 섭동 매트릭스의 형태로 사용하는 것을 포함할 수 있다. 예컨대, 기준 웨이퍼 상의 기준 다이의 이미지는 섭동 매트릭스, 또는 다이 픽셀이 기준 웨이퍼 상의 다이로부터 다이로 어떻게 변하는지를 보여주는 다른 적합한 데이터 구조에 부가하여 저장될 수 있다. 전체 기준 웨이퍼 이미지 대신에 섭동 매트릭스에 부가하여 기준 다이의 이미지를 저장하는 것은 기준 웨이퍼의 더욱 콤팩트한 표현이 저장되게 허용한다. 이러한 방식에서, 섭동 매트릭스는 기준 웨이퍼 이미지 사이즈를 실질적이고 허용가능한 방식으로 구현될 수 있는 레벨까지 저감시키기 위하여 기준 웨이퍼의 표현에 포함될 수 있다. 그와 같이, 상기 방법은 노이즈 시그네처의 섭동 매트릭스 표현을 사용하는 것을 포함하는 표준 기준 다이 기반 검사를 포함할 수 있다.In one embodiment, the method includes detecting a defect on a wafer using a noise representation of wafer noise associated with a standard reference die in a perturbation matrix for inspection data, a standard reference die, and a standard reference die based inspection . In this manner, the method may include using a relatively compact representation of wafer noise associated with a standard reference die in the form of a perturbation matrix. For example, the image of the reference die on the reference wafer may be stored in addition to the perturbation matrix, or other suitable data structure showing how the die pixel changes from die to die on the reference wafer. Storing the image of the reference die in addition to the perturbation matrix instead of the entire reference wafer image allows a more compact representation of the reference wafer to be stored. In this manner, the perturbation matrix may be included in the representation of the reference wafer to reduce the reference wafer image size to a level that can be implemented in a substantial and acceptable manner. As such, the method may include a standard reference die based test that includes using a perturbation matrix representation of the noise signature.

기준 웨이퍼 이미지 및 대응하는 섭동 매트릭스를 생성하는 단계는 기준 웨이퍼로부터 취득한 표준 기준 다이를 사용하는 표준 기준 다이 기반 검사를 포함할 수 있다(즉, 일종의 자기 참조). 기준 웨이퍼 상의 단일의 표준 기준 다이 이미지는, 기준 웨이퍼 상의 각각의 다이에 대하여 저장된 압축된 차분 데이터로 섭동된 베이스라인 이미지뿐만 아니라, 감지도에 대하여 RTA 성능이 갖는 임의의 영향을 저감하기 위하여 구동시 RTA 기준으로서 사용될 수 있다. 저장된 차분 데이터는 다이 스와스 당 전체 주의 영역 크기에 대한 제한 부가뿐만 아니라, 압축 알고리즘을 통하여 저감될 수 있다. 구동시, 차분 이미지 데이터의 섭동 매트릭스는 로드되는 각각의 대응하는 표준 기준 다이 스와스에 대한 스와스로 전체 기준 웨이퍼에 대하여 로드될 수 있다. 전체 웨이퍼에 대한 섭동 매트릭스 데이터 용적은 약 1Gb 내지 약 3Gb 정도일 수 있으며, 표준 기준 다이에 대한 데이터 용적은 1Gb 정도일 수 있다. 표준 기준 다이 비교를 포함하는 여기에 기술한 모든 다른 방법은 전술한 바와 같은 섭동 매트릭스를 사용할 수 있다.The step of generating the reference wafer image and the corresponding perturbation matrix may comprise a standard reference die based inspection using a standard reference die obtained from the reference wafer (i.e., a type of self referencing). The single standard reference die image on the reference wafer is used to detect not only the baseline image perturbed with the compressed differential data stored for each die on the reference wafer but also the baseline image perturbed during the driving to reduce any effects of RTA performance on the sensitivity. It can be used as an RTA reference. The stored difference data can be reduced through a compression algorithm as well as a constraint on the size of the total attention area per die swath. Upon actuation, the perturbation matrix of the differential image data may be loaded for the entire reference wafer into a swath for each corresponding reference standard die swath to be loaded. The perturbation matrix data volume for the entire wafer may be on the order of about 1 Gb to about 3 Gb, and the data volume for the standard reference die may be on the order of 1 Gb. All other methods described herein, including standard standard die comparison, can use the perturbation matrix as described above.

섭동 매트릭스는 열에 m개의 다이가 있는 경우, P1(x, y), Dx(1, 2), Dy(1, 2) Diff1,2(x, y); P2(x, y), Dx(2, 3), Dy(2, 3) Diff2,3(x, y); … Pm-1(x, y), Dx(m-1, m), Dy(m-1, m) Diffm-1,m(x, y)로 규정할 수 있고, 여기에서 Pi(x, y)는 위치(x, y)에서의 i번째 다이에서의 픽셀 값이며, Dx(i, i+1), Dy(i, i+1)은 다이(i+1)에 대한 다이(i)의 각각 x 및 y에서의 오프셋이며, Diffi,i+1(x, y)은 다이(i+1)가 그것을다이(i)의 프레임과 정렬시키기 위해 x 및 y 오프셋으로 시프된 후, 위치 x, y에서의 다이(i)에 대한 다이(i+1)의 차분 그레이(gray) 레벨이다. 하지만, 보간 에러 경계 내에서, P2(x, y)는 P1(x, y), Dx(1, 2), Dy(1, 2) 및 Diff1,2(x, y)로부터 재구성될 수 있다. 또한, Pi(x, y)는 각각의 다이에 대하여 이들 단계를 연속적으로 인가하여 임의의 다른 다이에 대하여 재구성될 수 있다. 물론, 이는 보간 에러 및 다이로부터 다이로의 이미지의 점진적인 블러(blur)를 혼합할 수 있다.The perturbation matrix is defined as P 1 (x, y), D x (1, 2), D y (1, 2) Diff 1,2 (x, y); P 2 (x, y), D x (2, 3), D y (2, 3) Diff 2,3 (x, y); ... P m-1 (x, y ), D x (m-1, m), D y (m-1, m) Diff m-1, m (x, y) can be defined by, where P i a (x, y) is the position (x, y) i and the pixel value of the second die, D x (i, i + 1), D y (i, i + 1) is a die (i + 1) in the (X, y) is the offset at x and y of each of the dies i for i and Diff i, i + 1 (x, y) is the offset at x and y for aligning it with the frame of die i. Is the difference gray level of die (i + 1) relative to die (i) at position x, y after being shifted. However, from the error in the interpolated boundary, P 2 (x, y) is P 1 (x, y), D x (1, 2), D y (1, 2) and 1,2 Diff (x, y) Can be reconstructed. In addition, P i (x, y) can be reconfigured for any other die by applying these steps sequentially for each die. Of course, this can mix interpolation errors and a gradual blur of the image from the die to the die.

하지만, 표준 기준 다이가 저장되고, 모든 보간이 각각의 다이에 대하여 수행되는 경우에는, 전술한 이행(移行) 에러 축적은 발생하지 않는다. 오히려, 에러는 오프셋 및 차분 이미지가 주어지면, 표준 기준 다이로부터 웨이퍼 상의 임의의 다이를 재구성하는 것과 관련된 단순한 보간 에러이다. 따라서, 단계 226에 나타낸 바와 같이, 상기 방법은 표준 기준 다이에 대하여 각 다이의 차분 이미지를 보존하는 단계를 포함할 수 있다.However, if a standard reference die is stored and all interpolation is performed for each die, the above-described migration error accumulation does not occur. Rather, the error is a simple interpolation error associated with reconstructing any die on the wafer from the standard reference die given the offset and differential image. Thus, as shown in step 226, the method may include preserving the differential image of each die with respect to a standard reference die.

도 5는 비교를 위한 기준으로서 차분 이미지를 사용하는 웨이퍼-웨이퍼 비교를 수행하는 방법의 일 실시예를 도시한다. 예컨대, 기준 웨이퍼(250)는 복수의 다이[(0,0), (0,1), … (4,2)]를 포함하고, 그것의 하나(예컨대, 다이(2,2))가 표준 기준 다이로서 지정된다. 테스트 웨이퍼에 대한 비교를 위해 사용되는 기준 웨이퍼(252)는 표준 기준 다이 이미지(254)에 대하여 각각의 다이에 대한 차분 이미지[Diff(0,0), Diff(0,1) … Diff(4,2)]를 저장하여 생성된다. 그 후, 테스트 웨이퍼(256)는 기준 웨이퍼(252)와 비교될 수 있다. 예컨대, 도 5에 도시한 바와 같이, 테스트 다이(1,3)에 대한 결함 검출은, 표준 기준 다이 이미지(254)와 대응하는 차분 이미지(Diff(1,3))를 부가한 후, 테스트 다이(1,3) 및 기준 다이(1,3) 사이의 차분(258)을 생성하기 위해 테스트 다이(1,3)를 감산하여 수행할 수 있다.Figure 5 illustrates an embodiment of a method for performing a wafer-to-wafer comparison using a differential image as a basis for comparison. For example, the reference wafer 250 may include a plurality of die [(0,0), (0,1), ... (4,2), one of which (e.g., die (2,2)) is designated as a standard reference die. The reference wafer 252 used for the comparison to the test wafer is the difference image [Diff (0,0), Diff (0,1) ...) for each die for the standard reference die image 254 ... Diff (4,2)]. The test wafer 256 can then be compared to the reference wafer 252. For example, as shown in Fig. 5, defect detection for test dies 1 and 3 is performed by adding a standard reference die image 254 and a corresponding difference image Diff (1,3) (1, 3) to produce a difference 258 between the reference die 1, 3 and the reference die 1, 3.

따라서, 임의의 다이(테스트 하)와 표준 기준 다이 사이의 차분 이미지는 콤팩트 방식으로 표현될 수 있다. 손실 압축(lossy compression) 알고리즘은 더 높은 압축도를 달성하기 위해 채택될 수 있다. 그러한 압축 기술에 의해 손실될 수 있는 정보는 기술 자체에 의존한다. 예컨대, 도 4의 단계 228에 나타낸 바와 같이, 상기 방법은 차분 이미지의 중요하지 않은 영역에 대해서는 손실 압축을, 차분 이미지의 중요한 영역에 대해서는 손실 없는 압축을 수행하는 단계를 포함할 수 있다. 이러한 방식에서, 덜 중요한 장치 영역이 더 중요한 영역보다 손실이 크게 허용하도록 "지능적" 압축 기술을 사용할 수 있다. 기준 웨이퍼 이미지에 대하여 유사한 압축 기술을 사용할 수 있다. 예컨대, 단계 230에 나타낸 바와 같이, 상기 방법은 웨이퍼 이미지의 중요하지 않은 영역에 대해서는 손실 압축을 그리고 웨이퍼 이미지의 중요한 영역에 대해서는 손실 없는 압축을 수행하는 단계를 포함할 수 있다.Thus, the differential image between any die (under test) and a standard reference die can be represented in a compact manner. A lossy compression algorithm may be employed to achieve a higher degree of compression. The information that can be lost by such a compression technique depends on the technology itself. For example, as shown in step 228 of FIG. 4, the method may include performing lossy compression for non-critical areas of the differential image and lossless compression for critical areas of the differential image. In this manner, "intelligent" compression techniques can be used such that less critical device areas allow greater loss than more critical areas. Similar compression techniques can be used for reference wafer images. For example, as shown in step 230, the method may include performing lossy compression for non-critical areas of the wafer image and lossless compression for critical areas of the wafer image.

대안적으로, 상기 방법은 단계 232에 나타낸 바와 같이, 표준 기준 다이에 대하여 픽셀당 차분 통계치를 보존하는 단계를 포함할 수 있다. 예컨대, 단계 234에 나타낸 바와 같이, 상기 방법은 콘텍스트 유형마다 다이당 통계치를 보존하는 단계를 포함할 수 있다. 각각의 다이는 1 이상의 콘텍스트 유형으로 분리될 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 그러한 일 예에서, 상기 방법은 다른 그룹의 다이에 대하여 표준 기준 다이 내의 각각의 (x,y) 위치에서의 차분에 대하여 통계치를 기록하는 단계를 포함할 수 있다. 단계 236에 나타낸 바와 같이, 콘텍스트는 다이 영역일 수 있다. 대안적으로, 단계 238에 나타낸 바와 같이, 콘텍스트는 백그라운드 타입일 수 있다. 픽셀당 차분 통계치는 임의의 적합한 방식으로 결정할 수 있다.Alternatively, the method may include preserving differential statistics per pixel for a standard reference die, as shown in step 232. For example, as shown in step 234, the method may include storing per-die statistics for each context type. Each die may be separated into one or more context types, which may be performed as further described herein. In one such example, the method may include recording statistics for a difference at each (x, y) position in a standard reference die for a different group of die. As shown in step 236, the context may be a die area. Alternatively, as shown in step 238, the context may be a background type. The differential statistics per pixel may be determined in any suitable manner.

다른 예에서, 웨이퍼는 N개의 반경 방향 섹터 및/또는 M 개의 환형 링으로 분리할 수 있다. 예컨대, 도 6에 도시한 바와 같이, 웨이퍼(260)는 환형 링(1, 2 및 3)으로 분리할 수 있다. 웨이퍼(260)를 3개의 환형 링으로 분리한 것으로 도시하였지만, 웨이퍼는 임의의 적합한 수의 환형 링으로 분리할 수 있다는 것을 이해해야 한다. 또한(또는 대안적으로), 도 7에 도시한 바와 같이, 웨이퍼(260)는 웨이퍼 섹터(A, B, C, D, E, F, G 및 H)로 분리할 수 있다. 웨이퍼(260)를 8개 섹터로 분리한 것으로 도시하였지만, 웨이퍼는 임의의 적합한 수의 섹터로 분리할 수 있다는 것을 이해해야 한다. 상기 방법은, 도 4의 단계 240에 나타낸 바와 같이, 웨이퍼 섹터 및/또는 환형 링마다 픽셀당 통계치를 보존하는 단계를 포함한다. 그러한 일 예에서, (N+M) 구획 각각에 대하여, (x, y) 위치에서의 표준 기준 다이 이미지에 대한 차분의 평균 및 표준 편차가 기록될 수 있다. 8 비트 평균 및 8 비트 표준 편차는 각각의 (x, y) 위치에서 2×(N+M) 바이트를 저장하는 것 대(對) 웨이퍼 상의 다이당 차분의 바이트를 저장하는 것을 포함한다. 이러한 방식에서, 웨이퍼 상에 100개의 다이가 있는 경우, 8개의 섹터 및 8개의 환형 링을 사용하는 것은 (x, y) 위치당 32 바이트 대 (x, y) 위치당 100 바이트를 필요로 한다. 추가의 예에서, 상기 방법은, 단계 242에 나타낸 바와 같이, 콘텍스트 타입마다 전술한 바와 같은 웨이퍼 섹터 및/또는 환형당 통계치를 보존하는 것을 포함한다. 콘텍스트 타입은 단계 244에 나타낸 바와 같이, 다이 영역에 기초할 수 있다. 대안적으로, 콘텍스트 타입은 단계 246에 나타낸 바와 같이 백그라운드 타입에 기초할 수 있다. 콘텍스트 타입당 통계치 및 콘텍스트 타입은 여기에 기술하는 바와 같이 결정할 수 있다.In another example, the wafer may be divided into N radial sectors and / or M annular rings. For example, as shown in FIG. 6, the wafer 260 can be separated into annular rings 1, 2, and 3. Although shown as separating the wafer 260 into three annular rings, it should be understood that the wafer can be separated into any suitable number of annular rings. In addition (or alternatively), the wafer 260 can be separated into wafer sectors A, B, C, D, E, F, G and H, as shown in FIG. It should be appreciated that although the wafer 260 is shown as being divided into eight sectors, the wafer may be separated into any suitable number of sectors. The method includes storing per-pixel statistics for each wafer sector and / or annular ring, as shown in step 240 of FIG. In such an example, for each (N + M) segment, the mean and standard deviation of the difference for the standard reference die image at the (x, y) location may be recorded. The 8 bit mean and the 8 bit standard deviation include storing 2 x (N + M) bytes at each (x, y) location versus bytes per die on the wafer. In this way, if there are 100 dies on the wafer, using 8 sectors and 8 annular rings requires 100 bytes per position (x, y) of 32 bytes per (x, y) position. In a further example, the method includes preserving the wafer sector and / or annotation statistics as described above for each context type, as shown in step 242. [ The context type may be based on the die area, as shown in step 244. Alternatively, the context type may be based on a background type, as shown in step 246. Statistics per Context Type and Context Type can be determined as described herein.

도 8은 환형 링당 기초로 표준 기준 다이 상의 각각의 (x, y) 위치에 대하여 통계치가 저장되는 경우 그러한 기술이 어떻게 수행되는지를 도시한다. 특히, 도 8은 기준으로서의 환형에 의해 차분 통계치를 사용하여 웨이퍼-웨이퍼 비교를 수행하기 위한 방법의 일 실시예를 도시한다. 예컨대, 도 8에 도시한 바와 같이, 기준 웨이퍼(262)는 복수의 다이 [(0,0), (0,1) … (4,2)]을 포함하고, 그것의 하나(예컨대, 다이(2,2))가 표준 기준 다이로서 지정된다. 테스트 웨이퍼에 대한 비교를 위해 사용된 기준 웨이퍼(264)는 픽셀(x, y)에서의 평균 차분과, 각 환형에 대한 표준 기준 다이 이미지(266)에 대한 픽셀(x, y)에서의 차분의 표준 편차를 결정하여 생성된다. 테스트 웨이퍼(268)(환형이 테스트 웨이퍼 상에 오버레이된 도 8에 도시된)는 기준 웨이퍼(264)와 비교될 수 있다. 예컨대, 테스트 다이(1,3) 및 표준 기준 다이 이미지(266) 사이의 차분(270)을 생성하기 위해서, 테스트 다이(1,3)를 표준 기준 다이 이미지(266)로부터 감산한다. 도 8에 추가로 도시한 바와 같이, 테스트 다이(1,3)는 환형(1) 및 환형(2) 내부에 위치한다. 따라서, 단계 272에서, 차분 이미지(270)는 환형 기초로 테스트 다이 내의 각각의 (x, y) 위치에서의 통계치(274)(예컨대, 평균 차분 +/- k × 차분의 표준 편차)와 비교된다. 즉, 환형(1) 내부에 위치된 테스트 다이의 부분에 대한 차분(270)은 환형(1)에 대한 통계치와 비교되고, 환형(2) 내부에 위치한 테스트 다이의 부분에 대한 차분(270)은 환형(2)에 대한 통계치와 비교된다.Figure 8 shows how such a technique is performed when statistics are stored for each (x, y) location on a standard reference die on a per ring-ring basis. In particular, Figure 8 illustrates one embodiment of a method for performing wafer-to-wafer comparisons using differential statistics by reference annulus. 8, the reference wafer 262 includes a plurality of die [(0, 0), (0, 1) ... (4,2), one of which (e.g., die (2,2)) is designated as a standard reference die. The reference wafer 264 used for the comparison to the test wafer has an average difference in the pixel (x, y) and a difference in the pixel (x, y) for the standard reference die image 266 for each annulus And is generated by determining the standard deviation. The test wafer 268 (shown in FIG. 8, where the annulus is overlaid on the test wafer) can be compared to the reference wafer 264. For example, to produce a difference 270 between the test die 1, 3 and the standard reference die image 266, the test die 1, 3 is subtracted from the standard reference die image 266. As further shown in Fig. 8, the test dies 1, 3 are located inside annular 1 and annular 2. Thus, at step 272, the difference image 270 is compared to the statistics 274 (e.g., the mean difference +/- k x the standard deviation of the differences) at each (x, y) location in the test die on an annular basis . That is, the difference 270 for the portion of the test die located within the annulus 1 is compared to the statistics for the annulus 1 and the difference 270 for the portion of the test die located within the annulus 2 It is compared with the statistics for annulus (2).

표준 기준 다이의 더욱 콤팩트한 저장은 표준 기준 다이 데이터를 통계적 기초로 저장(예컨대, 다이를 프레임으로 분리, 프레임을 다른 구조로(비닝(binning)된 콘텍스트) 함으로써 실현될 수 있고, 각각의 프레임/콘텍스트에 대하여, 다이-다이 차분의 평균/표준 편차를 저장한다. 예컨대, 도 4의 단계 248에 나타낸 바와 같이, 상기 방법은 표준 기준 다이에 대한 콘텍스트 통계치마다 프레임마다의 다이당 보존하는 단계를 포함할 수 있다. 예컨대, 도 9에 도시한 바와 같이, 다이의 배열[(0,0), (0,1) … (M,N)](276)이 웨이퍼(278) 상에 형성될 수 있다. 또한, 도 10에 도시한 바와 같이, 다이(276)는 프레임(280)으로 분리될 수 있다. 다이는 프레임(280)으로 분리될 수 있고, 각 프레임의 픽셀은 콘텍스트에 기초하여 분리될 수 있다(도 10에서는 도시 생략). 각 다이 내의 각 프레임의 각각의 다른 콘텍스트에 대한 차분 통계치는 여기에 기술한 바와 같이 결정할 수 있다.More compact storage of standard reference dies can be realized by storing standard reference die data on a statistical basis (e.g., by dividing the die into frames and frames into different structures (binned contexts), and each frame / For example, as shown in step 248 of Figure 4, the method includes storing per die per frame per contextual statistics for a standard reference die. (0,0), (0,1) ... (M, N)] 276 may be formed on the wafer 278, as shown in FIG. 9 The die 276 can be separated into a frame 280. The die can be separated into a frame 280 and the pixels of each frame can be separated based on the context (Not shown in Fig. 10). The differential statistics for each of the different contexts of each frame can be determined as described herein.

도 11은 콘텍스트에 의해 분리된 차분 프레임 통계치를 사용하여 웨이퍼-웨이퍼 비교를 수행하는 방법을 도시한다. 도 11에 도시한 바와 같이, 기준 웨이퍼(282)는 다수의 다이[(0,0), (0,1) … (4,2)]를 포함하고, 그것의 하나(예컨대, 다이(2,2))가 표준 기준 다이로서 지정된다. 테스트 웨이퍼(286)와의 비교를 위해 사용된 기준(284)은 프레임(280)으로 분리된 다이(276)와 표준 기준 다이 이미지(288)를 포함한다. 프레임(280)은 전술한 바와 같이 구성할 수 있다. 기준(284)은 각각의 다이에 대한 각각의 프레임 내의 각각의 콘텍스트와 각각의 프레임에 대한 차분의 평균과 표준편차와 같은 통계치(290)를 결정하여 생성할 수 있다. 테스트 웨이퍼(286) 상의 결함을 검출하기 위해, 테스트 웨이퍼는 기준(284)과 비교된다. 예컨대, 테스트 다이(1,3) 내의 결함을 검출하기 위해, 테스트 다이(1,3)는 표준 기준 다이 이미지(288)로부터 감산되어, 테스트 다이와 표준 기준 다이 이미지 사이의 차분(292)을 생성한다. 단계 294에서, 차분(292)은 콘텍스트 마다의 프레임당 기초로, 기준 웨이퍼(282)의 다이(1,3)에 대한 통계치(290)(예컨대, 각각의 프레임 및 콘텍스트에 대한 차분의 평균 및 표준편차)와 비교된다.Figure 11 illustrates a method for performing wafer-to-wafer comparisons using differential frame statistics separated by context. 11, reference wafer 282 includes a plurality of die [(0,0), (0,1) ... (4,2), one of which (e.g., die (2,2)) is designated as a standard reference die. The reference 284 used for comparison with the test wafer 286 includes a die 276 separated by a frame 280 and a standard reference die image 288. [ The frame 280 can be configured as described above. The criteria 284 may be generated by determining statistics 290 such as the mean and standard deviation of the differences for each context and each frame within each frame for each die. To detect defects on the test wafer 286, the test wafer is compared to a reference 284. For example, to detect defects in test dies 1,3, test dies 1,3 are subtracted from standard reference die image 288 to produce a difference 292 between the test die and standard reference die image . In step 294, the difference 292 includes statistics 290 for the dies 1, 3 of the reference wafer 282 (e.g., the average of the differences for each frame and context and the standard Deviation).

"표준 기준 다이"가 결함 프리(free)인 알려지지 않으면, 단일 조정이 "폴리싱" 기술을 사용하여 수행될 수 있다(결함 검출은 진정으로 결함 프리한 기준 다이와의 1회 비교를 이용하여 수행할 수 있다). 또한, "폴리싱"은 "고유적이거나" 기대되는 프로세스 변동으로 인하여, 웨이퍼에 걸쳐 기대되는 이미지 변동을 반영하도록 수행할 수 있다. 따라서, "결함 프리" 기준 웨이퍼를 생성하기 위해, 표준 기준 다이 "폴리싱"을 기준 웨이퍼 상의 모든 다이에 대하여 수행할 수 있다.If the "standard reference die" is not known to be defect free, then a single adjustment can be performed using a "polishing" technique (defect detection can be performed using a single comparison with a truly defect- have). In addition, "polishing" can be performed to reflect the expected image variations across the wafer due to "inherent" or expected process variations. Thus, a standard reference die "polishing" can be performed on all dies on the reference wafer to produce a "defect free" reference wafer.

표 1은, 40mm × 40mm인 최대 다이 사이즈, 90nm인 가장 작은 검사 픽셀 사이즈, 44개인 웨이퍼 상의 최대 사이즈 다이의 수, 1.975E+11인 최대 사이즈 다이 내의 픽셀 수, 512×512 픽셀인 프레임 사이즈, 7.535+0.5인 최대 사이즈 다이당 프레임, 2바이트인 차분의 평균 차분 및 표준 편차를 저장하기 위한 바이트, 0.91 G픽셀인 최대 사이즈 다이의 스와스당 픽셀, 217인 최대 사이즈 다이당 스와스, 및 2048 픽셀 높이 스와스를 상정하여, 전술한 바와 같은 각종 웨이퍼-웨이퍼 비교에 대한 기준 데이터의 대략의 사이즈를 나타낸다. 표준 기준 다이는 2K 높이 센서를 상정하여, 스와스당 197 G픽셀 또는 0.91 G픽셀을 포함한다. 또한, 기준 웨이퍼 상의 각 다이에 대한 차분 이미지 또는 그것의 일부 압축된 형태가 저장되어야 한다.Table 1 shows the maximum die size of 40 mm x 40 mm, the smallest test pixel size of 90 nm, the number of maximum size dice on 44 wafers, the number of pixels in the maximum size die of 1.975E + 11, the frame size of 512 x 512 pixels, A maximum size of 7.535 + 0.5 frames per die, a byte to store the average difference and standard deviation of the difference of 2 bytes, a pixel per swath of a maximum size die of 0.91 G pixels, a maximum size of 217 swaths per die, and 2048 pixels Assumes a height swath and represents the approximate size of the reference data for various wafer-wafer comparisons as described above. A standard reference die, assuming a 2K height sensor, contains 197 G pixels or 0.91 G pixels per swath. In addition, a differential image for each die on the reference wafer or some compressed form thereof must be stored.

방법Way 데이터 사이즈(Gbytes)Data size (Gbytes) 저장된 차분 이미지(압축 없음)Stored differential image (no compression) 8727.88727.8 전체 픽셀의 0.1%에서의 차분 이미지Difference image at 0.1% of total pixels 8.78.7 픽셀 섹터 기반 통계당: 8섹터Per pixel sector based statistics: 8 sectors 3160.53160.5 픽셀 링 기반 통계당: 8개의 환형 링Per pixel ring-based statistics: 8 annular rings 3160.53160.5 픽셀 섹터 + 링기반 통계당: 8개 섹터, 8개 링Per pixel sector + ring based statistics: 8 sectors, 8 rings 6321.06321.0 프레임 기반 통계: 512×512 프레임Frame-based statistics: 512 × 512 frames 0.06660.0666 프레임 + 콘텍스트 기반 통계: 8 콘텍스트/프레임Frame + Context-based Statistics: 8 Context / Frame 0.53270.5327

표 1은 차분 이미지를 저장하기 위한 데이터 사이즈가 다이당 콘텍스트 기반 통계 및 프레임을 저장하기 위한 데이터 사이즈보다 훨씬 크다는 것을 보여준다. 하지만, 최대 차분을 갖는 일부의 차분 픽셀(예컨대, 0.1%)과 중요 영역에 있는 것을 저장하면, 데이터 사이즈 요건을 8727.7 기가바이트로부터 8.7기가바이트로 저감한다.Table 1 shows that the data size for storing the differential image is much larger than the data size for storing context-based statistics and frames per die. However, storing some difference pixels (e.g., 0.1%) with the largest difference and those in the critical area reduces the data size requirement from 8727.7 gigabytes to 8.7 gigabytes.

테스트 웨이퍼 상의 다이는 검사 데이터의 복수의 스와스를 생성하기 위해, 서펜타인(serpentine) 스캔 경로를 사용하여 복수의 스캐닝될 수 있다. 그러한 스펜타인 스캔의 일 실시예는 도 12에 도시된다. 도 12에 도시한 바와 같이, 테스트 웨이퍼(296)는 다이[(0,0), (0,1) … (4,2)]의 배치를 포함한다. 테스트 웨이퍼(296)는 서펜타인 스캔(298) 및 서펜타인 스캔(300)에 의해 스캐닝된다. 도 12에 두 가지의 서펜타인 스캔을 도시하였지만, 테스트 웨이퍼는 임의의 적합한 회수를 사용하여 스캐닝될 수 있다는 것을 이해해야 한다. 다이당 217 스와스와, 모든 다이열에서 동일한 서펜타인 스캔을 수행하는 것을 상정하면, 표준 기준 다이 스와스와, 스와스 1, 스와스 2 등에 대하여 모든 다이에 대한 압축 차분을 로드할 수 있다. 이 경우, 테스트 웨이퍼 스캔에 대하여 기준 데이터를 저장하기 위한 메모리 요건은 스와스당 (197+8.7)/217 = 0.95 G픽셀이다.The die on the test wafer may be scanned multiple times using a serpentine scan path to generate a plurality of swaths of inspection data. One embodiment of such a span-scan is shown in Fig. As shown in Fig. 12, the test wafer 296 has a die [(0,0), (0,1) ... (4,2)]. The test wafer 296 is scanned by the serpentine scan 298 and the serpentine scan 300. Although FIG. 12 illustrates two types of serpentine scan, it should be understood that the test wafer can be scanned using any suitable number of times. Assuming 217 swars per die and performing the same serpentine scan on all die rows, you can load the compression differentials for all dies against the standard reference die swath, swath 1, swath 2, and so on. In this case, the memory requirement for storing the reference data for the test wafer scan is (197 + 8.7) / 217 = 0.95 G pixels per swath.

표준 기준 다이-다이 검사의 구현에서의 한 가지 고려 사항은 디스크 입력/출력(I/O) 속도이며, 그 속도가 처리량에 영향을 끼칠 수 있다. 디스크 I/O 트래픽(traffic)은 "표준 기준 다이"의 각각의 스와스를 한 번에 로딩함으로써 저감할 수 있다. 그러한 로딩은 웨이퍼 스캔 사이에 다이 레벨 단차(대 인접 웨이퍼 스캔의 서펜타인 패턴)를 갖는, 전체 웨이퍼에 걸친 서펜타인 스캐닝에 사용될 수 있다.One consideration in the implementation of standard reference die-die inspection is the disk input / output (I / O) rate, which may affect throughput. Disk I / O traffic can be reduced by loading each swath of a "standard reference die" at a time. Such loading can be used for serpentine scanning across the entire wafer, with a die level step (a serpentine pattern of vicinal wafer scans) between wafer scans.

물론, 여기에 기술한 모든 검사 모드에 있어서, 디스크에 저장된 하나의 이미지 대 디스크에 저장된 다른 이미지 또는 실시간으로 웨이퍼로부터 막 취득한 메모리 내의 이미지를 사용하여, 검사를 수행할 수 있다. 전술한 모든 데이터는 여기에 추가로 기술하는 바와 같이 저장될 수 있으며, 여기에 기술한 모든 저장 단계는 여기에 기술한 임의의 방식으로 수행할 수 있다.Of course, in all of the inspection modes described herein, the inspection can be performed using one image stored on disk versus another image stored on disk, or an image in memory just acquired from the wafer in real time. All of the foregoing data may be stored as further described herein, and all of the storage steps described herein may be performed in any manner described herein.

전술한 바와 같이, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 단계는 웨이퍼의 검사에 후속하여 수행할 수 있다. 그러한 일 실시예에서, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 단계는 웨이퍼 상에서 검출된 데이터에 대응하지 않는 검사 데이터의 부분이 아닌 웨이퍼 상에서 검출된 결함에 대응하는 검사 데이터의 부분에 대하여 수행된다. 이러한 방식에서, 픽셀 또는 웨이퍼 스페이스로부터 설계 데이터 스페이스로의 매핑 변환은 결함이 발견된 위치에만 인가된다. 즉, 상기 방법은 웨이퍼 상에서 검출된 결함의 설계 데이터 스페이스로의 포스트(post)-프로세스 매핑을 포함할 수 있다. 또한, 결함 검출이 포스트-처리 단계에서 완료된 후에, 정렬(예컨대, 정렬 에러 측정)이 수행될 수 있을지라도, 각각의 다이 내의 정렬 사이트는 검사 동안에 식별될 수 있다. 그 후, 매핑은 설계 데이터 스페이스 내의 결함의 위치를 찾기 위해 인가된다.As described above, the step of determining the position of the inspection data in the design data space can be performed following the inspection of the wafer. In one such embodiment, the step of determining the location of the inspection data in the design data space is performed on the portion of inspection data corresponding to the defect detected on the wafer, rather than the portion of inspection data that does not correspond to the detected data on the wafer . In this way, the mapping transformation from pixel or wafer space to design data space is applied only to the location where the defect is found. That is, the method may include a post-process mapping of the defects detected on the wafer to the design data space. Also, although alignment (e.g., alignment error measurements) may be performed after defect detection is completed in the post-processing step, the alignment sites within each die may be identified during inspection. The mapping is then applied to locate the defects in the design data space.

설계 데이터 스페이스 내의 검사 데이터의 위치 결정이 언제 또는 어떻게 수행되는지에 관계없이, 웨이퍼 상에 1 이상의 결함이 존재하면, 검사 데이터는 웨이퍼 상의 결함 또는 결함들에 대한 데이터를 포함한다. 따라서, 설계 데이터 스페이스 내의 1 이상의 결함의 위치는 설계 데이터 스페이스 내의 검사 데이터의 위치로부터 결정될 수 있다. 또한, 설계 데이터 스페이스 내의 1 이상의 결함의 위치는 설계 데이터 스페이스 내의 검사 데이터의 위치와 동일한 실질적으로 높은(예컨대, 서브-픽셀) 정밀도로 유리하게 결정될 수 있다.Regardless of when and how positioning of the inspection data in the design data space is performed, if there is more than one defect on the wafer, the inspection data includes data on defects or defects on the wafer. Thus, the location of one or more defects in the design data space can be determined from the location of the inspection data in the design data space. In addition, the location of one or more defects in the design data space may be advantageously determined to be substantially high (e.g., sub-pixel) precision equal to the location of the inspection data in the design data space.

여기에 추가로 기술하는 바와 같이, 일부 실시예에서, 검사 데이터는 웨이퍼를 스캐닝함으로써 스와스 내에서 취득된다. 그러한 일 실시예에서, 각각의 스와스 내의 정렬 사이트를 소정의 정렬 사이트에 대한 데이터에 정렬시킴으로써, 검사 데이터의 각각의 스와스는 설계 데이터 스페이스에 개별적으로 정렬될 수 있으며, 이는 전술한 바와 같이 수행될 수 있다.As described further herein, in some embodiments, inspection data is acquired in swath by scanning the wafer. In such an embodiment, by arranging the alignment sites in each swath to the data for a given alignment site, each swath of the inspection data can be individually aligned in the design data space, which is performed as described above .

다른 실시예에서, 검사 데이터의 위치를 결정하는 단계는 설계 데이터 스페이스 내의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 검사 데이터의 스와스의 위치를 결정하는 단계와, 설계 데이터 스페이스 내의 스와스의 위치에 기초하여 설계 데이터 스페이스 내의 검사 데이터의 추가적인 스와스의 위치를 결정하는 단계를 포함한다. 이러한 방식에서, 검사 데이터의 일 스와스는 전술한 바와 같이 설계 데이터 스페이스에 정렬될 수 있고(예컨대, 소정의 정렬 사이트에 대한 데이터와, 검사 데이터의 스와스 내의 웨이퍼 상의 정렬 사이트에 대한 데이터를 정렬시킴으로써), 검사 데이터의 그러한 스와스에 검사 데이터의 추가적인 스와스가 정렬될 수 있다.In another embodiment, determining the location of the inspection data comprises determining the location of the swath of the inspection data in the design data space based on the location of the alignment site in the design data space, determining the location of the swath in the design data space And determining the location of an additional swath of the inspection data in the design data space based on the location of the swath. In this manner, one swath of the inspection data can be aligned to the design data space as described above (e.g., by aligning the data for a given alignment site and the alignment site on the wafer within the swath of the inspection data ), Additional swaths of the inspection data can be aligned to such swaths of the inspection data.

예컨대, 도 13에 도시한 바와 같이, 스와스(예컨대, 스와스 #N+1)는 스와스간 이미지 정렬을 사용하여 이전의 스와스(예컨대, 스와스 #N)에 정렬될 수 있다. 특히, 도 13에 도시한 바와 같이, 스와스 #N+1, #N은 웨이퍼 스페이스 내의 영역(41)에서 부분적으로 중첩한다. 따라서, 양쪽 스와스는 영역(41) 내에 형성된 특징들에 대한 검사 데이터를 포함할 수 있다. 그와 같이, 이들 특징에 대한 검사 데이터는 하나의 스와스를 다른 스와스에 정렬하는데 사용될 수 있다. 그러한 일 예에서, 도 14는 두 개의 연속적인 스캔에 대한 검사 데이터가 중첩하는 웨이퍼 스페이스 내의 스와스간 오버랩 영역(41)에 형성된 특징(41a 및 41b)을 도시한다. 특징(41a 및 41b)은 스와스-스와스 등록을 위해 사용할 수 있다. 특징(41a 및 41b)은 다른 정렬 특징에 대하여 여기에 기술한 바와 같이 추가로 구성될 수 있다.For example, as shown in Figure 13, a swath (e.g., Swath # N + 1) may be aligned to a previous swath (e.g., Swath #N) using swath image alignment. Particularly, as shown in Fig. 13, swaths # N + 1 and # N partially overlap in region 41 in the wafer space. Thus, both swaths may contain inspection data for the features formed in region 41. [ As such, the inspection data for these features can be used to align one swath to another swath. In such an example, Fig. 14 shows the features 41a and 41b formed in the swath overlap region 41 in the wafer space in which the inspection data for two consecutive scans overlap. Features 41a and 41b may be used for Swath-Swarth registration. Features 41a and 41b may be further configured as described herein for other alignment features.

이러한 방식에서, 다일 열 내의 정렬 사이트(들)에 대한 데이터를 디자인 데이터 베이스로부터의 제공된 이미지 또는 여기에 기술한 다른 소정의 정렬 사이트 데이터에 정렬함으로써, 다이 열에 대한 제 1 스와스가 설계 데이터 스페이스에 정렬되면, 다이 열의 후속 스와스는 여기에 기술한 기술을 사용하여 정렬할 수 있다. 특히, 스와스 내의 정렬 특징의 위치와 설계 데이터 스페이스에 대한 스와스 #N의 위치를 사용함으로써, 설계 데이터 스페이스에 대한 스와스 #N+1의 위치를 결정할 수 있다. 예컨대, 스와스 #N+1의 위치를 결정하는 단계는, 스와스 #N 취득 스캔 동안에 취득한 정렬 특징 이미지를 저장하고, 정렬 특징 이미지를 스와스 #N+1의 취득 동안에 취득한 동일한 특징의 이미지에 정렬함으로써 수행된다. 두 정렬 특징 이미지 사이의 비정렬 오프셋을 결정함으로써, 설계 데이터 스페이스에 대한 스와스 #N+1의 절대 위치를 결정할 수 있다.In this way, by arranging the data for the alignment site (s) in the daille column to the provided image from the design database or other predetermined alignment site data described herein, the first swath for the die array is aligned to the design data space , Subsequent swaths of the die row can be aligned using the techniques described herein. In particular, the position of swath # N + 1 relative to the design data space can be determined by using the location of the alignment feature in the swath and the location of Swath # N relative to the design data space. For example, the step of determining the position of swath # N + 1 may include storing an alignment feature image acquired during the swath # N acquisition scan and storing the alignment feature image in an image of the same feature acquired during acquisition of swath # N + . By determining the unaligned offset between the two alignment feature images, the absolute position of swath # N + 1 relative to the design data space can be determined.

검사 레시피의 셋업 동안에, 웨이퍼는 스와스간 오버랩 영역 내의 적합한 정렬 사이트를 결정하기 위해 연속적인 스와스 사이의 비교적 큰 오버랩(예컨대, 50% 오버랩)으로 스캐닝될 수 있다. 이들 사이트 위치를 사용하여, 대응하는 이전의 스와스에 대한 각각의 스와스의 위치를 결정할 수 있다. 소정의 정렬 사이트를 웨이퍼 상의 정렬 사이트에 정렬시키기 위하여 전술한 방법을 사용하여 결정된 설계 데이터 스페이스에 대한 제 1 스와스의 위치와, 제 1 및 제 2 스와스 사이의 오버랩 영역 내의 정렬 사이트를 사용하여 결정된 것에 대한 제 2 스와스의 시프트를 사용하여, 설계 데이터 스페이스에 대한 제 2 스와스의 절대 위치를 결정할 수 있다. 각각의 후속 스와스에 대하여 이러한 프로시저를 반복함으로써, 전체 다이에 대한 픽셀이 설계 데이터 스페이스로 매핑될 수 있다.During the setup of the inspection recipe, the wafer may be scanned with a relatively large overlap (e.g., 50% overlap) between successive swaths to determine an appropriate alignment site within the swath overlap region. These site locations can be used to determine the location of each swath for the corresponding previous swath. The position of the first swath for the design data space determined using the method described above to align the given alignment site to the alignment site on the wafer and the alignment site within the overlap area between the first and second swaths The second swath shift for the determined one can be used to determine the absolute position of the second swath for the design data space. By repeating this procedure for each subsequent swath, the pixels for the entire die can be mapped to the design data space.

그 후, 각각의 검사 스와스(즉, 스와스 사이의 오버랩이 다이가 완전히 스캐닝되는 것을 보장하는 최소 오버랩인 검사 동안에 사용된 스와스) 내에 적어도 하나의 사이트가 존재하도록 적합한 정렬 사이트가 선택될 수 있다(전술한 방법을 사용하여). 설계 데이터 스페이스 내의 이들 정렬 사이트의 위치는 각각의 정렬 사이트의 패치 이미지와 함께 검사 레시피 내에 저장된다. 검사 동안에, 각각의 스와스에 대하여, 대응하는 정렬 사이트가 레시피로부터 탐색되고, 그것의 위치는 검사 시스템에 의해 취득된 픽셀 스트림 내에서 결정된다. 정렬 사이트가 픽셀 스트림 내에 일단 위치되면, 교차-상호 연관 또는 다른 이미지 매칭 기술을 사용하여, 검사 스와스 내의 픽셀의 위치를 설계 데이터 좌표 스페이스 내에서 서브-픽셀 정밀도도 결정할 수 있다. 이 방법의 하나의 이점은 검사 스와스는 비교적 작은 오버랩으로 취득할 수 있다(그리하여 속도를 향상함)는 것이지만, 전체 다이에 대한 픽셀을 설계 데이터 좌표 스페이스에 매핑하기 위해 사용되는 스와스 "스티칭"을 수행하고, 각각의 검사 스와스 내에서 발생하는 스페이스 내의 적절한 정렬 사이트를 찾기 위해서, 셋업 스와스(레시피 셋업을 위해서만 사용됨)는 비교적 큰 오버랩으로 취득된다. 스와스 스티칭 기술은 다른 스캐닝 패턴, 예컨대, 영역 센서를 사용하는 필드별 취득에 인가될 수 있다는 것을 이해해야 한다. 필드는 전술한 것과 유사한 방식으로 서로 스티치될 수 있다.An appropriate alignment site can then be selected such that there is at least one site within each inspection swath (i.e., the swath used during the inspection, which is the minimum overlap that ensures that the overlap between the swaths is fully scanned) (Using the method described above). The locations of these alignment sites in the design data space are stored in the inspection recipe along with the patch images of each alignment site. During the inspection, for each swath, the corresponding alignment site is searched from the recipe and its position is determined in the pixel stream acquired by the inspection system. Once the alignment site is located within the pixel stream, the position of the pixels within the inspection swath can be determined within the design data coordinate space using sub-pixel accuracy, also using cross-correlation or other image matching techniques. One advantage of this method is that the inspection swath can be acquired with a relatively small overlap (thus improving the speed), but the swath "stitching" used to map the pixels for the entire die to the design data coordinate space , And a set-up swath (used only for the recipe set-up) is acquired with a relatively large overlap, in order to find an appropriate alignment site in the space that occurs within each inspection swath. It should be appreciated that swath stitching techniques may be applied to other scanning patterns, such as field-wise acquisition using area sensors. The fields may be stitched together in a manner similar to that described above.

설계 데이터 스페이스에 대한 각각의 스와스 정렬에 대한 전술한 실시예의 다른 이점은, 이러한 기술은 더 소수의 정렬 사이트에 대한 데이터가 설계 데이터로부터 렌더링 되는 것을 필요로 한다는 것이다. 또한, 설계 데이터로부터 정렬 사이트에 대하여 렌더링 데이터는, 특히 웨이퍼가 그 위에 형성된 다중 층을 갖는 경우, 주어진 특징이 웨이퍼 상에 어떻게 인쇄될지를 예측하기 위해 사용될 수 있는 모델의 복잡도로 인하여 도전(challenge)을 갖는다. 하지만, 전술한 바와 같이, 소정의 정렬 사이트에 대한 데이터는 복수의 다른 방식으로 취득할 수 있으며, 그 방식은 검사되고 있는 층에 기초하여 선택되고, 이에 의해 검사되는 층에 관계없이 소정의 정렬 사이트에 대하여 적합한 데이터를 제공한다.Another advantage of the above-described embodiments of each swath alignment to the design data space is that this technique requires that data for a smaller number of alignment sites be rendered from the design data. In addition, the rendering data from the design data to the alignment site can be challenged due to the complexity of the model that can be used to predict how a given feature will be printed on the wafer, particularly if the wafer has multiple layers formed thereon. Respectively. However, as described above, data for a given alignment site may be acquired in a plurality of different ways, the method being selected based on the layer being examined, Lt; / RTI >

전술한 바와 같이, 커버리지 모드에서 "짧은 스와스"를 사용하는 스와스 스티칭이 검사 데이터를 설계 데이터에 정렬하기 위해 사용될 수 있다. 하지만, 일부 실시예에서, 도 14a에 도시한 바와 같이, 정렬 사이트(302)는 제 1 검사 스와스(304a)에 대응하는 웨이퍼 상의 영역으로부터 이격된(예컨대, 멀리 떨어진) 웨이퍼 상에 위치될 수 있다. 이러한 상황은 단지 적합한 정렬 사이트가 제 1 검사 스와스에 대하여 스캐닝되는 웨이퍼의 영역으로부터 분리되는 경우에 발생할 수 있다. 제 1 검사 스와스의 위치는 주의 영역 규정(예컨대, 자동으로 규정되거나 유저에 의해 규정됨)으로부터 결정할 수 있다. 그러한 상황에서, 여기에 기술한 방법 또는 시스템은 웨이퍼 상에서 일련의 "미니(mini)-스캔"(306)을 수행할 수 있고, 각각의 다이는 도 14a에 도시한 바와 같이 넓다. 미니-스캔에 의해 취득한 스와스는 전술한 스와스간 정렬 방법을 사용하여 제 1 검사 스와스(304a)와 함께 정렬 사이트를 함유하는 스와스를 "스티치"하는데 사용된다. 후속 검사 스와스(304b 및 304c)는 위에서 추가로 기술한 바와 같이 제 1 검사 스와스(304a)에 정렬될 수 있다.As described above, swath stitching using "short swaths" in coverage mode can be used to align inspection data to design data. However, in some embodiments, as shown in FIG. 14A, the alignment site 302 may be located on a wafer that is spaced (e. G., Remote) from the area on the wafer that corresponds to the first inspection swath 304a have. This situation can only occur if an appropriate alignment site is separated from the area of the wafer being scanned for the first inspection swath. The position of the first inspection swath may be determined from the attention area specification (e.g., automatically defined or defined by the user). In such a situation, the method or system described herein can perform a series of "mini-scan" 306 on the wafer, and each die is as wide as shown in FIG. 14A. The swath acquired by the mini-scan is used to "stitch" the swath containing the alignment site with the first inspection swath 304a using the swath-to-edge alignment method described above. Subsequent check swaths 304b and 304c may be aligned to the first check swath 304a as further described above.

여기에 기술한 방법 및 시스템은 복수의 다른 방식으로 웨이퍼에 대한 검사 스와스를 취득할 수 있다. 예컨대, 도 14b에 도시한 바와 같이, 시스템은 100% 검사 모드에서 웨이퍼에 대한 검사 스와스(308)를 취득할 수 있다. 특히, 시스템은 영역의 100%를 검사하는데 사용될 수 있는 오퍼래핑 스와스를 취득하기 위해 웨이퍼를 앞뒤로 스캔한다. 다른 예에서, 도 14c에 도시한 바와 같이, 시스템은 표준 커버리지 모드에서 웨이퍼에 대한 검사 스와스(310)를 취득할 수 있다. 이러한 커버리지 모드에서, 스와스가 취득되는 웨이퍼 상의 영역은 다이 영역의 약 25% 내지 약 50%일 수 있다. 도 14c에 도시한 스와스는 교호적인 스와스가 검사를 위해 사용되는 50% 커버리지 모드에 대응한다. 다른 예에서, 도 14d에 도시한 바와 같이, 시스템은 "스마트 스캐닝(smart scanning)" 모드에 대하여 검사 스와스(312)를 취득할 수 있다. 이러한 모드에서, 다이 영역의 약 50%가 스캐닝되고, 스캐닝된 영역은 설계에 대한 정보 또는 설계와 프로세스 사이의 기대되는 상호작용에 기초하여 선택할 수 있다. 또한, 여기에 기술한 시스템은 전술한 임의의 각종 스캐닝 방법(예컨대, 다른 웨이퍼에 대한 다른 스캐닝 방법)을 수행하도록 구성될 수 있다. 또한, 여기에 기술한 상기 방법(또는 설계 분석 툴)은 웨이퍼에 대한 최적 "커버리지" 기술을 결정하기 위해 검사 시스템의 지식(예컨대, 스캐닝 용량)을 사용하는 것을 포함할 수 있다.The methods and systems described herein can acquire inspection swaths for wafers in a plurality of different ways. For example, as shown in FIG. 14B, the system may acquire inspection swath 308 for a wafer in a 100% inspection mode. In particular, the system scans the wafer back and forth to obtain an operating span that can be used to check 100% of the area. In another example, as shown in FIG. 14C, the system can acquire inspection swath 310 for the wafer in standard coverage mode. In this coverage mode, the area on the wafer from which swath is obtained may be from about 25% to about 50% of the die area. The Swash shown in Figure 14c corresponds to a 50% coverage mode in which alternate Swarses are used for testing. In another example, as shown in FIG. 14D, the system may acquire scan swath 312 for the "smart scanning" mode. In this mode, about 50% of the die area is scanned, and the scanned area can be selected based on information about the design or the expected interaction between the design and the process. In addition, the system described herein may be configured to perform any of the various scanning methods described above (e.g., other scanning methods for other wafers). The method (or design analysis tool) described herein may also include using knowledge of the inspection system (e.g., scanning capacity) to determine an optimal "coverage" technique for the wafer.

다른 실시예에서, 상기 방법은 검사 데이터를 설계 데이터에 정렬하는 단계와, 이러한 다이 정렬 단계에 의해 결정된 다이 대응 설계 데이터 스페이스 좌표를 사용하여 추가적인 검사 데이터의 좌표를 설계 데이터 스페이스 좌표로 변환하는 단계를 포함할 수 있다. 변환은, 유저 입력에 기초하여 또는 적절한 설계 파일 및/또는 프로세스 레시피(스테퍼 레시피)로부터 관련 정보를 추출하여 수행할 수 있다. 유저로부터의 입력 없이 변환을 결정하기 위한 대안적인 접근 방식은, 정렬 사이트를 수동으로 선택하거나, 알고리즘 오버레이 최적화 접근 방식을 사용하여 검사 데이터를 설계 데이터에 정렬시키는(예컨대, 오버레이 하는) 단계를 포함할 수 있다. 이는 다이 정렬 기술임을 유념해야 한다. 다이 대응 좌표가 사용되는 경우(즉, 검사 시스템이 각각의 다이에 대하여 정렬 사이트가 존재하는 곳을 이미 알고 있는 경우), 웨이퍼 정렬 기술은 사용되지 않을 수 있다.In another embodiment, the method comprises the steps of aligning inspection data to design data, and converting the coordinates of additional inspection data to design data space coordinates using die corresponding design data space coordinates determined by such die alignment step . The transformation can be performed by extracting relevant information based on user input or from an appropriate design file and / or process recipe (stepper recipe). An alternative approach to determining the transformation without input from the user includes manually selecting the alignment site or aligning (e.g., overlaying) the inspection data to the design data using an algorithm overlay optimization approach . It should be noted that this is a die alignment technique. If die counter-coordinates are used (i.e., the inspection system already knows where an alignment site exists for each die), the wafer alignment technique may not be used.

여기에 기술한 방법은 웨이퍼의 검사를 수행하여 검사 데이터를 취득하는 단계를 포함하거나 포함하지 않을 수 있다. 즉, 여기에 기술한 방법은 광학 또는 전자 검사 서브시스템을 포함하지 않는 시스템(여기에 추가로 기술하는 바와 같은 시스템)에 의해 수행할 수 있다. 대신에, 그 시스템은 검사 시스템으로부터 검사 데이터를 수신하도록 구성되는 "독립" 시스템으로서 구성할 수 있다. 이러한 방식에서, 독립 시스템은 검사 시스템으로부터 검사 데이터를 취득할 수 있다. 독립 시스템은 당업계에 알려진 임의의 방식으로(예컨대, "유선" 및/또는 "무선" 부분을 포함할 수 있는 전송 매체를 통하여) 검사 데이터를 취득할 수 있다. 대안적으로, 상기 방법은 검사 시스템을 포함하는 시스템에 의해 수행할 수 있다. 이러한 방식에서, 검사 시스템은 시스템의 일부를 구성할 수 있고, 검사 데이터는 웨이퍼의 검사를 수행함으로써 그 시스템에 의해 취득할 수 있다. 또한, 검사 데이터가 취득되는 방식에 무관하게, 여기에 기술한 상기 방법은 당업계에 알려진 임의 타입의 검사 데이터를 당업계에 알려진 임의의 포맷으로 사용하여 수행할 수 있다. 검사 데이터는 웨이퍼 상의 검출된 결합에 대한 데이터를 포함할 수 있다. 다른 예에서, 일 실시예에서, 검사 데이터는 여기에 추가로 기술되는 PWQ에 대하여 취득된다.The method described herein may or may not include the step of performing inspection of the wafer to obtain inspection data. That is, the method described herein can be performed by a system that does not include an optical or electronic inspection subsystem (such as that described further herein). Instead, the system may be configured as an "independent" system configured to receive inspection data from the inspection system. In this way, the independent system can obtain inspection data from the inspection system. Independent systems can acquire inspection data in any manner known in the art (e.g., via a transmission medium that may include "wired" and / or "wireless" portions). Alternatively, the method may be performed by a system comprising an inspection system. In this way, the inspection system can constitute a part of the system, and the inspection data can be acquired by the system by performing inspection of the wafers. Also, regardless of the manner in which the inspection data is acquired, the methods described herein may be performed using any type of inspection data known in the art in any of the formats known in the art. The inspection data may include data on the detected binding on the wafer. In another example, in one embodiment, the inspection data is obtained for a PWQ that is further described herein.

여기에 기술한 방법은 비교적 높은 정밀도로 검사 스페이스를 설계 데이터 스페이스에 상호 연관짓기 위해 이롭게 사용될 수 있고, 그러한 상호연관은 여기에 추가로 기술하는 바와 같이 복수의 단계로 사용될 수 있다. 예컨대, 설계 데이터 스페이스 내의 검사 데이터의 위치는 검사 데이터가 웨이퍼 상의 주의 영역 또는 비-주의 영역에 대응하는지를 결정하는데 이롭게 사용될 수 있고, 검사 프로세스는 검사 데이터에 대응하는 영역 또는 검사 데이터의 다른 부위의 유형에 기초하여 수행할 수 있다. 예컨대, 주의 영역이 다이에 걸친 모든 지점에 대하여 설계 또는 CAD 데이터베이스 내의 소정의 특징에 실질적으로 정확히 정렬되도록, 새로운 이미지 데이터를 검사 주의 영역에 대하여 이동시킴으로써, 여기에 기술한 상기 방법 및 시스템은 CMP 패턴 충전 영역과 같이 중요하지 않은 영역은 무시되는 동안 비어(via) 위치와 같은 다이 상의 중요 위치에만 검사가 수행될 수 있도록, 실질적으로 정확한 주의 영역을 생성한다. 이들 중요 위치, 또는 "검사할" 영역은 레시피 셋업에 입력될 수 있고, CAD DRC, DFM 분석 예컨대, 디자인 스캔 및/또는 PWQ 분석, 전기 테스트, FA, 또는 이들의 일부 조합의 결과를 사용하여 수행되는 "핫 스팟" 분석에 의해 결정될 수 있다.The methods described herein can be advantageously used to correlate the inspection space to the design data space with relatively high precision, and such correlation can be used in multiple steps as further described herein. For example, the location of the inspection data in the design data space may be advantageously used to determine whether the inspection data corresponds to a region of interest or a non-area on the wafer, and the inspection process may be performed on the area corresponding to the inspection data, . ≪ / RTI > For example, by moving the new image data relative to the inspected region so that the region of interest is substantially precisely aligned with a predetermined feature in the design or CAD database for every point over the die, An insignificant region, such as a fill region, is created to produce a substantially accurate caution region so that inspections can be performed only on critical locations on the die, such as via locations, while being ignored. These critical locations, or "areas to be inspected" may be entered into the recipe setup and performed using the results of CAD DRC, DFM analysis such as design scan and / or PWQ analysis, electrical test, FA, "Hot spot" analysis.

예컨대, 일부 실시예에서, 여기에 기술한 방법은 레이아웃 분석 소프트웨어 툴로부터 생성된 표준 EDA 레이아웃 포맷(예컨대, GDSII, OASIS 등)으로 저장된 주의 영역과 같은 설계 데이터에 대한 정보 및 설계 데이터를 검사 시스템에 의해 사용할 수 있는 포맷으로 변환하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 주의 영역 정보를 설계 툴로부터 검사 시스템으로 전달하는 단계를 포함할 수 있다. 예컨대, 변환 모듈(도시 생략)은 GDS 또는 OASIS와 같은 표준 설계 포맷으로부터 검사 보호 영역을 생성하도록 구성될 수 있다. 따라서, 그러한 설계 포맷 내의 파일은 설계가 아니라 EDA 툴에 의해 수행된 설계 분석으로부터의 결과적인 다각형을 포함한다. 따라서, 변환 모듈은 두 스페이스(즉, 설계 및 검사) 사이의 효율적인 변환을 허용한다.For example, in some embodiments, the methods described herein may provide design data and design data, such as attention areas, stored in a standard EDA layout format (e.g., GDSII, OASIS, etc.) generated from a layout analysis software tool, Into a usable format. In this manner, the method may include communicating attention area information from the design tool to the inspection system. For example, a translation module (not shown) may be configured to generate a scan protection area from a standard design format such as GDS or OASIS. Thus, the files in such a design format contain the resulting polygons from the design analysis performed by the EDA tool rather than the design. Thus, the conversion module allows efficient conversion between two spaces (i.e., design and inspection).

다른 실시예에서, 상기 방법은, 여기에 기술한 바와 같이 수행될 수 있는, 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상에서 검출된 결함의 위치를 결정하는 단계와, 설계 데이터의 1 이상의 속성에 대한 소정의 값이 설계 데이터 스페이스 내의 위치 함수로서 저장되는 데이터 구조를 사용하여 결함의 위치에 대응하는 설계 데이터의 1 이상의 속성에 대한 값을 결정하는 단계를 포함한다. 이러한 방식에서, 결함 위치에 대응하는 설계 데이터 속성에 대한 값은, 예컨대, 구조 내의 다각형으로부터 1 이상의 속성에 대한 값을 결정함으로써(예컨대, 다각형 상의 구조적 구동의 함수로서), 설계의 구조에 기초하여 이전에 계산된 속성로부터 결정될 수 있다. 이러한 방식에서, 설계는 다각형 레벨로 처리되고, 결정될 수 있는 다각형 레벨에서의 임의의 속성은 데이터 구조에 저장될 수 있다. 그와 같이, 데이터 구조에 저장된 설계 데이터의 1 이상의 속성의 값에 대한 데이터의 "슈퍼세트(superset)"를 포함할 수 있다. 설계 데이터 스페이스 내의 위치의 함수로서 설계 데이터의 1 이상의 속성에 대한 소정의 값은 EDA 레이아웃 분석 툴 또는 당업계에 알려진 임의의 다른 방법 또는 시스템을 사용하여 생성할 수 있다. 이러한 방식에서, 설계는 설계 데이터 스페이스에 걸친 위치의 함수로서 설계 데이터의 1 이상의 속성 값을 결정하기 위해 전처리될 수 있고, 1 이상의 속성에 대한 값은, 설계 데이터 스페이스 내의 결함 위치를 사용하여 "작동중" 데이터 구조 내의 1 이상의 속성의 값을 조회하여 결함별 기초로 결정될 수 있다. 소정의 값이 설계 데이터 스페이스 위치의 함수로서 저장되는 데이터 구조는 당업계에 알려진 임의의 적합한 데이터 구조를 포함할 수 있다. 유사한 방식에서, 데이터 구조는 설계에 대한 설계 레이아웃의 1 이상의 속성, 설계의 평면도의 1 이상의 속성, 설계 내의 셀의 1 이상의 속성, 설계에 대한 임의의 다른 정보, 또는 그것의 임의의 조합에 대한 소정의 값을 설계 데이터 스페이스 내의 위치의 함수로서 포함할 수 있다.In another embodiment, the method further comprises the steps of determining the location of the detected defect on the wafer in the design data space based on the location of the inspection data in the design data space, which may be performed as described herein, Determining a value for one or more attributes of design data corresponding to a location of the defect using a data structure in which a predetermined value for one or more attributes of the design data is stored as a position function in the design data space. In this way, the value for the design data attribute corresponding to the defect location can be determined, for example, by determining a value for one or more attributes from a polygon in the structure (e.g., as a function of the polygonal structural drive) Can be determined from previously calculated attributes. In this manner, the design is processed to a polygonal level, and any attributes at the polygon level that can be determined can be stored in the data structure. As such, it may include a "superset" of data for the value of one or more attributes of the design data stored in the data structure. The predetermined value for one or more attributes of the design data as a function of position within the design data space may be generated using an EDA layout analysis tool or any other method or system known in the art. In this manner, the design can be preprocessed to determine one or more attribute values of the design data as a function of position across the design data space, and values for one or more attributes can be "actuated Quot; middle "data structure to determine the basis of the defect. The data structure in which a predetermined value is stored as a function of the design data space location may comprise any suitable data structure known in the art. In a similar manner, the data structure may include one or more attributes of the design layout for the design, one or more attributes of the plan of the design, one or more attributes of the cells in the design, any other information about the design, As a function of position in the design data space.

일 실시예에서, 상기 방법은 도 1의 단계 18에 도시한 바와 같이, 웨이퍼의 다른 부위 상의 결함을 검출하기 위하여 감지도를 결정하는 단계를 포함할 수 있다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 웨이퍼의 다른 부위 상의 결함을 검출하기 위해 감지도를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 주의 영역 정보를 설계 툴로부터 검사 시스템에 전달함으로써 설계 기반 검사를 수행하는 것을 포함할 수 있다. 예컨대, 주의 영역 정보는 웨이퍼 상의 다른 부위와, 그 다른 부위 내의 결함을 검출하도록 사용되는 감지도를 식별하도록 사용될 수 있다. 그와 같이, 설계 데이터의 1 이상의 속성은 주의 영역 정보를 포함할 수 있다. 하지만, 설계 데이터의 1 이상의 속성은 또한(또는 대안적으로) 여기에 기술한 설계 데이터의 임의의 속성을 또한 포함할 수 있다.In one embodiment, the method may include determining a degree of sensitivity to detect defects on other portions of the wafer, as shown in step 18 of FIG. In one such embodiment, the method includes determining a degree of sensitivity to detect defects on other portions of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space do. In one such embodiment, the method may include performing design-based inspection by conveying attention area information from a design tool to an inspection system. For example, attention area information can be used to identify the different regions on the wafer and the sensitivity used to detect defects in that other region. As such, one or more attributes of the design data may include attention area information. However, one or more attributes of the design data may also (or alternatively) include any attributes of the design data described herein.

데이터 준비 단계를 설계 데이터의 1 이상의 속성에 대한 데이터를 생성하거나 취득하는 단계를 포함할 수 있다. 웨이퍼의 다른 부위 상의 결함을 검출하기 위하여 감지도를 결정하는데 사용되는 설계 데이터의 1 이상의 속성은 설계 데이터와 관련된 프로세스 또는 수율 정보를 포함할 수 있다. 예컨대, 일 실시예에서, 설계 데이터의 1 이상의 속성은, 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층, 다른 프로세스 계층 또는 이들의 일부 조합에 대하여, 설계 데이터, 다른 설계 데이터, 또는 이들의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼, 또는 이들의 일부 조합에 대하여 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여 선택된다. 이러한 방식에서, 웨이퍼의 다른 부위 상의 결함을 검출하기 위한 감지도를 결정하는데 사용되는 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성은, 동일하거나 다른 프로세스 계층 상의 동일하거나 다른 설계에 대한 동일한 웨이퍼 또는 다른 웨이퍼로부터의 이전에 수집된 검사 데이터의 속성과의 상관관계에 기초하여 선택될 수 있다. 이전에 수집된 검사 데이터는 팹 데이터베이스 또는 임의의 다른 적합한 데이터베이스, 파일 등과 같은 데이터 구조에 저장될 수 있거나, 여기에 기술하는 바와 같이 구성될 수 있는 지식 베이스(knowledge base)에 포함될 수 있다. 이러한 방식에서, 본 실시예에서는 설계 데이터의 1 이상의 속성은 누적 학습, 이력 데이터 또는 데이터의 트레이닝 세트에 기초하여 선택될 수 있다.The data preparation step may include generating or acquiring data for one or more attributes of the design data. One or more attributes of the design data used to determine the degree of sensitivity to detect defects on other parts of the wafer may include process or yield information associated with the design data. For example, in one embodiment, one or more attributes of the design data may be associated with the design data, other design data, or some combination thereof, for the process layer from which the inspection data for the wafer is obtained, Is selected based on one or more attributes of inspection data previously acquired for a wafer, another wafer, or some combination thereof. In this manner, one or more attributes of the design data in the design data space used to determine the degree of sensitivity to detect defects on other parts of the wafer may be the same or different on the same or different designs on the same or different process layers, Lt; / RTI > and the attributes of the previously collected inspection data from < RTI ID = 0.0 > a < / RTI > The previously collected inspection data may be stored in a data structure such as a fab database or any other suitable database, file, or the like, or may be included in a knowledge base that may be configured as described herein. In this manner, in the present embodiment, one or more attributes of the design data may be selected based on a training set of cumulative learning, historical data or data.

다른 실시예에서, 설계 데이터의 1 이상의 속성은 다른 부위에서 이전에 검출된 결함의 수율 임계, 해당 부위에서 이전에 검출된 결함의 오류 확률, 또는 이들의 일부 조합에 기초하여 선택된다. 이러한 방식에서, 결함을 검출하는 감지도는 다른 부위에서 검출된 결함의 수율 임계 및/또는 오류 확률에 기초하여 선택된 설계 데이터의 1 이상의 속성에 적어도 부분적으로 기초할 수 있다. 프로세스 또는 수율 임계 정보는 예컨대, PWQ에 의해 결정된 중요 결함, 핫 스팟(예컨대, 검사로부터 결정된)에 기초한 관심 있는 결함(DOI)의 위치, 로직 비트맵으로부터 결정된 핫 스팟 정보, 핫 스팟에서 검출된 결함에 대하여 테스트 결과로부터 결정된 KP 값, 여기에 기술한 임의의 다른 프로세스 또는 수율 정보, 또는 이들의 일부 조합을 포함할 수 있다. KP 값은 여기에 추가로 기술하는 바와 같이 결정될 수 있다. 또한, 오류 확률은 결함에 대한 KP 값을 결정하기 위해 여기에 기술한 것과 유사한 방식으로 결정할 수 있다. 수율 임계값은 결함의 수율 관련성을 결정하기 위하여 여기에 추가로 기술하는 것과 유사한 방식으로 결정할 수 있다.In another embodiment, one or more attributes of the design data are selected based on a yield threshold of a previously detected defect at another site, an error probability of a previously detected defect at that site, or some combination thereof. In this manner, the sensitivity to detect defects may be based, at least in part, on one or more attributes of the design data selected based on yield thresholds and / or error probabilities of defects detected at other sites. Process or yield critical information may include, for example, a critical defect determined by the PWQ, a location of the interesting defect (DOI) based on the hot spot (e.g., determined from the inspection), hot spot information determined from the logic bitmap, The KP value determined from the test results for any other process or yield information described herein, or some combination thereof. The KP value can be determined as described further herein. In addition, the error probability can be determined in a manner similar to that described herein to determine the KP value for the defect. The yield threshold can be determined in a manner similar to that described further herein to determine the yield relevance of the defect.

설계 데이터의 1 이상의 속성에 대한 데이터는 1 이상의 속성(예컨대, 콘택 영역 또는 더미 충전 영역, "검사할 장소"의 정보 또는 "주의 영역", 프로세스 오류가 가능한 "중요" 영역, 또는 이들의 일부 조합과 같은 영역 내의 특징의 유형)의 다른 값을 갖는 장치 설계 내의 구조적 영역을 규정하는 "콘텍스트" 데이터라 칭할 수 있다. 용어 콘텍스트 데이터는 용어 "콘텍스트 정보" 및 "콘텍스트 맵"과 여기에서 상호 교환가능하게 사용된다. 콘텍스트 정보는 KLA-Tencor사로부터 입수가능한 시뮬레이션, 모델링, 및/또는 분석 소프트웨어 제품, DRC 소프트웨어와 같은 다른 소프트웨어, 또는 이들의 일부 조합을 포함하는 각종 소스로부터 취득될 수 있다. 또한, 추가적인 콘텍스트 데이터가 결정되고, 설계 데이터의 속성에 대한 데이터와 결합될 수 있다. 설계 데이터 및/또는 콘텍스트 데이터를 포함하는 데이터베이스 또는 파일과 같은 데이터구조는 당업계에 알려진 임의의 적합한 포맷을 가질 수 있다.Data for one or more attributes of the design data may include one or more attributes (e. G., Contact or dummy fill area, information of a "place to inspect" Quot; context "data that defines a structural area in a device design that has different values of the type of feature within the area, such as < RTI ID = The term context data is used interchangeably herein with the terms "context information" and "context map ". Context information may be obtained from a variety of sources including simulation, modeling, and / or analysis software products available from KLA-Tencor Corporation, other software such as DRC software, or some combination thereof. In addition, additional context data may be determined and combined with data on the attributes of the design data. Data structures, such as databases or files, including design data and / or context data, may have any suitable format known in the art.

전술한 바와 같이 감지도를 결정하는 단계는 설계 데이터의 1 이상의 속성의 다른 값을 갖는 설계 데이터에 대응하는 웨이퍼의 다른 부위에서 검출된 결함이 다른 감지도로 검출되도록 수행될 수 있다. 이러한 방식에서, 상기 방법은 설계 데이터 스페이스 위치의 함수로서 1 이상의 설계 데이터 속성의 값에 기초하여 다른 부위를 결정하고, 식별하고, 및/또는 선택하는 단계를 또한 포함할 수 있다. 다른 부위의 전체 또는 일부의 치수는 상이할 수 있고, 설계 데이터의 속성의 값이 이용가능하거나 취득되는 해상도에 의존하여 변할 수 있다. 예컨대, 여기에 추가로 기술하는 바와 같이 다른 부위에 대한 감지도를 결정하기 위해 콘텍스트 맵을 사용하면, 다른 부위의 치수는 콘텍스트 맵의 해상도에 따라 변할 수 있다.The step of determining the degree of sensitivity as described above can be performed so that a defect detected at another part of the wafer corresponding to the design data having different values of one or more attributes of the design data is detected at different senses. In this manner, the method may also include determining, identifying, and / or selecting other portions based on values of one or more design data attributes as a function of design data space location. The dimensions of all or some of the other portions may be different and the value of the attribute of the design data may vary depending on the resolution at which it is available or acquired. For example, if a context map is used to determine the degree of sensitivity for another region, as described further herein, the dimensions of the other region may vary depending on the resolution of the context map.

그러한 일 실시예에서, 감지도는 콘텍스트 맵 및 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 결정되며, 여기에 추가로 기술하는 바와 같이, 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성에 대한 값을 포함할 수 있다. 예컨대, 상기 방법은 콘텍스트의 임계에 기초하여 가변 감지도 영역 및 중요 영역에 대하여 웨이퍼 상의 다이 내의 비교적 높은 감지도 영역을 규정하기 위해 콘텍스트 맵을 사용하는 것을 포함할 수 있다. 일 예에서, 설계 데이터의 세그먼트는 조밀한 어레이(dense array) 및 로직, 오픈 영역, 및 그레이니 메탈(grainy metal)을 고립시키기 위해 규정될 수 있다. 설계 데이터 내의 1 이상의 세그먼트를 규정하기 위해 이미지 그레이(gray) 레벨 및 콘텍스트의 조합이 또한 사용될 수 있다. 예컨대, 중간 그레이 레벨을 갖는 픽셀은 하나의 세그먼트로 조합될 수 있다. 이미지 그레이 레벨은 검사 시스템 또는 다른 이미지 취득 시스템에 의해 취득된 이미지 또는 시뮬레이션된 이미지를 사용하여 결정할 수 있다.In such an embodiment, the degree of sensitivity is determined based on the location of the inspection data in the context map and design data space, and as described further herein, the value for one or more attributes of the design data over the design data space . For example, the method may include using a context map to define a relatively high sensitivity area within the die on the wafer for the variable sensitivity area and the critical area based on the threshold of the context. In one example, segments of the design data may be defined to isolate dense arrays and logic, open regions, and grainy metal. A combination of image gray level and context may also be used to define one or more segments in the design data. For example, pixels with intermediate gray levels can be combined into one segment. The image gray level may be determined using an image acquired by the inspection system or another image acquisition system or a simulated image.

일부 실시예에서, 콘텍스트 맵 및 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 웨이퍼의 다른 부위 상의 결함을 검출하기 위하여 감지도를 결정하는 단계는 웨이퍼의 검사 동안에 검사 시스템에 의해 수행될 수 있다. 예컨대, 콘텍스트 맵은 웨이퍼의 검사시 여기에 기술한 바와 같은 검사 시스템에 의해 사용될 수 있다. 다른 실시예에서, 콘텍스트 맵 및 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 웨이퍼의 다른 부위 상의 결함을 검출하기 위해 감지도를 결정하는 단계는 웨이퍼에 대한 검사 데이터의 취득이 완료된 후에 검사 시스템에 의해 수행된다. 예컨대, 콘텍스트 맵은 검사 데이터가 오프라인으로 이용가능해진 후에 전술한 바와 같은 검사 시스템에 의해 사용될 수 있다. 이들 실시예의 양쪽에서, 상기 방법은 웨이퍼 상의 다이의 더미 영역(비 검사 영역)을 자동으로 규정하고, 다른 감지도 임계치가 사용되는 다이의 거친 영역을 규정하기 위해 콘텍스트 맵을 사용할 수 있다. 예컨대, 콘텍스트 맵(예컨대, 더미 충전 영역을 규정하는 콘텍스트 맵)은 검사를 필요로 하지 않는, 따라서, 결함 검출 목적에서 배제되는 비-주의 영역을 자동으로 규정하기 위해 사용될 수 있다. 그러한 영역은 통상적으로 덜 제어되고, 그리하여 비교적 다량의 노이즈를 생성한다(다이-다이와 비교하여). 따라서, 그러한 영역의 배제는 검사의 전체 S/N을 증가시킬 수 있다.In some embodiments, determining the degree of sensitivity to detect defects on other portions of the wafer based on the context map and the location of the inspection data within the design data space may be performed by the inspection system during inspection of the wafer. For example, the context map may be used by an inspection system as described herein when inspecting the wafer. In another embodiment, the step of determining the degree of sensitivity to detect defects on other parts of the wafer based on the location of the inspection data in the context map and design data space is performed by the inspection system after the acquisition of the inspection data for the wafer is complete . For example, the context map may be used by the inspection system as described above after the inspection data becomes available offline. In both of these embodiments, the method may use a context map to automatically define a dummy area (non-inspection area) of the die on the wafer and to define a coarse area of the die where different sensitivity thresholds are used. For example, a context map (e.g., a context map that defines a dummy fill area) may be used to automatically define non-attention areas that do not require inspection, and thus are excluded from defect detection purposes. Such areas are typically less controlled and thus produce a relatively large amount of noise (as compared to die-die). Therefore, exclusion of such a region can increase the overall S / N of the inspection.

일 실시예에서, 콘텍스트 맵 및 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 웨이퍼의 다른 부위 상의 결함을 검출하기 위해 감지도를 결정하는 단계는, 웨이퍼의 다른 부위 상의 결함을 검출하기 위하여 검사 데이터와 함께 사용되는 감지도 임계치를 결정하는 단계를 포함한다. 이러한 방식에서, 결함 검출을 위해 사용되는 1 이상의 임계치를 변경하여 영역-영역으로부터 변경될 수 있으며, 이는 SAT(segmented automatic threshold) 방법과 유사하다. 예컨대, 낮은 임계(고 감지도) 검출은 중요 영역에 대하여 사용할 수 있고, 높은 임계(저 감지도) 검출은 중요하지 않은 영역에 대하여 사용할 수 있다. 설계 데이터의 1 이상의 속성에 기초하여, 설계 데이터를 세그먼트화 하고, 결함 검출을 위해 사용된 임계치를 변경함으로써, 검사 프로세스의 전체 감지도가 증가할 수 있다. 따라서, 여기에 기술한 상기 방법 및 시스템은 개선된 결함 검출을 제공한다.In one embodiment, the step of determining the degree of sensitivity to detect defects on other parts of the wafer based on the location of the inspection data in the context map and the design data space may include comparing the inspection data with the inspection data to detect defects on other parts of the wafer And the step of determining a threshold value for use together. In this way, one or more thresholds used for defect detection may be changed to change from the area-area, which is similar to the segmented automatic threshold (SAT) method. For example, low threshold (high sensitivity) detection can be used for critical areas, and high threshold (low sensitivity) detection can be used for non-critical areas. Based on one or more attributes of the design data, the overall sensitivity of the inspection process can be increased by segmenting the design data and changing the threshold used for defect detection. Thus, the methods and systems described herein provide improved defect detection.

상기 방법은 복수의 다른 단계를 수행하기 위해 전술한 콘텍스트 맵을 사용하는 것을 또한 포함할 수 있다. 예컨대, 콘텍스트 맵(결함 검출을 위해 다이-다이 검사 모드, 표준 기준 다이-다이 검사 모드 등이 사용되는지에 관계없이)은 감지도를 결정하는 단계, 뉴슨스 결함을 필터링하는 단계, 결함을 분류하는 단계, 온라인 또는 오프라인 리뷰를 위해 리뷰 샘플을 생성하는 단계와 같은 각종 단계를 수행하는데 사용할 수 있으며, 그러한 단계에 한정되지 않는다. 여기에 추가로 기술하는 바와 같은 설계 또는 콘텍스트 정보를 사용하기 위해, 검사 프로세스 동안에 취득한(예컨대, 웨이퍼를 스캐닝하여) 다른 검사 데이터 또는 이미지 픽셀의 절대 위치가 설계 데이터 스페이스(예컨대, 설계 데이터베이스 좌표) 내에서 결정된다. 검사 픽셀 사이즈의 절반 이내로 검사 데이터를 설계 데이터에 매핑하는 단계는 검사 임계치의 실질적으로 정확한 세팅(중요 영역을 중요하지 않은 영역으로부터 실질적으로 정확히 분리하여), 실제 결함으로부터 뉴슨스 결함의 필터링, 및 임의의 다른 단계를 허용하며, 여기에 추가로 기술하는 바와 같이 수행할 수 있다.The method may also include using the context map described above to perform a plurality of different steps. For example, a context map (whether a die-die check mode for defect detection, a standard reference die-die check mode or the like is used) may include determining the sensitivity, filtering the Newson defect, Steps to create a review sample, or steps to create a review sample for online or offline review, and are not limited to such steps. (E. G., Scanning a wafer) other inspection data or image pixels acquired during the inspection process to use the design or context information as further described herein is within a design data space (e. G., Design database coordinates) Lt; / RTI > Mapping the inspection data to the design data within half of the inspection pixel size may include substantially correct settings of the inspection threshold (substantially accurate separation of the critical area from unimportant areas), filtering of the Newson defect from the actual defect, , And may be performed as further described herein.

또한, 비교적 높은 밴드 폭, 픽셀-레벨 콘텍스트 정보는 폭넓은 애플리케이션에 대하여 설계 스페이스 좌표로의 검사 스페이스의 실질적으로 매우 정확한 매핑에 사용할 수 있다. 예컨대, 비교적 높은 해상도 콘텍스트 맵은 다른 감지도로 검사될 수 있는 픽셀-레벨 영역을 자동으로 규정하는데 사용될 수 있다. 여기에 기술하는 바와 같은 비교적 높은 해상도 콘텍스트는 주의 영역 경계에서의 모호(예컨대, 약 5㎛ 이상의 퍼짐)로 인하여 비교적 거칠고(약, 50㎛×50㎛) 부정확한 유저 규정 영역 기반 다중-임계치(RBMT)보다 일반적으로 더욱 정확하다.In addition, relatively high bandwidth, pixel-level context information, can be used for substantially very accurate mapping of inspection space to design space coordinates for a wide range of applications. For example, a relatively high resolution context map may be used to automatically define a pixel-level region that can be examined for different senses. A relatively high resolution context as described herein is relatively coarse (about 50 탆 x 50 탆) inaccurate due to ambiguity (e.g., about 5 탆 or greater spread) ). ≪ / RTI >

일 실시예에서, 콘텍스트 맵은 각 픽셀에서의 검출 감지도를 제어하기 위해 픽셀 레벨에서 사용할 수 있다. 하지만, 더 단순한 접근 방식(시스템 복잡성 관점으로부터)은 콘텍스트 정보를 사용하여 결함을 검출하지 않는 검출 방법을 사용하여 검출된 결함의 포스트-처리를 위해서만 콘텍스트 맵을 사용한다. 이러한 방식에서, 설계 데이터 스페이스로의 검사 또는 웨이퍼 스페이스의 매핑은 검출된 결함에 대응하는 검사 데이터에만 인가될 수 있다. 다이-다이, 및 표준 기준 다이-다이 검사에 대하여 전술한 바와 같이, 결함의 위치는 설계 데이터 스페이스 내에서 결정된다. 따라서, 설계 데이터 스페이스 내의 결함의 위치에서의 설계 데이터의 패치 이미지를 취득할 수 있고, 이러한 패치 이미지는 결함에 대응하는 설계 콘텍스트를 결정하는데 사용될 수 있다. 대안적으로, 설계 데이터에 정렬된 콘텍스트 맵은 설계 데이터 스페이스 내의 결함의 위치에 기초하여 결함에 대응하는 설계 데이터 콘텍스트를 결정하는데 사용할 수 있다.In one embodiment, the context map may be used at the pixel level to control the detection sensitivity at each pixel. However, a simpler approach (from a system complexity perspective) uses the context map only for post-processing of detected defects using a detection method that does not detect defects using context information. In this way, the inspection into the design data space or the mapping of the wafer space can be applied only to the inspection data corresponding to the detected defects. The location of the defects is determined within the design data space, as described above for die-to-die and standard reference die-die inspection. Thus, a patch image of the design data at the location of the defect in the design data space can be obtained, and this patch image can be used to determine the design context corresponding to the defect. Alternatively, the context map aligned to the design data can be used to determine the design data context corresponding to the defect based on the location of the defect in the design data space.

표준 기준 다이-다이 검사에 있어서, 검사 데이터 내의 각 픽셀의 콘텍스트를 결정하는 단계는 각각의 표준 기준 다이 픽셀의 콘텍스트를 결정하는 단계를 포함할 수 있다. 표준 기준 다이 이미지가 레시피 셋업 단계 동안에 취득되기 때문에, 상기 방법은 표준 기준 다이 이미지 내의 정렬 사이트(전술한 바와 같이 선택된)에 대한 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬시키는 단계와, 설계 데이터 스페이스 내의 각각의 표준 기준 다이 픽셀의 위치를 결정하기 위해 매핑 변환을 수행하는 단계를 포함할 수 있다. 이들 단계는 레시피 셋업 단계 동안에 수행할 수 있다. 또한, 표준 기준 다이는 설계 데이터 스페이스로의 표준 기준 다이의 매핑에 기초하여 콘텍스트 데이터에 매핑될 수 있고, 각 픽셀에 대응하는 콘텍스트와 함께 표준 기준 다이 픽셀이 오프라인으로 저장되고, 검사 동안 검사 시스템에 제공되거나 그것에 의해 취득될 수 있다. 이 처리는 오프라인으로 수행될 수 있고, 레시피 셋업 단계 동안에 단 1회 수행될 수 있다.In the standard reference die-die inspection, determining the context of each pixel in the inspection data may comprise determining the context of each standard reference die pixel. Since the standard reference die image is acquired during the recipe set up step, the method comprises the steps of aligning the data for an alignment site (selected as described above) in a standard reference die image to data for a given alignment site, And performing a mapping transformation to determine the location of each standard reference die pixel within the pixel. These steps can be performed during the recipe setup step. The standard reference die may also be mapped to the context data based on a mapping of standard reference dies to the design data space, standard reference die pixels are stored offline along with the context corresponding to each pixel, Or may be acquired by it. This process can be performed off-line and only once during the recipe setup phase.

그러한 일 실시예에서, 각각의 표준 기준 다이 픽셀은 콘텍스트 정보와 연관지어질(태그) 수 있다. 이러한 방식에서, 콘텍스트 정보는 표준 기준 다이 픽셀에 "부가"될 수 있다. 일 예에서, 16개의 다른 가능한 콘텍스트가 있는 경우, 4비트 태그가 각 픽셀에 부가될 수 있다. 대안적으로, 콘텍스트 데이터는 적절한 압축 알고리즘 또는 방법을 사용하여 압축할 수 있거나, 콘텍스트 데이터는 다각형 형태로 표현될 수 있다. 이러한 방식에서, 검사 동안에, 표준 기준 다이 픽셀 데이터 및 표준 기준 다이 픽셀 데이터와 연관지어진 매핑된(변환된) 콘텍스트 데이터는 이미지 컴퓨터나 검사 시스템의 다른 프로세스에 제공되거나 그것에 의해 취득될 수 있다. 따라서, 검사 데이터 픽셀에 대응하는 콘텍스트는 표준 기준 다이 이미지 내의 대응하는 픽셀의 콘텍스트 정보에 기초하여 결정될 수 있다. 그와 같이, 검사 데이터 픽셀에 대응하는 콘텍스트 정보는, 여기에 추가로 기술하는 바와 같이 수행할 수 있는, 결함 검출 및 분류(및/또는 비닝) 애플리케이션에 이용가능할 수 있다.In such an embodiment, each standard reference die pixel may be associated (tagged) with the context information. In this manner, the context information may be "added" to a standard reference die pixel. In one example, if there are sixteen different possible contexts, a four bit tag may be added to each pixel. Alternatively, the context data may be compressed using an appropriate compression algorithm or method, or the context data may be represented in the form of a polygon. In this manner, during the inspection, the mapped (transformed) context data associated with the standard reference die pixel data and the standard reference die pixel data may be provided to or obtained by the image computer or other process of the inspection system. Thus, the context corresponding to the inspection data pixel can be determined based on the context information of the corresponding pixel in the standard reference die image. As such, the context information corresponding to the inspection data pixel may be available for defect detection and classification (and / or binning) applications, which may be performed as further described herein.

다른 실시예에서, 상기 방법은 웨이퍼 검사를 보조하기 위해서 임의의 해상도에서 콘텍스트 맵을 사용할 수 있다. 예컨대, 웨이퍼 검사 및 결함의 비닝에서의 보조를 위해 웨이퍼 가변 해상도 콘텍스트 맵을 사용할 수 있다. 콘텍스트 맵의 해상도는, 라이브 픽셀 스트림이 설계 데이터에 정렬될 수 있는 정확도 및 애플리케이션의 정확도 요건에 따라 변할 수 있다. 다른 해상도에서의 콘텍스트 맵은 복수의 다른 방식으로 표현할 수 있다. 예컨대, 픽셀 레벨 콘텍스트 맵을 생성하기 위해 적절한 픽셀 사이즈에서 다각형 형태의 콘텍스트 맵의 절대 표현(즉, 마이크론의 여러 소수자리)이 검사 시스템에 부여될 수 있다. 또한(또는 대안적으로), 거친 콘텍스트 맵은 예컨대, 약 1㎛ × 약 1㎛의 측면 치수를 갖는 비교적 거친 영역에 대한 콘텍스트를 포함할 수 있다. 거친 영역은 설계 데이터를 분리하는 "타일(tile)" 형태일 수 있다. 특징 타입(예컨대, 더미 특징, 콘택, 라인 단부), 특징 속성(예컨대, 구조 사이의 최소 라인 폭/스페이스 등), 또는 이들의 일부 조합과 같은 콘텍스트 데이터가 각 타일에 연관될 수 있다.In another embodiment, the method may use a context map at any resolution to aid in wafer inspection. For example, a wafer variable resolution context map can be used to assist in wafer inspection and binning of defects. The resolution of the context map may vary depending on the accuracy with which the live pixel stream can be aligned with the design data and the accuracy requirements of the application. Context maps at different resolutions can be represented in a number of different ways. For example, an absolute representation of the context map in the form of a polygon (i.e., multiple decimal places of a micron) at an appropriate pixel size may be assigned to the inspection system to produce a pixel level context map. Also (or alternatively), the coarse context map may include a context for a relatively coarse area having a side dimension of, for example, about 1 mu m x about 1 mu m. The coarse area may be in the form of a "tile" that separates the design data. Context data such as feature types (e.g., dummy features, contacts, line ends), feature attributes (e.g., minimum line width / space between structures, etc.), or some combination thereof may be associated with each tile.

일 실시예에서, 상기 방법은 가능한 설계 룰 위반과 중요 영역에 대한 설계를 분석하는데 사용될 수 있는 임의의 소프트웨어 프로그램으로부터 취득할 수 있는 설계에 대한 속성 정보 및 위치를 사용하여 비교적 높은 해상도 콘텍스트 맵을 생성하는 단계를 포함한다. 그러한 콘텍스트 맵은 KLA-Tencor사로부터 상업적으로 입수가능한 분석 소프트웨어(예컨대, 디자인 스캔), 또는 검사, 계측, 또는 리뷰 시스템에 의한 사용을 위한 포맷으로 변환될 수 있는 각 위치의 일부 속성(또는 라벨) 및 위치의 리스트를 생성하는 DRC 소프트웨어와 같은 다른 소프트웨어를 사용하여 생성할 수 있다.In one embodiment, the method generates a relatively high resolution context map using attribute information and locations for designs that can be obtained from any software program that can be used to analyze possible design rule violations and designs for critical areas . Such a context map may include some attributes (or labels) of each location that can be converted from commercially available analysis software (e.g., design scan) from KLA-Tencor or a format for use by inspection, And other software such as DRC software that generates a list of locations.

다른 실시예에서, 상기 방법은 CAD 레이아웃으로부터 특징 벡터를 추출하고, 동등한 콘텍스트 그룹을 규정하기 위해 비-관리 클러스터링(clustering)을 사용하여, 비교적 낮은 해상도인 거친 콘텍스트 맵을 생성하는 단계를 포함한다. 예컨대, 비교적 거친 콘텍스트 맵(예컨대, 약 1㎛ × 약 1㎛)을 생성하기 위한 방법은 CAD 레이아웃 파일을 처리하는 단계와, 타일을 렌더링하거나 분석하는 단계, 및 각 타일에 대하여 특정 속성 또는 특징 벡터를 추출하는 단계를 포함할 수 있다. 각각의 영역에 대하여, 다중 특징이 미리 규정한 특징 세트로부터 추출될 수 있다. 각 특징의 값은 그것의 특징 벡터이다. 각 영역에 대한 특징 벡터는 특징 스페이스 내의 클러스터링을 평가하여 영역의 유사성을 결정하는데 사용할 수 있는 일련의 특징 벡터로 결합될 수 있다. 이들 특징 벡터(타일당 1 이상의 벡터)는 임의의 비-감독 클러스터링 알고리즘 및/또는 벡터의 클러스터(즉, 유사한 속성을 갖는 타일)를 찾는데 사용할 수 있는 당업계에서 알려진 방법을 사용하여 특징 스페이스 내에서 클러스터링될 수 있다. 여기에 기술한 방법에서 사용할 수 있는 그러한 알고리즘 및 방법의 예는 여기에 완전히 언급한 것과 같이 참고자료로 포함되는 미국 특허 제6,104,835호(Han)에 개시된다. 그러한 각각의 클러스터는 특유의 콘텍스트 또는 아이덴티티(identity)가 할당될 수 있다. 각각의 타일이 이러한 코드 또는 아이덴티티로 표현되는 다이의 맵은 여기에 추가로 기술하는 바와 같은 검사 시스템에 의해 사용될 수 있다.In another embodiment, the method includes extracting a feature vector from a CAD layout and using non-administrative clustering to define an equivalent context group, to produce a coarse context map with a relatively low resolution. For example, a method for generating a relatively coarse context map (e.g., about 1 micron by about 1 micron) may include processing a CAD layout file, rendering or analyzing tiles, And extracting the extracted data. For each region, multiple features may be extracted from a predefined feature set. The value of each feature is its feature vector. The feature vectors for each region can be combined into a set of feature vectors that can be used to evaluate clustering within the feature space to determine similarity of regions. These feature vectors (one or more vectors per tile) can be used in the feature space using any of the non-supervisory clustering algorithms and / or methods known in the art that can be used to find clusters of vectors (i.e. tiles with similar properties) Can be clustered. Examples of such algorithms and methods that can be used in the methods described herein are disclosed in U.S. Patent No. 6,104,835 (Han), which is incorporated herein by reference in its entirety. Each such cluster may be assigned a unique context or identity. The map of the die in which each tile is represented by this code or identity can be used by an inspection system as further described herein.

다른 실시예에서, 상기 방법은 동등한 콘텍스트 그룹(여기에 추가로 기술하는 바와 같이 비닝을 위해 사용될 수 있는)을 식별하기 위해 CAD 레이아웃 패치 이미지를 렌더링하고 CAD 레이아웃 패치 이미지를 교차-상호연관 지음으로써, 비교적 낮은 해상도인 거친 콘텍스트 맵을 생성하는 단계를 포함할 수 있다. 콘텍스트 맵(예컨대, 비교적 거친 콘텍스트 맵)을 생성하기 위한 다른 방법은 CAD 레이아웃 파일을 패치 이미지로 렌더링하고, 설계 데이터를 패치 이미지로 분리하고, 패치 이미지 사이의 이미지 교차-상호연관을 식별하여, 비교적 높은 교차-상호연관을 갖는 패치 이미지가 동일한 텍스트 타입에 대응하는 패치 이미지의 그룹으로 비닝될 수 있게 하는 단계를 포함한다.In another embodiment, the method may include rendering the CAD layout patch image and cross-correlating the CAD layout patch image to identify an equivalent context group (which may be used for binning as further described herein) And generating a coarse context map with a relatively low resolution. Another way to create a context map (e.g., a relatively tough context map) is to render the CAD layout file into a patch image, separate the design data into patch images, identify image cross-correlations between patch images, Allowing the patch images having a high cross-correlation to be binned into a group of patch images corresponding to the same text type.

일부 실시예에서, 여기에 사용된 방법에 사용된 콘텍스트 데이터는 웨이퍼 상에 존재하거나 형성될 수 있는 1 이상의 층에 대한 콘텍스트 데이터를 포함할 수 있다. 예컨대, 일부 결함은 결함이 검출된 층 내의 중요 영역에는 위치하지 않을 수 있다. 하지만, 중첩층 내의 중요 영역이 웨이퍼 상에 형성될 수 있는 웨이퍼 상의 영역에 결함이 위치하는 경우, 그러한 중요하지 않은 결함은 중요해질 수 있다. 여기에 기술한 임의의 단계에서 사용되는 콘텍스트 맵은 웨이퍼 상의 다중 층을 위한 콘텍스트 맵일 수 있다.In some embodiments, the context data used in the methods used herein may include context data for one or more layers that may be present or formed on the wafer. For example, some defects may not be located in critical areas within the layer where defects are detected. However, if a defect is located in an area on the wafer where critical areas within the overlying layer can be formed on the wafer, such non-critical defects can become significant. The context map used in any of the steps described herein may be a context map for multiple layers on a wafer.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성과, 검사 데이터의 1 이상의 속성에 기초하여 웨이퍼 상의 다른 부위 상의 결함을 검출하기 위해 감지도를 결정하는 단계를 또한 포함할 수 있다. 이러한 단계에서 사용되는 설계 데이터의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 그러한 실시예에서, 결함이 다른 부위에서 검출되는 경우, 검사 데이터의 1 이상의 속성은 1 이상의 이미지 노이즈 속성 또는 이들의 일부 조합을 포함한다. 이러한 방식에서, 본 실시예에서 사용된 검사 데이터의 1 이상의 속성은 이미지 노이즈 속성 및/또는 검사 데이터의 다른 영역에서의 결함의 검출 또는 비-검출을 포함할 수 있다. 이러한 단계에서 사용된 검사 데이터의 속성은 여기에 기술한 검사 데이터의 임의의 다른 속성을 포함할 수 있다. 본 실시예에서 감지도를 결정하는 단계는 설계 데이터에 상호 연관지어진 이미지 노이즈에 기초하여 검사 프로세스에 대한 PBMT 셋업을 위해 수행될 수 있다. 본 실시예에서 감지도를 결정하는 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있다.In another embodiment, the method further comprises detecting the presence of inspection data in the design data space, one or more attributes of design data in the design data space, and defects on other portions of the wafer based on at least one attribute of the inspection data May also include determining a degree of freedom. The attributes of the design data used in this step may include any of the attributes described herein. In such an embodiment, where a defect is detected at another site, one or more attributes of the inspection data include one or more image noise attributes or some combination thereof. In this manner, one or more attributes of the inspection data used in the present embodiment may include image noise attributes and / or detection or non-detection of defects in other areas of the inspection data. The attributes of the inspection data used in this step may include any other attributes of the inspection data described herein. In this embodiment, the step of determining the degree of sensitivity may be performed for PBMT setup for the inspection process based on the image noise correlated to the design data. The step of determining the degree of sensitivity in this embodiment may be performed as described further herein.

다른 실시예에서, 상기 방법은 웨이퍼 상에서 제조되고 있는 장치의 설계에 대한 개략적인 데이터(schematic data)의 1 이상의 속성, 장치에 대한 물리적 레이아웃의 기대되는 전기적 거동의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 웨이퍼 상의 결함을 검출하기 위한 1 이상의 매개변수를 변경하는 단계를 포함한다. 이러한 방식에서, 디자인 개략 데이터 속성 및 다른 물리적 설계(레이아웃)의 기대되는 거동의 다른 전기적 디스크립션(description)은 결함을 검출하기 위한 1 이상의 매개변수 또는 검사 프로세스의 임의의 다른 매개변수를 변경하는데 사용할 수 있다. 예컨대, 중요 경로 및 비-중요 경로, 활성 및 비-활성 구조, 및 물리적 설계(레이아웃)의 기대되는 전기적 거동 또는 개략 데이터에 관한 그러한 다른 정보는, 결함을 검출하기 위한 감지도를 변경하고, 웨이퍼의 어느 부위에서 결함을 검출할지를 결정하고(예컨대, 주의 영역 및 비-주의 영역), 결함을 검출하기 위해 검사 데이터의 어느 부위를 사용할지를 결정하고(예컨대, 설계 데이터 스페이스에 대한 웨이퍼 스페이스로부터의 상호연관), 검사 프로세스의 1 이상의 다른 매개변수를 변경하는데 사용할 수 있다.In another embodiment, the method further comprises the step of providing at least one attribute of the schematic data for the design of the device being fabricated on the wafer, one or more attributes of the expected electrical behavior of the physical layout for the device, And modifying one or more parameters for detecting defects on the wafer based on the at least one parameter. In this manner, another electrical description of the expected behavior of the design outline data attribute and other physical design (layout) can be used to change one or more parameters for detecting the defect or any other parameter of the inspection process have. For example, such other information regarding the critical path and non-critical path, the active and non-active structures, and the expected electrical behavior or approximate data of the physical design (layout) may change the sensitivity to detect defects, (E.g., the attention area and the non-attention area), determine which part of the inspection data to use to detect the defect (for example, determine whether to use the mutual information from the wafer space for the design data space Association), can be used to change one or more other parameters of the inspection process.

다른 예에서, 데이터 포착 속도 및 전기적 거동 모니터링은 설계/이미지 콘텍스트에 기초하여 수행될 수 있다. 예컨대, 전기적 거동은 전기 테스트, FA, 또는 당업계에 알려진 임의의 다른 테스트 또는 분석을 수행하거나, 그러한 테스트나 분석 결과를 사용하여 모니터링할 수 있다. 전기 테스트, FA, 또는 다른 테스트나 분석의 결과는 개략적인 데이터 및 장치의 물리적 레이아웃에 관한 콘텍스트 정보에 상호 연관지어질 수 있다. 모니터링된 결함 포착 속도 및 전기적 거동은, 웨이퍼 상의 검출 결함에 관한 정보, 결함을 검출하기 위해 사용된 검사 프로세스에 관한 정보, 및 설계에 관한 정보를 결정하기 위해 설계/이미지 콘텍스트에 상호 연관지어질 수 있다. 예컨대, 결함 포착 속도 및 전기적 거동 모니터링 결과는 웨이퍼 상에서 어느 유형의 결함이 검출되는지, 어느 결함은 검출되어야 하지만(예컨대, 온라인 검사 프로세스에서) 어느 결함은 검출되지 않는지, 그리고 설계 내의 위크 지점을 결정하기 위해 사용될 수 있다. 그러한 정보는 여기에 추가로 기술하는 바와 같이 검사 프로세스를 변경하는데 사용될 수 있다.In another example, data capture rate and electrical behavior monitoring may be performed based on the design / image context. For example, the electrical behavior can be monitored by performing electrical tests, FA, or any other test or analysis known in the art, or using such test or analysis results. The results of electrical tests, FAs, or other tests or analyzes can be correlated to the contextual information regarding the schematic data and the physical layout of the device. The monitored defect capture rate and electrical behavior can be correlated to the design / image context to determine information about detection defects on the wafer, information about the inspection process used to detect defects, and information about the design have. For example, the defect capture rate and electrical behavior monitoring results may indicate which type of defect is detected on the wafer, which defects should be detected (e.g., in an online inspection process), but which defects are not detected, Lt; / RTI > Such information may be used to alter the inspection process as further described herein.

추가적인 실시예에서, 상기 방법은 웨이퍼 상에 수행되는 전기 테스트 프로세스의 1 이상의 매개변수에 기초한 검사 데이터를 사용하여 웨이퍼 상의 데이터를 검출하기 위한 1 이상의 매개변수를 변경하는 단계를 포함한다. 예컨대, 웨이퍼 상의 결함을 검출하기 위한 1 이상의 매개변수 또는 검사 프로세스의 임의의 다른 매개변수는 대응(물리적) 설계 데이터 스페이스에 연관된 전기 테스트 규정에 기초하여 변경할 수 있다. 이러한 방식에서, 검사 프로세스는 전기 테스트를 어떻게 수행되는지에 기초하여 변경할 수 있다. 그러한 일 예에서, 전기 테스트 프로세스에 의해서 분석될 웨이퍼 상의 영역은 전기 테스트 프로세스의 1 이상의 매개변수에 기초하여 결정할 수 있고, 결함을 검출하기 위한 1 이상의 매개변수 또는 검사 프로세스의 임의의 다른 매개변수는 전기 테스트 프로세스에서 분석되지 않을 웨이퍼 상의 영역 내의 결함이 적절한 감지도로 검사될 수 있도록 변경할 수 있다.In a further embodiment, the method includes modifying one or more parameters for detecting data on the wafer using inspection data based on one or more parameters of an electrical test process performed on the wafer. For example, one or more parameters for detecting defects on the wafer or any other parameters of the inspection process may be changed based on electrical test provisions associated with the corresponding (physical) design data space. In this manner, the inspection process can change based on how the electrical test is performed. In such an example, the area on the wafer to be analyzed by the electrical test process may be determined based on one or more parameters of the electrical test process, and one or more parameters for detecting defects or any other parameter of the inspection process The defect in the area on the wafer that is not to be analyzed in the electrical test process can be changed so that it can be inspected with appropriate detection.

또한, 전기 테스트 프로세스의 1 이상의 매개변수 및 설계 데이터 스페이스 또는 웨이퍼 스페이스 내의 결함의 위치는 전기 테스트 프로세스에 의해 테스트 되지 않을(또는 "전기 테스트 배제") 결함을 식별하는데 사용될 수 있다. 그러한 일 예에서, 전기 테스트 프로세스에서 테스트 될 웨이퍼 상의 영역 및 웨이퍼 상의 결함의 위치는 전기 테스트 프로세스에 의해 어느 결함을 테스트할지를 결정하는데 사용할 수 있다. 다른 예에서, 전기 테스트 프로세스에서 테스트 될 설계 내의 영역 및 설계 데이터 스페이스 내의 결함의 위치는 전기 테스트 프로세스에 의해 어느 결함을 검출하지 않을지를 결정하는데 사용할 수 있다. 유사한 방식에서, 전기 테스트 프로세스의 1 이상의 매개변수 및 설계 데이터 스페이스 또는 웨이퍼 스페이스 내의 결함의 위치는, 결함이 전기 테스트 프로세스에 의해서 테스트 될지 테스트 되지 않을지에 따라서 결함을 다른 그룹으로 분리하거나 비닝 하기 위해 사용할 수 있다.In addition, one or more parameters of the electrical test process and the location of defects in the design data space or wafer space may be used to identify defects that will not be tested by the electrical test process (or "electrical test exclusion"). In such an example, the area on the wafer and the location of defects on the wafer to be tested in the electrical test process can be used to determine which defect to test by the electrical test process. In another example, the locations in the design and the defects within the design data space to be tested in the electrical test process can be used to determine which defects are not to be detected by the electrical test process. In a similar manner, one or more parameters of the electrical test process and the location of defects in the design data space or wafer space may be used to isolate or bin the defects into different groups depending on whether the defects are to be tested by the electrical testing process or not .

웨이퍼 스페이스에서, 핫 스팟에 관한 정보(예컨대, 핫 스팟 데이터베이스로부터의 정보) 및 설계 데이터의 속성은 모니터링 단계에서 검사 레시피를 셋업하는데 사용할 수 있다. 예컨대, 주의 영역은 모니터링 단계에서 웨이퍼 스페이스 내에서 자동으로 규정될 수 있다. 자동으로 규정된 영역은 매크로 및 마이크로 보호 영역을 포함할 수 있다. 자동으로 규정된 보호 영역은 비-보호 영역을 또한 포함할 수 있다. 또한, 검사 레시피는 감지도를 자동으로 변경하고, 뉴슨스 결함을 필터링하고, 알려진 체계적 결함의 포착을 강화하고(예컨대, 핫 스팟 또는 핫 스팟 영역에 대한 감지도를 강화), 콜드 스폿(cold spot) 영역에 대응하는 결함 신호 또는 데이터를 억제하기 위해 셋업될 수 있다. 또한, 핫 스팟에 관한 정보 및 설계 데이터의 속성은 검사 레시피를 더 나은 그룹으로 셋업하고, GDS(즉, GDS 패턴 그룹화) 및/또는 GDS 패턴 그룹화 파레토(pareto)를 사용하는 설계 데이터 기반 비닝을 포함할 수 있는 결함 및 샘플 결함 분류 또는 비닝을 위해 사용할 수 있다.In the wafer space, information about the hot spot (e.g., information from the hot spot database) and attributes of the design data can be used to set up the inspection recipe in the monitoring step. For example, the attention area can be automatically defined in the wafer space in the monitoring step. Automatically defined areas may include macro and micro protection areas. Automatically defined protection zones may also include non-protection zones. In addition, inspection recipes can be used to automatically change the sensitivity, filter out Newson's defects, enhance the capture of known systematic defects (e.g., enhance sensitivity to hot spots or hot spot areas) Lt; RTI ID = 0.0 > defective < / RTI > In addition, the information about the hot spot and the attributes of the design data include setting up the inspection recipes into a better group and design data based binning using GDS (i.e., GDS pattern grouping) and / or GDS pattern grouping pareto And can be used for defect defects and sample defect classification or binning.

추가의 실시예에서, 상기 방법은 피드백 제어 기술을 사용하여 상기 방법의 1 이상의 단계의 결과에 기초하여 검사 시스템에 의해 수행되는 검사 프로세스의 1 이상의 매개변수를 주기적으로 변경하는 것을 포함할 수 있다. 다른 실시예에서, 상기 방법은 피드백 제어 기술을 사용하여 상기 방법의 1 이상의 단계의 결과에 기초하여 검사 시스템에 의해서 수행되는 검사 프로세스의 1 이상의 매개변수를 자동으로 변경하는 것을 포함할 수 있다. 또한, 모니터링 단계는 프로세스 영역 차이의 이전의 지식과 조합하여 이전의 계측에 기초하여 검사 레시피 또는 매개변수를 변경하는 단계를 포함하는 검사 프로세스에 대한 자동 프로세스 제어(APC)를 포함할 수 있다. 계측 프로세스에 대한 APC는, 후속 계측에서 수행될 측정에 부가하여 측정이 수행될 위치를 결정하기 위해, 여기에 기술한 임의의 실시예에 따라 식별될 수 있는 체계적 결함에 기초하여 수행될 수 있다. 테스트 프로세스에 대한 APC는, 후속 전기 테스트에서 테스트 될 전기적 매개변수 및 테스트가 수행될 위치를 결정하기 위해, 여기에 기술한 임의의 실시예에 따라 식별될 수 있는 체계적 결함에 기초하여 수행할 수 있다.In a further embodiment, the method may comprise periodically varying one or more parameters of the inspection process performed by the inspection system based on the results of one or more of the steps of the method using feedback control techniques. In another embodiment, the method may include automatically changing one or more parameters of the inspection process performed by the inspection system based on the results of one or more of the steps of the method using feedback control techniques. The monitoring step may also include automatic process control (APC) for the inspection process, including changing the inspection recipe or parameters based on previous measurements in combination with previous knowledge of process area differences. The APC for the metering process may be performed based on systematic defects that may be identified in accordance with any of the embodiments described herein, in addition to the measurements to be performed in subsequent metrics, to determine where the measurements are to be performed. The APC for the test process can be performed based on systematic defects that can be identified in accordance with any of the embodiments described herein to determine the electrical parameters to be tested in the subsequent electrical test and the location where the test is to be performed .

추가적인 실시예에서, 상기 방법은 그 방법의 1 이상의 단계의 결과를 사용하여 지식 베이스를 생성하는 단계와, 그 지식 베이스를 사용하여 검사 시스템에 의해 수행되는 검사 프로세스를 생성하는 단계를 포함한다. 지식 베이스는 1 이상의 이미지 속성 및/또는 설계 데이터의 1 이상의 속성을 적합한 데이터 구조에 저장함으로써 생성할 수 있다. 또한, 지식 베이스는 검사 프로세스를 생성하기 위해 사용될 수 있는 검사 시스템에 의해 취득한 누적 학습을 포함할 수 있다. 예컨대, 검사 프로세스에 있어서, 지식 베이스는 결함 검출 빈도 및 뉴슨스 결함인 검출 결함의 퍼센티지와 같은 검사의 누적 결과를 결정하는데 사용될 수 있으며, 그러한 누적 결과는 결함이 뉴슨스 결함일 확률과 같은 부가적인 정보를 결정하는데 사용할 수 있다.In a further embodiment, the method includes generating a knowledge base using the results of one or more of the steps of the method, and generating an inspection process performed by the inspection system using the knowledge base. A knowledge base may be created by storing one or more attributes of one or more image attributes and / or design data in an appropriate data structure. The knowledge base may also include cumulative learning acquired by an inspection system that may be used to generate the inspection process. For example, in the inspection process, the knowledge base can be used to determine the cumulative result of the test, such as the frequency of defect detection and the percentage of detection defects that are Newson's defects, Can be used to determine information.

그러한 지식 베이스는 여기에 추가로 기술하는 바와 같은 검사 프로세스를 생성하는데 사용할 수 있다. 이러한 방식에서, 지식 베이스는 새로운 검사 레시피를 생성하기 위해 사용할 수 있다. 또한, 지식 베이스는 레시피 셋업 및/또는 웨이퍼-리스(wafer-less) 레시피 셋업을 위한 검사 프로세스를 생성하는데 사용할 수 있다. 검사 프로세스를 생성하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 선택하는 단계를 포함할 수 있다. 또한, 지식 베이스는 레시피 최적화 및 자동 레시피 최적화에 의해 검사 프로세스를 변경하는데 사용할 수 있다. 예컨대, 상기 방법은 존재하는 검사 프로세스의 1 이상의 매개변수의 주기적 또는 자동 최적화를 위한 지식 베이스의 트레이닝을 위해 피드백 메커니즘을 사용하는 단계를 포함할 수 있다. 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다.Such a knowledge base can be used to create an inspection process as further described herein. In this way, the knowledge base can be used to create a new inspection recipe. The knowledge base can also be used to create an inspection process for recipe setup and / or wafer-less recipe setup. Creating the inspection process may include selecting any one or more parameters of the inspection process. The knowledge base can also be used to change the inspection process by recipe optimization and automatic recipe optimization. For example, the method may include using a feedback mechanism for training a knowledge base for periodic or automatic optimization of one or more parameters of an existing inspection process. Modifying the inspection process may include modifying any one or more parameters of the inspection process.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 콘텍스트 맵을 사용하여 웨이퍼 상의 레티클 결함의 인쇄 적성을 결정하기 위해 웨이퍼 검사 프로세스를 최적화하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 콘텍스트 맵과 조합하여 CBI를 사용하여 레티클 상에서 검출된 결함의 인쇄 적성을 결정할 목적으로 웨이퍼 검사 프로세스의 최적화를 포함할 수 있다. 웨이퍼 검사 프로세스를 최적화하는 단계는 여기에 기술한 임의의 웨이퍼 검사 프로세스의 임의의 매개변수를 포함할 수 있는, 웨이퍼 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 일반적으로, 웨이퍼 상의 레티클 결함의 인쇄 적성을 결정하는 단계는 레티클 상의 결함에 대응할 수 있는 웨이퍼 상의 결함을 검출하기 위해 웨이퍼를 검사하는 단계를 포함할 수 있다. 이러한 방식에서, 레티클 결함의 인쇄 적성을 결정하기 위해 웨이퍼 검사 프로세스를 최적화하는 단계는 레티클 상의 결함에 대응할 수 있는 웨이퍼 상의 결함을 결정하기 위하여 웨이퍼 검사 프로세스를 최적화하는 단계를 포함할 수 있다.In another embodiment, the method includes optimizing the wafer inspection process to determine printability of reticle defects on the wafer using the location of the inspection data within the design data space and the context map. In this manner, the method may include optimizing the wafer inspection process for the purpose of determining printability of defects detected on the reticle using the CBI in combination with a context map. Optimizing the wafer inspection process may include modifying any one or more of the parameters of the wafer inspection process, which may include any of the parameters of any of the wafer inspection processes described herein. Generally, the step of determining printability of a reticle defect on the wafer can include inspecting the wafer to detect defects on the wafer that may correspond to defects on the reticle. In this manner, optimizing the wafer inspection process to determine printability of the reticle defects may include optimizing the wafer inspection process to determine defects on the wafer that may correspond to defects on the reticle.

일 예에서, 상기 방법은 레티클 결함의 인쇄 적성을 결정하는데 사용될 수 있는 검사 데이터의 위치를 식별하기 위해서, 여기에 기술하는 바와 같이 결정할 수 있는, 설계 데이터 스페이스 내의 웨이퍼에 대해 취득한 검사 데이터의 위치와, 설계 데이터 스페이스 내의 1 이상의 레티클 결함의 위치를 사용하는 것을 포함할 수 있다. 이러한 방식에서, 웨이퍼에 대해 취득한 검사 데이터 및 레티클 결함의 설계 데이터 스페이스 위치는 레티클 결함에 대응할 수 있는 웨이퍼 상의 결함을 검출하기 위해 사용할 수 있는 검사 데이터의 부위를 결정하기 위해 사용할 수 있다. 콘텍스트 맵에 포함되는 설계 데이터의 임의의 속성은 레티클 결함의 인쇄 적성을 결정하기 위해 웨이퍼 검사 프로세스의 1 이상의 매개변수를 선택하는데 사용할 수 있다. 예컨대, 콘텍스트 맵은 전술한 바와 같이 식별된 검사 데이터의 부위에 대응하는 설계 데이터의 1 이상의 속성을 결정하는데 사용될 수 있다. 이러한 방식에서, 전술한 바와 같이 식별된 검사 데이터의 다른 부위에 대해 사용된 웨이퍼 검사 프로세스의 1 이상의 매개변수는 그 다른 부위에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 선택할 수 있다. 그와 같이, 1 이상의 속성의 다른 값을 갖는 설계 데이터에 대응하는, 전술한 바와 같이 식별된 검사 데이터의 다른 부위는 레티클 결함에 대응할 수 있는 웨이퍼 결함을 검출하기 위해 1 이상의 다른 매개변수에 의해 처리할 수 있다. 그러한 일 예에서, 텍스트 맵은 전술한 바와 같이 식별된 웨이퍼에 대하여 취득한 검사 데이터의 다른 부위에 대응하는 설계 데이터의 임계를 결정하는데 사용할 수 있고, 그 임계는 검사 데이터의 다른 부위 내의 결함을 검출하기 위해 감지도를 결정하는데 사용할 수 있다. 그러한 특정 예에서, 웨이퍼 검사 프로세스의 다른 매개변수가 검사 데이터의 다른 부위에 대해 선택될 수 있어서, 1 이상의 레티클 결함의 인쇄 적성은 설계 데이터의 중요하지 않은 영역보다 설계 데이터의 중요 영역에서 더 높은 정확도로 결정될 수 있다.In one example, the method may include determining the position of the inspection data acquired for the wafer in the design data space, which may be determined as described herein, to identify the location of the inspection data that may be used to determine the printability of the reticle defect , And using the location of one or more reticle defects in the design data space. In this manner, the inspection data acquired for the wafer and the design data space location of the reticle defects can be used to determine the portion of inspection data that can be used to detect defects on the wafer that may correspond to reticle defects. Any attribute of the design data contained in the context map may be used to select one or more parameters of the wafer inspection process to determine printability of the reticle defects. For example, the context map may be used to determine one or more attributes of the design data corresponding to portions of the inspection data identified as described above. In this manner, one or more parameters of the wafer inspection process used for other parts of the inspection data identified as described above may be selected based on at least one attribute of the design data corresponding to that other area. As such, other portions of the inspection data identified above, corresponding to design data having different values of one or more attributes, may be processed by one or more other parameters to detect wafer defects that may correspond to reticle defects can do. In such an example, the text map may be used to determine a threshold of design data corresponding to another portion of the inspection data acquired for the identified wafer, as described above, Can be used to determine the degree of hazard. In such a particular example, other parameters of the wafer inspection process may be selected for different portions of the inspection data, such that the printability of one or more reticle defects may be higher in the critical areas of the design data than in the non-critical areas of the design data . ≪ / RTI >

웨이퍼 검사 프로세스의 1 이상의 매개변수는 설계 데이터 스페이스 내의 검사 데이터의 위치, 콘텍스트 맵, 및 여기에 기술한 임의의 다른 정보에 기초하여 변경 및/또는 최적화될 수 있다. 예컨대, 1 이상의 레티클 결함이 검출되는 설계 데이터의 다른 부위의 1 이상의 속성은 콘텍스트 맵을 사용하여 결정될 수 있고, 레티클 결함이 검출되는 설계 데이터의 다른 부위에 대응하는 검사 데이터의 다른 부위에 대한 웨이퍼 검사 프로세스 매개변수를 선택하기 위해, 다른 부위의 1 이상의 설계 데이터 속성은 레티클 검사 데이터의 1 이상의 속성(예컨대, 1 이상의 레티클 결함의 속성)와 조합하여 사용될 수 있다. 그러한 예에서, 웨이퍼 검사 프로세스의 1 이상의 매개변수는, 실질적으로 동일한 속성을 갖는 설계 데이터의 부위에 위치된 다른 유형의 레티클 결함의 인쇄 적성이 웨이퍼 검사 프로세스의 1 이상의 다른 매개변수에 의해 결정될 수 있도록 선택할 수 있다. 다른 예에서, 웨이퍼 검사 프로세스의 1 이상의 매개변수는, 속성의 다른 값을 갖는 부위에 위치한 동일한 유형의 레티클 결함의 인쇄 적성이 웨이퍼 검사 프로세스의 1 이상의 다른 매개변수에 의해 결정될 수 있도록 선택할 수 있다.One or more parameters of the wafer inspection process may be altered and / or optimized based on the location of the inspection data within the design data space, the context map, and any other information described herein. For example, one or more attributes of another portion of design data for which one or more reticle defects are detected may be determined using a context map, and wafer inspection for other portions of inspection data corresponding to other portions of the design data for which reticle defects are detected In order to select a process parameter, one or more design data attributes of the other region may be used in combination with one or more attributes of the reticle inspection data (e.g., attributes of one or more reticle defects). In such an example, one or more parameters of the wafer inspection process may be such that the printability of other types of reticle defects located at the site of the design data having substantially the same properties can be determined by one or more other parameters of the wafer inspection process You can choose. In another example, one or more parameters of the wafer inspection process may be selected such that the printability of a reticle defect of the same type located at a site having different values of the property can be determined by one or more other parameters of the wafer inspection process.

레티클 결함의 인쇄 적성을 결정하기 위하여 웨이퍼 검사 프로세스를 최적화하기 위하여 전술한 바와 같은 실시예에서 사용된 콘텍스트 맵은 여기에 기술한 바와 같이 구성되고, 여기에 기술한 임의의 콘텍스트 맵을 포함할 수 있다. 또한, 콘텍스트 맵에 포함된 임의의 정보는 웨이퍼 검사 프로세스의 1 이상의 매개변수를 변경하기 위해 전술한 실시예에서 사용할 수 있다.The context map used in the embodiment as described above to optimize the wafer inspection process to determine the printability of the reticle defects may be configured as described herein and may include any context map described herein . In addition, any information contained in the context map may be used in the embodiments described above to change one or more parameters of the wafer inspection process.

일부 실시예에서, 상기 방법은 검사 데이터를 사용하여 웨이퍼 상에서 검출되는 결함에 기초하여 웨이퍼에 대하여 수행되는 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 예컨대, 테스트 스페이스에서, 모니터링 단계는 테스트 패턴 및/또는 다른 테스트 매개변수를 규정 또는 수정하기 위해 여기에 기술한 임의의 실시예에 따라 식별된 체계적 결함을 사용하는 단계를 포함할 수 있다. 또한, 검사 데이터를 사용하여 웨이퍼 상에서 검출된 결함은 1 이상의 결함을 전기 테스트 프로세스에 의해 테스트하지 않을지(또는 "전기 테스트 회피")를 결정하고, 1 이상의 결함이 전기 테스트 프로세스에 의해 테스트 되도록 전기 테스트 프로세스가 수행되는 웨이퍼 상의 영역을 규정하는 1 이상의 매개변수를 변경하는데 사용할 수 있다. 이러한 방식에서, 검사 프로세스의 결과는 전기 테스트 프로세스에서 테스트 되지 않은 복수의 결함을 줄이기 위해 전기 테스트 프로세스에 공급될 수 있다. 또한, 전기 테스트 프로세스의 1 이상의 매개변수는, 검사 데이터를 사용하여 웨이퍼 상에서 검출된 결함, 여기에 기술한 바와 같이 결정할 수 있는 설계 데이터 스페이스 또는 웨이퍼 스페이스 내의 결함의 위치, 여기에 기술한 방식으로 결정된 여기에 기술한 결함의 임의의 속성을 포함할 수 있는 결함의 1 이상의 속성, 여기에 기술한 임의의 방식으로 결정된 여기에 기술한 임의의 설계 데이터 속성을 포함할 수 있는 설계 데이터의 1 이상의 속성, 여기에 기술한 임의의 다른 정보, 또는 이들의 일부 조합에 기초하여 변경할 수 있다. 예컨대, 결합의 위치, 결함의 속성, 및 설계 데이터의 속성은 여기에 기술한 1 이상의 결함에 대한 오류 확률 값을 결정하기 위해 사용할 수 있다. 존재하는 전기 테스트 프로세스에 의해 테스트 되지 않을 결함이 비교적 낮은 오류 확률 값을 갖는 경우, 전기 테스트 프로세스의 1 이상의 매개변수는 상기 방법에 의해 변경되지 않을 수 있다. 대조적으로, 존재하는 전기 테스트 프로세스에 의해 테스트 되지 않을 결함이 비교적 높은 오류 확률 값을 갖는 경우, 전기 테스트 프로세스의 1 이상의 매개변수는 비교적 높은 오류 확률 값을 갖는 결함이 전기 테스트 프로세스에 의해 테스트 되도록 변경될 수 있다. 유사한 방식에서, 계측 프로세스의 샘플링과 같은 계측 프로세스의 1 이상의 매개변수가 전술한 바와 같이 선택되거나, 결정되거나, 변경될 수 있다.In some embodiments, the method includes modifying one or more parameters of an electrical test process performed on the wafer based on defects detected on the wafer using the inspection data. For example, in a test space, the monitoring step may include using identified systematic defects in accordance with any of the embodiments described herein to define or modify test patterns and / or other test parameters. In addition, the defects detected on the wafer using the inspection data are determined to not be tested by the electrical test process (or "electrical test avoidance") and one or more defects are tested by the electrical test process May be used to modify one or more parameters that define the area on the wafer on which the process is performed. In this manner, the results of the inspection process can be fed into the electrical test process to reduce a plurality of defects that have not been tested in the electrical test process. In addition, one or more parameters of the electrical testing process may be determined using the inspection data, such as the defects detected on the wafer, the location of the defects in the design data space or wafer space that can be determined as described herein, One or more attributes of the design data that may include one or more attributes of a defect that may include any attribute of a defect described herein, any design data attribute described herein, determined in any manner described herein, Any other information described herein, or some combination thereof. For example, the location of the joint, the nature of the defect, and the attributes of the design data can be used to determine the error probability value for one or more defects described herein. If a defect that is not to be tested by an existing electrical test process has a relatively low error probability value, then one or more parameters of the electrical test process may not be changed by the method. In contrast, if a defect that is not to be tested by an existing electrical test process has a relatively high error probability value, one or more parameters of the electrical test process may be modified by the electrical test process so that a defect having a relatively high error probability value is tested by the electrical test process . In a similar manner, one or more parameters of the metrology process, such as sampling of the metrology process, may be selected, determined, or changed as described above.

설계 데이터에 대한 검사 데이터의 정렬은 웨이퍼 상의 "핫 스팟"의 검사를 가능하게 한다. "핫 스팟"은 킬러 결함(killer defect)이 존재할 수 있는 웨이퍼 상에 인쇄된 설계 데이터 내의 위치로서 일반적으로 규정될 수 있다. 대조적으로, "콜드 스폿"은 뉴슨스 결함이 존재할 수 있는 웨이퍼 상에 인쇄된 설계 데이터 내의 위치로서 일반적으로 규정될 수 있다. 뉴슨스 결함의 일 예는 웨이퍼 상에 형성된 장치의 수율에 실질적으로 영향을 미치지 않지만, 그 위치에 결함이 존재한다는 것을 검사 시스템이 지시하게 야기하는 특징의 임계 치수(CD) 내의 변동이다. 일부 결함은, 결함이 웨이퍼의 다른 층에 형성된 장치 구조에 의해 접촉되는 경우와 같은 특정 상황하에서만 킬러 결함일 수 있다. 따라서, 웨이퍼 상에 인쇄되는 설계 데이터 내에 그러한 결함이 존재할 수 있는 위치는 일반적으로 "조정적 핫 스팟"이라 칭할 수 있다.Alignment of the inspection data to the design data enables inspection of "hot spots" on the wafer. A "hot spot" may be generally defined as a location in the design data printed on the wafer where there may be a killer defect. In contrast, a "cold spot" can be generally defined as a position in the design data printed on a wafer on which Newson's defect may be present. An example of Newson's defect is a variation in the critical dimension (CD) of the feature that does not substantially affect the yield of the device formed on the wafer, but which causes the inspection system to indicate that there is a defect at that location. Some defects may be killer defects only under certain circumstances, such as when the defects are contacted by device structures formed in different layers of the wafer. Thus, the location at which such defects may be present in the design data printed on the wafer may be generally referred to as a "coordinating hot spot ".

추가의 실시예에서, 도 1의 단계 20에 나타낸 바와 같이, 상기 방법은 웨이퍼 상에서 검출된 결함이 뉴슨스 결함인지를 결정하는 단계를 포함한다. 결함이 뉴슨스 결함인지의 여부는 설계 데이터 스페이스 내의 검사 데이터의 위치와 설계 데이터의 1 이상의 속성에 기초하여 결정된다. 예컨대, 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계와, 설계 데이터 스페이스 내의 결함의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 결함이 뉴슨스 결함인지를 결정하는 단계를 포함한다. 이러한 단계에서 뉴슨스 결함을 식별하기 위해 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 예컨대, 설계 데이터의 1 이상의 속성이 콘텍스트 맵 내에 규정될 수 있다. 이러한 방식에서, 상기 방법은 비한정적으로 예컨대, PWQ와 같은 애플리케이션에서 중요하지 않은 것으로 간주된 결함(예컨대, 뉴슨스 결함)을 필터링하기 위해 결함 데이터에 콘텍스트 맵을 인가하는 단계를 포함할 수 있다. 그와 같이, 제조 프로세스의 용량의 한계에 근접하는 설부의 부위는 콘텍스트에 기초하여, 중요한 부위와 중요하지 않은 부위로 분리된다. 다른 예에서, 이러한 단계에서 뉴슨스 결함을 식별하기 위해 사용된 설계 데이터의 속성은 설계 데이터에 대한 핫 스팟 정보를 포함한다. 이러한 방식에서, 설계 데이터 스페이스 내의 결함의 위치 및 핫 스팟 정보는 설계 데이터 내의 콜드 스폿에서 검출된 결함을 뉴슨스 결함으로 식별하는데 사용할 수 있다.In a further embodiment, as shown in step 20 of Figure 1, the method includes determining if the defect detected on the wafer is a Newson defect. Whether or not the defect is a Newson defect is determined based on the position of the inspection data in the design data space and one or more attributes of the design data. For example, in some embodiments, the method includes determining a location of a defect in a design data space based on a location of inspection data in a design data space, determining a location of a defect in the design data space, And determining whether the defect is a Newson defect based on the above attribute. One or more attributes of the design data used to identify the Newson defect at this stage may include any of the attributes described herein. For example, one or more attributes of the design data may be defined in the context map. In this manner, the method may include, without limitation, applying a context map to the defect data to filter out faults (e.g., Newson defect) that are deemed unimportant in an application such as, for example, a PWQ. As such, portions of the tongue close to the limits of the capacity of the manufacturing process are separated into critical and non-critical portions based on the context. In another example, the attributes of the design data used to identify Newson's defects at this stage include hotspot information for the design data. In this manner, the location of the defects and the hotspot information in the design data space can be used to identify the defects detected in the coldspots in the design data as Newsonian defects.

리소그라피를 위한 PWQ 애플리케이션은 다른 노광량 및 초점 오프셋(즉, 조정된 조사량 및 초점)에서 웨이퍼 상의 다이를 노광시키는 단계와, 설계 취약점 영역을 결정하고, 프로세스 창을 결정하는데 사용될 수 있는 다이 내의 체계적 결함을 식별하는 단계를 일반적으로 포함한다. 리소그라피를 위한 PWQ 애플리케이션의 예는 통상적으로 양도된 미국 특허 출원 일련번호 11/005,658호(2004년 12월 7일 출원; Wu et al.)호 개시되며, 그것은 여기에 완전히 언급한 것과 같이 참고자료로 포함된다. 초점 및 노광 조정의 여러 인공요소는 결함(다이-표준 기준 다이 차분)으로서 나타날 수 있지만, 실제로는 뉴슨스 결함이다. 그러한 인공 요소의 예는 CD 변동 및 라인-단부 풀백(pullback) 또는 그러한 인공 요소가 장치의 수율 또는 성능에 영향을 미치지 않거나 적게 미치는 영역의 단축화를 포함할 수 있다. 하지만, 결함의 위치는 여기에 기술한 방법을 사용하여 설계 레이아웃에 대하여 실질적으로 정확히 결정할 수 있다. 또한, 여기에 기술한 방법은 전술한 바와 같이 비교적 높은 정확도로 보호 영역을 결정하는데 사용할 수 있다. "마이크로" 보호 영역은 알려진 핫 스팟에 집중되어 비교적 높은 감지도로 검사될 수 있거나, 비-주의 영역 또는 비교적 낮은 감지도로 검사되는 영역으로서 알려진 콜드-스폿(체계적 뉴슨스)에 집중될 수 있다.The PWQ application for lithography can be used to expose dies on a wafer at different exposure amounts and focus offsets (i.e., adjusted dose and focus), determine systematic defects in the die that can be used to determine the design vulnerability area, And < / RTI > An example of a PWQ application for lithography is disclosed in commonly assigned U.S. Patent Application Serial No. 11 / 005,658 (filed December 7, 2004; Wu et al.), Which is incorporated herein by reference in its entirety . Several artifacts of focus and exposure adjustments may appear as defects (die-standard reference die differential), but are actually Newson's defects. Examples of such artificial elements may include CD variation and line-end pullback or shortening of the area where such artificial elements have no or less effect on the yield or performance of the apparatus. However, the location of the defects can be determined substantially precisely for the design layout using the methods described herein. In addition, the method described herein can be used to determine the protection area with relatively high accuracy as described above. The "micro" protection zone can be focused on a known hot spot and can be inspected with a relatively high sensitivity, or focused on a cold-spot (systemic news) known as a non-attention zone or a relatively low detection zone.

따라서, 전술한 바와 같이, 상기 방법은 설계 데이터 스페이스에 대한 결함의 위치 및 그 위치가 주의 영역 내에 있는지의 여부에 기초하여 결함이 뉴슨스 결함인지를 결정하는 단계를 포함할 수 있다. 결함은 콘텍스트, 사이즈, 용장, PWQ "룰", 또는 이들의 일부 조합에 따라 필터링될 수 있다. 예컨대, 프로세스 스페이스에서, PWQ 분석 및 DOE 분석은 모니터링 단계에서 핫 스팟을 사용하여 수행할 수 있다. 또한, 여기에 기술한 방법은 제한된 해상도로 인하여 현재 사용되는 노이즈 필터가 오류가 나는 65nm 설계 룰 이하의 PWQ 애플리케이션까지 연장하는데 사용할 수 있다. 따라서, 여기에 기술한 방법의 하나의 장점은, 상기 방법이 체계적 결함 및 DFM 결함을 검출하기 위하여 BF 검사를 연장시키는데 사용될 수 있다는 것이다. 특히, 여기에 기술한 바와 같이, CBI는 체계적 결함 검사 및/또는 65nm 설계 룰 이하에서의 DFM 애플리케이션과 같은 BF 검사 시스템에 대한 추가적인 기능성을 가능하게 할 수 있다. 상기 방법은 DFM 체계적 결함의 근본 원인의 비교적 신속한 결정을 제공하거나 지원할 수 있다. 근본 원인의 결정은 여기에 추가로 기술하는 바와 같이 수행할 수 있다.Thus, as described above, the method may include determining whether the defect is a Newson defect based on the location of the defect for the design data space and whether the location is within the area of interest. Defects may be filtered according to context, size, redundancy, PWQ "rules ", or some combination thereof. For example, in process space, PWQ analysis and DOE analysis can be performed using hot spots in the monitoring phase. In addition, the method described herein can be used to extend the PWQ application below the 65 nm design rule, where the currently used noise filter is faulty due to the limited resolution. Thus, one advantage of the method described herein is that the method can be used to extend the BF test to detect systematic defects and DFM defects. In particular, as described herein, CBI can enable additional functionality for BF inspection systems such as systematic defect inspection and / or DFM applications below 65 nm design rules. The method may provide or support a relatively quick determination of the root cause of a DFM systematic defect. The determination of the root cause can be performed as described further herein.

다른 실시예에서, 단계 22에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성(전술한 바와 같이 콘텍스트 맵 내에 규정될 수 있는)에 기초하여, 또는 결함의 위치를 목록이나 데이터베이스와 같은 데이터 구조 내에 저장될 수 있는 핫 스팟의 위치와 비교하여, 뉴슨스 결함으로 결정되지 않은 결함이 체계적 또는 랜덤 결함인지를 결정하는 단계를 포함한다. 또한, 관심이 없는 모든 결함이 뉴슨스 결함은 아닐 수 있다. 예컨대, 수율에 대한 영향이 비교적 낮거나 없는 체계적 결함은 뉴슨스 결함이 아니라 관심이 없는 결함일 수 있다. 그러한 결함은 활성 패턴 상에 또는 웨이퍼의 장치 영역에 나타날 수 있다. 여기에 기술한 방법은 그러한 결함을 식별하는 단계를 포함할 수 있다. 그러한 결함, 또는 콜드 스폿에 위치한 결함은 설계 콘텍스트(예컨대, 용장 비어), 모델링(예컨대, 디자인스캔), PWQ, 검사 및 리뷰, 및 테스트와 결함의 상관관계(예컨대, 비교적 낮은 적층 전기적 오류 위치를 갖는 위치에서의 비교적 높은 적층 결함 밀도 등)으로부터 식별될 수 있다. 또한, 이들 결함의 모니터링은 결함의 위치와 핫 스팟 및 콜드 스폿의 위치를 비교함으로써 수행될 수 있다. 이들 결함이 위치한 패턴이 공통적인 경우, 여기에 기술한 설계 데이터 기반 그룹화 방법을 사용하여 다른 체계적 결함으로부터 개별적으로 비닝될 수 있다. 또한, 체계적 결함의 탐색은 설계로부터의 복수의 입력 소스, 모델링된 결과, 검사 결과, 계측 결과, 및 테스트 및 FA 결과를 상호 연관지음으로써 수행될 수 있다.In another embodiment, as shown in step 22, the method may be based on one or more attributes of the design data in the design data space (which may be defined in the context map, as described above) And determining whether a defect that is not determined as a Newson defect is a systematic or random defect. ≪ RTI ID = 0.0 > [0033] < / RTI > Also, any defects that are not of interest may not be Newson's defects. For example, a systematic defect with relatively low or no effect on yield may be a Newson defect, but not a defect of interest. Such defects can appear on the active pattern or in the device region of the wafer. The method described herein may include identifying such defects. Such a defect, or a defect located in a cold spot, can be used to determine a design context (e.g., redundant via), modeling (e.g., design scan), PWQ, inspection and review, A relatively high stacking defect density at the location of the substrate). Further, monitoring of these defects can be performed by comparing the positions of the defects with the positions of the hot spots and the cold spots. If the patterns in which these defects are located are common, they can be binned separately from other systematic defects using the design data based grouping method described herein. In addition, the search for systematic faults can be performed by correlating multiple input sources from the design, modeled results, test results, metrology results, and test and FA results.

체계적 DOI는 모든 패턴 의존적 결함 유형을 포함할 수 있다. 체계적 결함을 식별하는 것은, 결함이 장치에 끼칠 수 있는 영향이 분석될 수 있어서 유리하다. 랜덤 DOI는 중요한 유형의 랜덤 결함의 통계적 샘플을 포함할 수 있다. 중요한 유형의 랜덤 결함을 분석하여 그 결함이 장치에 대하여 끼치는 영향을 결정할 수 있기 때문에, 랜덤 결함을 분석하는 것은 이롭다. 또한, 랜덤 결함을 분석함으로써, 1 이상의 검사 프로세스 매개변수는 뉴슨스 결함으로 고려할 수 있는 랜덤 결함의 검출을 억제하도록 변경될 수 있다. 또한, 검사 프로세스 매개변수는 뉴슨스 결함을 체계적 원인(콜드 스폿)으로부터 구분하도록 변경될 수 있다.Systematic DOIs can include all pattern-dependent defect types. Identifying systematic defects is advantageous because the effect that defects can have on the device can be analyzed. The random DOI may contain statistical samples of random defects of an important type. It is advantageous to analyze random defects because it is possible to analyze the important types of random defects and determine the impact that defects have on the device. Further, by analyzing the random defects, one or more inspection process parameters can be modified to suppress the detection of random defects that can be considered as Newson defects. In addition, inspection process parameters can be modified to distinguish Newson defects from systemic causes (cold spots).

웨이퍼 상에서 검출된 결함의 유형과, 다른 유형의 결함이 갖는 수율에의 관련성에 기초하여 수율을 더욱 정확히 예측할 수 있기 때문에, 결함이 뉴슨스 결함, 체계적 결함, 또는 랜덤 결함인지의 결정은 이롭다. 또한, 가능하게는 수율 예측과 조합하여, 여기에 기술한 방법의 결과는 설계 데이터 및 제조 프로세스에 관한 1 이상의 결정을 하는데 사용될 수 있다. 예컨대, 여기에 기술한 방법의 결과는 IC 설계를 입증하는데 사용될 수 있다. 다른 예에서, 여기에 기술한 방법의 결과는 IC 설계 프로세스에 피드백될 수 있어서, 프로세스에 의해 생성된 IC 설계는 더 적은 체계적 결함 및/또는 더 적은 유형의 체계적 결함에 민감할 수 있다. 그러한 일 예에서, 여기에 기술한 방법의 결과는 IC 설계 프로세스에서 사용된 설계 및/또는 광학적 룰을 변경하는데 사용할 수 있다. 또 다른 예에서, 여기에 기술한 방법은 검사되고 있는 웨이퍼 레벨을 제조하기 위해 사용되는 프로세스의 1 이상의 매개변수를 변경하는데 사용될 수 있다. 바람직하게는, 더 적은 체계적 결함 및/또는 더 적은 유형의 체계적 결함, 그리고 가능하게는 더 적은 중요한 랜덤 결함 및/또는 더 적은 유형의 중요 랜덤 결함이 프로세스에 의해 야기되도록, 프로세스의 1 이상의 매개변수가 변경된다.The determination of whether the defect is a Newson defect, a systematic defect, or a random defect is advantageous because the yield can be more accurately predicted based on the type of defect detected on the wafer and the relation to the yields of other types of defect. Also, possibly in combination with yield prediction, the results of the methods described herein can be used to make one or more decisions regarding design data and manufacturing processes. For example, the results of the method described herein can be used to demonstrate the IC design. In another example, the results of the method described herein may be fed back to the IC design process so that the IC design generated by the process may be less systematic and / or less systematic. In such an example, the results of the methods described herein may be used to modify the design and / or optical rules used in the IC design process. In another example, the methods described herein can be used to modify one or more parameters of a process used to fabricate the wafer level being inspected. Preferably, one or more parameters of the process, such as fewer systematic defects and / or fewer types of systematic defects, and possibly less important random defects and / or fewer types of critical random defects are caused by the process Is changed.

일부 실시예에서, 단계 24에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 1 이상의 결함을 분류하는 단계를 포함한다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치는 설계 데이터 스페이스 내의 검사 데이터의 위치로부터 결정할 수 있다. 또한, 설계 데이터 스페이스 내의 결함의 위치와 연관된 설계 데이터의 1 이상의 속성은 콘텍스트 맵으로부터 또는 여기에 기술한 임의의 다른 방식으로 결정할 수 있으며, 결함의 위치와 연관된 1 이상의 속성은 결함을 분류하는데 사용할 수 있다. 다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 결함에 대응하는 검사 데이터의 부위의 위치와, 여기에 추가로 기술하는 바와 같이, 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성에 대한 값을 포함할 수 있는 콘텍스트 맵에 기초하여, 웨이퍼의 다른 부위에서 검출된 결함을 분류하는 단계를 포함할 수 있다. 이러한 방식에서, 상기 방법은 결함을 콘텍스트에 의해 분류하기 위해 콘텍스트 맵을 사용할 수 있다. 이러한 단계로 결함을 분류하는 것은 여기에 기술한 임의의 다른 방식으로 수행할 수 있다.In some embodiments, as shown in step 24, the method includes classifying one or more defects based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. For example, the location of defects in the design data space can be determined from the location of the inspection data in the design data space. In addition, one or more attributes of the design data associated with the location of the defects in the design data space may be determined from the context map or in any other manner described herein, and one or more attributes associated with the location of the defects may be used to classify the defects have. In another embodiment, the method includes the location of a portion of inspection data corresponding to a defect in the design data space and a value for one or more properties of the design data over the design data space, as further described herein And classifying defects detected at other portions of the wafer based on the context map that can be detected. In this way, the method can use a context map to classify defects by context. Classifying defects at this stage may be performed in any of the other ways described herein.

그러한 일 예에서, 결함 분류는 웨이퍼의 검사 동안에 검사 시스템에 의해서 수행된다. 예컨대, 콘텍스트 맵은 웨이퍼 검사시 여기에 기술한 바와 같이 결함을 분류하기 위해 검사 시스템에 의해 사용될 수 있다. 그러한 다른 실시예에서, 결함 분류는 웨이퍼에 대한 검사 데이터 취득이 완료된 후에 수행된다. 예컨대, 검사 데이터가 오프라인으로 이용가능한 후에, 콘텍스트 맵은 여기에 후술하는 바와 같이, 결함을 분류하기 위해 검사 시스템에 의해 사용될 수 있다. 이러한 방식에서, 상기 방법은 제 2 패스 고 해상도 결함 분류(HRDC)에서 온라인으로(예컨대, 검사 시스템을 사용하여), 또는 HRDC에서 오프라인으로(예컨대, SEM 리뷰 스테이션을 사용하여) 결함을 분류하기 위해 콘텍스트 맵을 사용하는 것을 포함할 수 있다. 통상적으로, 검사 시스템에 의해 온라인으로 수행되든지 또는 리뷰 시스템(광학적 또는 SEM)에서 오프라인으로 수행되든지, 제 2 패스 결함 분류는 결함의 재검출 및 분류를 포함한다. 재검출 및 분류 양쪽은 유저에 의해 수동으로 또는 자동으로(즉, 자동 결함 분류; ADC) 수행할 수 있다. 설계 룰이 축소함에 따라, 오류 물체를 리뷰 프로세스에서 결함으로 식별할 가능성이 증가한다. 설계 데이터 및 콘텍스트 맵은 재검출 및 분류 양쪽에서 유용할 수 있다.In such an example, the defect classification is performed by the inspection system during inspection of the wafer. For example, the context map may be used by the inspection system to classify defects as described herein during wafer inspection. In such another embodiment, the defect classification is performed after the inspection data acquisition for the wafer is completed. For example, after the inspection data is available offline, the context map may be used by the inspection system to classify the defects, as described herein below. In this manner, the method may be used to classify defects either online (e.g., using the inspection system) or offline (e.g., using the SEM review station) at HRDC in a second pass high resolution defect classification (HRDC) Context maps may be used. Typically, the second pass fault classification includes re-detection and classification of defects, whether performed online by the inspection system or offline in the review system (optical or SEM). Both re-detection and classification can be performed manually or automatically by the user (i.e., automatic defect classification (ADC)). As design rules shrink, the likelihood of identifying an erroneous object as a defect in the review process increases. The design data and context map may be useful both in re-detection and classification.

재검출에서, 콘텍스트 맵은 정정한 결함을 유저 또는 시스템이 리뷰 시스템의 시야에 위치시키게 허용하는 결함 근방의 로컬 배경 정보를 제공한다. 예컨대, 리뷰 시스템에 의해 생성된 웨이퍼의 로컬 이미지는 설계 데이터에 정렬될 수 있고, 이에 의해 설계 데이터 스페이스 내의 결함의 위치가 정렬된 로컬 이미지 내에서 실질적으로 정확히 식별되게 허용한다. 또한, 설계 데이터의 시뮬레이션된 이미지는(예컨대, 그레이 스케일 이미지)는 로컬 이미지에 대한 정렬을 위해 리뷰 시스템에 의해 사용될 수 있고, 설계 데이터 스페이스 내의 결함의 위치는 로컬 이미지 내의 결함의 위치를 결정하는데 사용될 수 있다. 그러한 시뮬레이션된 이미지는 리뷰 프로세스에서 미세 정렬 및 결함의 재검출을 위해 사용될 수 있다. 그러한 시뮬레이션의 예는 여기에 완전히 언급된 것과 같이 참고자료로 포함되는 미국 특허 6,581,193호(McGhee et al.)에 개시된다. 여기에 개시된 방법은 그러한 특허문헌에 개시된 방법의 임의의 단계를 포함할 수 있다. 따라서, 여기에 개시된 방법 및 시스템은 비교적 높은 정확도의 결함 검출을 수행하는데 사용할 수 있다.In redetection, the context map provides local background information about a defect that allows the user or system to locate the corrected defect in the field of view of the review system. For example, the local image of the wafer generated by the review system can be aligned to the design data, thereby allowing the location of defects in the design data space to be substantially accurately identified in the aligned local image. Further, the simulated image of the design data (e.g., a grayscale image) can be used by the review system for alignment with the local image, and the location of the defect in the design data space can be used to determine the location of the defect in the local image . Such simulated images can be used for fine alignment and redetection of defects in the review process. An example of such a simulation is disclosed in U.S. Patent No. 6,581,193 (McGhee et al.), Which is incorporated herein by reference as if fully set forth herein. The methods disclosed herein may include any step of the method disclosed in such patent documents. Thus, the methods and systems disclosed herein can be used to perform defect detection with relatively high accuracy.

분류에 있어서, 콘텍스트 맵은 결함이 속한 부류를 결정하기 위해 사용될 수 있는(리뷰에 의해 획득한 데이터와 함께) 부가적인 정보를 제공할 수 있다. 리뷰는 또한 콘텍스트 맵, 리뷰에 의해 획득한 데이터, 및 검사 데이터를 사용하여 수행할 수 있다. 예컨대, 검사 시스템의 시간 지연 통합(TDI) 카메라에 의해 취득한 패치 이미지, 및/또는 검사 시스템에 의해 취득한 패치 이미지는 결함 샘플과 함께 리뷰에 전송될 수 있다. 패치 이미지는 광학적 또는 SEM 리뷰 및 분류를 위한 콘텍스트 맵과 조합하여 사용할 수 있다. 이러한 방식에서, 결함 위치가 전술한 바와 같이 결정될 수 있는 좌표 정밀도는 시스템이 설계 콘텍스트 및/또는 DRC 오류 코드에 기초하여 결함을 실질적으로 정확히 분류할 수 있게 한다.In classification, the context map may provide additional information (along with data obtained by review) that may be used to determine the class to which the defect belongs. Reviews can also be performed using context maps, data obtained by reviews, and inspection data. For example, the patch image acquired by the time delay integration (TDI) camera of the inspection system, and / or the patch image acquired by the inspection system, may be sent to the review along with the defect sample. The patch image can be used in combination with a context map for optical or SEM review and classification. In this manner, the coordinate accuracy at which a defect location can be determined as described above allows the system to classify the defect substantially accurately based on the design context and / or the DRC error code.

전술한 1 이상의 단계는 검사 결과 및 여기에 기술한 임의의 다른 결과를 사용하여 체계적 결함이 식별되고 분류되는(또는 비닝되는) 모니터링 단계에서 수행될 수 있다. 모니터링 단계는 편위 모니터링 및 베이스라인 향상을 포함할 수 있다. 모니터링 단계는 제품 램프 및 제조 동안에 수행할 수 있다. 다중 소스 스페이스(설계, 웨이퍼, 레티클, 테스트, 및 프로세스 스페이스의 임의의 것 사이의 상관관계를 포함할 수 있다)에서, 검사에 의해 검출된 체계적 결함을 식별 및 분류하는 단계는 여기에 기술한 단계들의 임의의 조합을 사용할 수 있다. 또한, 1 이상의 다중 소스 스페이스 단계는 그것의 임의의 조합으로 체계적 결함 식별을 입증하는데 사용할 수 있다.The one or more steps described above may be performed in a monitoring step in which systematic defects are identified and sorted (or binned) using test results and any other result described herein. The monitoring step may include deviation monitoring and baseline enhancement. The monitoring step can be performed during product ramp and manufacturing. The step of identifying and classifying systematic defects detected by inspection, in multiple source spaces (which may include a correlation between design, wafer, reticle, test, and any of the process spaces) May be used. In addition, one or more multiple source space steps may be used to demonstrate systematic fault identification in any combination thereof.

또한, 설계 데이터 스페이스 내의 결함의 위치는 모니터링 단계에서 체계적 결함(예컨대, 핫 스팟 또는 콜드 스폿에 위치한 결함)을 식별하기 위해 검사 데이터, 설계 데이터, 또는 분류 데이터와 결합되어 사용할 수 있다. 식별된 핫 스팟은 핫 스팟 위치에 "히트(hit)"가 존재하는 검사 결과에 대하여 설계 콘텍스트를 결정하기 위해 또한 사용될 수 있으며, 그것은 포스트-처리에서 온(on)-툴, 또는 오프(off)-툴로 수행할 수 있다. 설계 데이터 스페이스와 연관된 수율(또는 KP 값)은 체계적 결함을 모니터링하기 위한 속성으로서 사용될 수 있다. 또한, 1 이상의 결함 속성은 복수의 핫 스팟 후보가 있는 경우 핫 스팟에 대한 연관을 추정하도록 사용할 수 있다.In addition, the location of defects in the design data space can be used in conjunction with inspection data, design data, or classification data to identify systematic defects (e.g., defects located in hot spots or cold spots) at the monitoring stage. The identified hotspot may also be used to determine the design context for a test result in which there is a " hit "at the hotspot location, which may be an on-tool in the post- - Can be performed with tools. The yield (or KP value) associated with the design data space can be used as an attribute to monitor systematic faults. In addition, one or more defect attributes may be used to estimate associations to hot spots if there are multiple hot spot candidates.

레티클 스페이스에서, 모니터링 단계는 랜덤 결함으로부터 알려진 체계적 결함을 분리하기 위해 결함 결과와 비교될 수 있는 핫 스팟(예컨대, 핫 스팟 리스트의 생성)에 관한 정보를 생성하는 단계를 포함할 수 있다. 또한, 핫 스팟에 대한 콘텍스트 정보와 같은 1 이상의 핫 스팟 속성은 핫 스팟이 복수의 기술, 층, 장치에 걸쳐 공유될 수 있는지, 그리고 그러한 경우, 어느 기술, 층, 또는 장치인지를 결정하기 위해 사용될 수 있다. 또한, 검사에 의해 식별된 체계적 결함은 계측 사이트 위치, 측정치 또는 다른 매개변수와 같은 계측 프로세스의 1 이상의 매개변수를 규정 또는 수정하는데 사용할 수 있다.In reticle space, the monitoring step may include generating information about a hot spot (e.g., generating a hot spot list) that can be compared to a defect result to isolate known systematic defects from random defects. In addition, one or more hotspot attributes, such as context information for hotspots, may be used to determine whether a hotspot can be shared across a plurality of technologies, layers, devices, and in which case, what technology, layer, or device . In addition, systematic defects identified by inspection can be used to define or modify one or more parameters of the metrology process, such as metrology site locations, measurements, or other parameters.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 웨이퍼 상에서 검출된 1 이상의 결함에 대한 오류 확률 값을 결정하는 단계를 포함한다. 또한, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 웨이퍼의 다른 위치상에서 검출된 결함의 오류 확률 속성 값을 결정하는 단계를 포함할 수 있다. 여기에 추가로 기술하는 바와 같이, 결함에 대한 오류 확률 값은 결함에 대응하는 검사 데이터의 설계 데이터 스페이스 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 결정할 수 있다.In some embodiments, the method includes determining an error probability value for one or more defects detected on the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space . The method may also include determining an error probability attribute value of a defect detected on another location of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space . As further described herein, the error probability value for the defect can be determined based on the design data space location of the inspection data corresponding to the defect and one or more attributes of the design data in the design data space.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상에서 검출된 결함의 위치 좌표를 결정하는 단계와, 그 결함의 위치 좌표를 설계 데이터에 대한 평면도에 기초하여 설계 셀 좌표로 변환하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 오버레이 공차(overlay tolerance)를 사용하여 결함 주위의 다른 영역을 결정하는 단계와, 그 영역을 사용하여 1 이상의 셀 유형에 대하여 결함 리피터 분석을 수행하여, 1 이상의 셀 유형이 체계적으로 결함 있는 셀 유형인지를 결정하고, 체계적으로 결함 있는 셀 유형 내의 1 이상의 체계적으로 결함 있는 구조의 1 이상의 위치를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 리피터 분석을 위하여 셀-기반 좌표를 사용하는 것을 포함할 수 있다. 특히, 결함 리피터 분석은 오버레이 공차를 사용하여(예컨대, 각 결함 주위의 2차원 영역) 각각의 셀 유형에 대하여 수행되어, 체계적으로 결함 있는 셀 유형의 존재와, 그 셀 내의 체계적으로 결함 있는 구조의 위치를 결정할 수 있다. 또한, 상기 방법은 셀 콘텍스트에 기초한 결함의 셀-기반 비닝을 포함할 수 있다. 그러한 비닝은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 그러한 일 실시예에서, 상기 방법은 체계적으로 결함 있는 셀 유형에 가까이 위치한 셀에 대한 설계 데이터의 1 이상의 속성, 구조 또는 이들의 일부 조합에 기초하여, 체계적으로 결함 있는 셀 유형 내에 공간적으로 체계적인 결함이 발생하는지를 결정하는 단계를 포함한다. 이러한 방식에서, 공간적으로 체계적인 결함 있는 셀의 설계 콘텍스트(주변 셀 또는 구조)는 공간적으로 체계적인 결함의 출현을 추가로 특정하기 위한 속성으로서 사용할 수 있다.In another embodiment, the method includes determining position coordinates of defects detected on a wafer in a design data space based on the location of inspection data in the design data space, determining the position coordinates of the defects based on a top view of the design data To the design cell coordinates. In one such embodiment, the method includes determining an alternate area around the defect using an overlay tolerance, and using the area to perform a defective repeater analysis for one or more cell types, Determining if the type is systematically a defective cell type and systematically determining one or more locations of one or more systematically defective structures within the defective cell type. In this manner, the method may include using cell-based coordinates for repeater analysis. In particular, defect repeater analysis is performed for each cell type (e.g., a two-dimensional region around each defect) using an overlay tolerance to determine the presence of a systematic defective cell type and the presence of a systematically defective structure The position can be determined. The method may also include cell-based binning of defects based on cell context. Such binning may be performed as further described herein. In one such embodiment, the method may be based on systematically determining one or more properties, structures, or some combination of design data for cells located close to a defective cell type, and systematically locating systematic defects in the defective cell type And if so, whether it occurs. In this way, the design context (peripheral cell or structure) of a spatially organized defective cell can be used as an attribute to further specify the appearance of spatially organized defects.

다른 실시예에서, 단계 26에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함(예컨대, 결함의 전부 또는 일부)을 그룹으로 비닝하는 단계를 포함한다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치는 여기에 기술한 바와 같이 설계 스페이스 내의 검사 데이터의 위치로부터 결정할 수 있다. 결함을 비닝 하는데 사용된 설계 데이터의 1 이상의 속성은 설계 데이터 스페이스 내의 결함의 위치에 기초하여 결정할 수 있다. 본 실시예에서 사용된 설계 데이터의 1 이상의 속성은 다른 검사 결과(예컨대, iDO(integrated defect organizer) 결과 및 iADC(integrated automatic defect classification) 결과)와 조합하여, 설계 데이터(예컨대, 수율 영향)와 연관된 값과 같은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 설계 데이터의 결함의 위치와 연관된 설계 데이터의 1 이상의 속성은 콘텍스트 맵으로부터 결정할 수 있다. 이러한 방식에서, 상기 방법은 결함을 콘텍스트로 분류하기 위해 웨이퍼 검사 동안에 검출된 결함에 콘텍스트 맵을 인가하는 단계를 포함할 수 있다.In another embodiment, as shown in step 26, the method may include determining a defect (e.g., all or part of a defect) based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space Group into a group. For example, the location of defects in the design data space can be determined from the location of the inspection data within the design space as described herein. One or more attributes of the design data used to bin the defect can be determined based on the location of the defect in the design data space. One or more attributes of the design data used in this embodiment may be combined with design data (e.g., yield impact) in combination with other inspection results (e.g., integrated defect organizer (iDO) results and integrated automatic defect classification (iADC) Value of the design data described herein. In addition, one or more attributes of the design data associated with the location of the defects in the design data may be determined from the context map. In this manner, the method may include applying a context map to a detected defect during wafer inspection to classify the defect into a context.

따라서, 여기에 기술한 상기 방법은 웨이퍼 검사에 대해 콘텍스트-기반 백그라운드 비닝을 포함할 수 있다. 예컨대, 전술한 바와 같이, 상기 방법은 콘텍스트에 의해 결함을 비닝하기 위해 콘텍스트 맵을 사용할 수 있다. 그러한 일 예에서, 뉴슨스 필터링 후에 남아 있는 결함은 랜덤 결함보다 체계적 결함인 결함을 식별하기 위해 콘텍스트 또는 여기에 기술한 다른 정보에 의해 분류될 수 있다. 콘텍스트는 비닝 및 분류를 수행하기 위해 결함과 연관된 다른 이미지-유도 속성과 연계하여 사용될 수 있다.Thus, the method described herein may include context-based background binning for wafer inspection. For example, as described above, the method may use a context map to bin a defect by context. In such an example, defects remaining after Newson's filtering may be classified by context or other information described herein to identify defects that are systematic defects rather than random defects. The context may be used in conjunction with other image-guiding attributes associated with the defect to perform binning and classification.

또한, 결함은 결함의 기대되는 전기적 매개변수 및/또는 설계 데이터 스페이스 내의 결함 위치에 가까운 장치 특징의 기대되는 전기적 매개변수에 기초하여 비닝될 수 있다. 결함 및 장치 특징의 기대되는 전기적 매개변수는 이전의 전기 테스트, 결함의 전기적 매개변수의 시뮬레이션, 결함의 리뷰, 또는 것의 일부 조합에 기초하여 결정될 수 있다. 또한, 1 이상의 결함에 대한 오류 시뮬레이션(fault simulation)은 결함이 비닝되는 그룹 및/또는 설계 데이터 스페이스 내의 결함의 위치에 기초할 수 있다.The defects may also be binned based on expected electrical parameters of the defects and / or expected electrical parameters of the device features near the defective locations in the design data space. The expected electrical parameters of the defect and device characteristics can be determined based on previous electrical tests, simulation of the electrical parameters of the defects, review of defects, or some combination of the ones. In addition, fault simulation for one or more defects may be based on the location of defects in the group and / or design data space in which the defect is to be binned.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 설계 데이터가 인쇄되는 레티클에 대해 요구되는 레티클 검사 데이터의 1 이상의 속성에 기초하여 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 레티클 검사 데이터는 비닝 속성으로서 사용할 수 있다. 특히, 레티클 검사 데이터 속성은 웨이퍼 상에서 검출된 결함의 비닝에 사용할 수 있다. 본 실시예에서, 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 레티클 검사 데이터의 1 이상의 속성은 레티클 상에서 검출된 결함, 레티클 스페이스 내의 레티클 상에서 검출된 결함의 위치, 레티클 상에서 검출된 1 이상의 속성, 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성, 또는 이들의 일부 조합과 같은 레티클 검사 데이터의 임의의 속성을 포함할 수 있다. 레티클 상에서 검출된 결함의 1 이상의 속성은 여기에 기술한 임의의 결함 속성을 포함할 수 있다. 또한, 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성은 여기에 기술한 임의의 설계 데이터 속성을 포함할 수 있다.In some embodiments, the method further comprises the step of determining, based on at least one of the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the reticle inspection data required for the reticle on which the design data is printed, Into a group. In this way, the reticle inspection data can be used as a binning attribute. In particular, the reticle inspection data attribute can be used to bin the defects detected on the wafer. In the present embodiment, one or more attributes of the design data may include any attributes of the design data described herein. One or more attributes of the reticle inspection data may include a defect detected on the reticle, a position of a defect detected on the reticle in the reticle space, one or more attributes detected on the reticle, one or more attributes of the design data printed on the reticle, Such as the reticle inspection data. One or more attributes of the detected defects on the reticle may include any of the defect attributes described herein. In addition, one or more attributes of the design data printed on the reticle may include any design data attribute described herein.

레티클 검사 데이터의 속성은 여기에 기술한 방법 및 시스템 실시예에 의해 임의의 적합한 방식으로 결정할 수 있다(예컨대, 레티클 검사 시스템의 출력을 사용하여). 대안적으로, 또는 추가하여, 레티클 검사 데이터의 속성은 속성이 저장되는 저장 매체 및/또는 속성을 결정한 레티클 검사 시스템으로부터 여기에 기술한 방법 및 시스템에 의해 취득할 수 있다.The attributes of the reticle inspection data may be determined in any suitable manner (e.g., using the output of the reticle inspection system) by the methods and system embodiments described herein. Alternatively, or in addition, the attributes of the reticle inspection data may be obtained by a method and system described herein from a reticle inspection system that determines the storage medium and / or properties for which the attributes are stored.

레티클 검사 데이터의 1 이상의 속성에 적어도 부분적으로 기초하여 결함을 비닝하는 단계는, 결함이 레티클 상의 결함, 웨이퍼 상의 결함을 야기한 레티클 결함의 1 이상의 속성, 및 웨이퍼 상의 결함을 야기할 수 있는 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성에 야기되는지에 기초하여 결함을 분리하는데 사용할 수 있다. 그와 같이, 결과의 비닝은 결함의 원인 및/또는 레티클이 결함 및/또는 웨이퍼 상에 인쇄된 설계 데이터에 어떻게 영향을 끼치는지에 관한 추가적인 정보를 제공할 수 있다. 그러한 비닝 결과는 레티클 제조 프로세스의 1 이상의 매개변수, 레티클 검사 프로세스의 1 이상의 매개변수, 임의의 다른 레티클-관련 또는 설계-관련 프로세스의 1 이상의 매개변수, 여기에 기술한 임의의 다른 프로세스의 1 이상의 매개변수, 또는 이들의 일부 조합을 변경하기 위해 사용하는데 이롭다. 본 실시예에서의 결함의 비닝은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 레티클 검사 데이터의 1 이상의 속성, 및 여기에 기술한 임의의 다른 정보에 기초하여 수행할 수 있다.The step of binning the defect based at least in part on one or more attributes of the reticle inspection data may include the step of removing the defect on the reticle that may cause defects on the reticle, one or more attributes of the reticle defect that caused the defect on the wafer, May be used to separate defects based on whether they are caused by one or more attributes of the printed design data. As such, the resulting binning may provide additional information as to the cause of the defect and / or how the reticle affects the defect and / or the design data printed on the wafer. Such binning results may include one or more parameters of the reticle manufacturing process, one or more parameters of the reticle inspection process, one or more parameters of any other reticle-related or design-related process, one or more of the other processes described herein Parameters, or some combination thereof. The binning of defects in this embodiment is performed based on the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the reticle inspection data, and any other information described herein can do.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 검사 데이터의 1 이상의 속성에 기초하여 결함을 그룹으로 비닝하는 단계를 포함할 수 있다. 이러한 방식에서, 검사 데이터로부터 도출된 1 이상의 속성은 비닝 연산에 사용할 수 있다. 본 실시예에서, 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 비닝을 위해 사용된 검사 데이터의 1 이상의 속성은 여기에 기술한 검사 데이터의 임의의 속성을 포함할 수 있다. 결함은 여기에 기술한 임의의 다른 정보를 사용하여 본 실시예에서 비닝될 수 있다. 본 실시예에서의 비닝은 여기에 추가로 기술하는 바와 같이 수행할 수 있다.In another embodiment, the method may include grouping the defects into groups based on the location of the inspection data in the design data space, one or more properties of the design data in the design data space, and one or more properties of the inspection data . In this manner, one or more attributes derived from the inspection data may be used for the binning operation. In the present embodiment, one or more attributes of the design data may include any attributes of the design data described herein. In addition, one or more attributes of the inspection data used for binning may include any attributes of the inspection data described herein. Defects can be binned in this embodiment using any other information described herein. The binning in this embodiment can be performed as described further herein.

추가의 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 검사 데이터의 1 이상의 속성, 및 설계 데이터가 그 위에 인쇄되는 레티클에 대하여 요구되는 레티클 검사 데이터의 1 이상의 속성에 기초하여 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 레티클 검사 데이터는 비닝 속성으로서 사용할 수 있다. 특히, 레티클 검사 데이터 속성은 웨이퍼 상의 결함 비닝에 사용할 수 있다. 본 실시예에서의 비닝을 위해 사용된 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 본 실시예에서의 비닝을 위해 사용된 검사 데이터의 1 이상의 속성은 여기에 기술한 검사 데이터의 임의의 속성을 포함할 수 있다. 본 실시예에서의 비닝을 위해 사용된 레티클 검사 데이터의 1 이상의 속성은 여기에 기술한 레티클 검사 데이터의 임의의 속성을 포함할 수 있다. 본 실시예에서의 비닝은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 본 실시예의 비닝 결과는 여기에 기술한 임의의 방법의 임의의 단계를 수행하는데 사용할 수 있다.In a further embodiment, the method further comprises the step of determining the position of the inspection data within the design data space, one or more attributes of the design data in the design data space, one or more properties of the inspection data, And binning the defect into a group based on at least one attribute of the inspection data. In this way, the reticle inspection data can be used as a binning attribute. In particular, reticle inspection data attributes can be used for defect binning on a wafer. One or more attributes of the design data in the design data space used for binning in this embodiment may include any attributes of the design data described herein. One or more attributes of the inspection data used for binning in this embodiment may include any attributes of the inspection data described herein. One or more attributes of the reticle inspection data used for binning in this embodiment may include any attributes of the reticle inspection data described herein. The binning in this embodiment can be performed as described further herein. In addition, the binning result of this embodiment can be used to perform any of the steps of any of the methods described herein.

일부 실시예에서, 상기 방법은, 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 검사 데이터의 1 이상의 속성, 및 웨이퍼에 대한 검사 데이터가 취득된 프로세스 계층, 다른 프로세스 계층 또는 이들의 일부 조합에 있어서, 설계 데이터, 다른 설계 데이터 또는 이들의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼 또는 이들의 일부 조합에 대하여 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 동일하거나 다른 웨이퍼, 동일하거나 다른 설계, 및 동일하거나 다른 프로세스 계층에 대하여 이전에 수집된 검사 데이터로부터 결정된 속성이 비닝 연산에 포함될 수 있다. 이전에 수집된 검사 데이터는 데이터 구조에 저장되거나, 여기에 추가로 기술하는 바와 같이 구성될 수 있는 지식 베이스에 포함될 수 있다. 이러한 방식에서, 이전에 취득한 검사 데이터의 1 이상의 속성은 누적 학습 데이터, 이력 데이터, 또는 데이터의 트레이닝 세트로부터 결정될 수 있다. 본 실시예에서, 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 비닝을 위해 사용된 검사 데이터의 1 이상의 속성은 여기에 기술한 검사 데이터의 임의의 속성을 포함할 수 있다. 결함은 여기에 기술한 임의의 다른 정보를 사용하여 본 실시예에서 비닝될 수 있다. 본 실시예에서의 비닝은 여기에 추가로 기술하는 바와 같이 수행할 수 있다.In some embodiments, the method further comprises the steps of: locating the inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, Layer, or some combination thereof, based on one or more attributes of inspection data previously obtained for a wafer, another wafer, or some combination thereof, for design data, other design data, or some combination thereof, As shown in FIG. In this manner, attributes determined from inspection data previously collected for the same or different wafers, the same or different designs, and the same or different process layers can be included in the binning operation. The previously collected inspection data may be stored in a data structure or included in a knowledge base that may be configured as described further herein. In this manner, one or more attributes of the previously acquired inspection data can be determined from the training set of accumulated learning data, historical data, or data. In the present embodiment, one or more attributes of the design data may include any attributes of the design data described herein. In addition, one or more attributes of the inspection data used for binning may include any attributes of the inspection data described herein. Defects can be binned in this embodiment using any other information described herein. The binning in this embodiment can be performed as described further herein.

전술한 임의의 실시예에서 비닝은 온-툴, 오프-툴, 또는 그것의 임의의 조합으로 수행할 수 있다.In any of the embodiments described above, the binning can be performed on-tool, off-tool, or any combination thereof.

추가적인 실시예에서, 단계 28에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 설계 데이터의 위치와, 다른 검사 결과(예컨대, iDO 결과 및 iADC 결과)와 조합하여, 설계 데이터와 연관된 수율 영향과 같은 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 리뷰를 위해 결함의 적어도 일부를 선택하는 단계를 포함할 수 있다. 리뷰를 위한 결함을 선택하는데 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 설계 데이터 스페이스 내의 검사 데이터의 위치는, 여기에 기술하는 바와 같이 결함에 대응하는 설계 데이터의 속성 결정에 사용할 수 있는, 여기에 기술하는 바와 같은 설계 데이터 스페이스 내의 결함의 위치 결정에 사용할 수 있다. 그러한 일부 실시예에서, 뉴슨스 결함은 여기에 기술한 바와 같이 다른 결함으로부터 필터링할 수 있으며, DOI(또는 비-뉴슨스 결함)는 리뷰 또는 추가의 분석을 위해 유지될 수 있다. 다른 실시예에서, 결함 리스트 및 식별된 핫 스팟, 결함 및 핫 스팟의 분류, 및 설계 콘텍스트는 모니터링 단계에서 리뷰 샘플링(서브-샘플링을 포함할 수 있다)을 개선하는데 사용될 수 있으며, 이는 온-툴 또는 포스트-처리 동안 오프-툴로 수행할 수 있다.In a further embodiment, as shown in step 28, the method may be implemented in combination with the location of the design data in the design data space and other inspection results (e.g., iDO results and iADC results), such as the yield effect associated with the design data And selecting at least a portion of the defects for review based on the one or more attributes of the design data in the data space. One or more attributes of the design data used to select defects for review may include any attributes of the design data described herein. In addition, the location of the inspection data in the design data space can be used to locate defects in the design data space as described herein, which can be used for attribute determination of the design data corresponding to the defect, as described herein . In some such embodiments, the Newson defect may be filtered from other defects as described herein, and the DOI (or non-Newson defect) may be maintained for review or further analysis. In another embodiment, the defect list and the identified hot spots, the classification of defects and hot spots, and the design context can be used to improve review sampling (which may include sub-sampling) in the monitoring step, Or off-tool during post-processing.

다른 실시예에서, 리뷰를 위한 결함 선택은 비닝 결과의 함수로서 수행된다. 예컨대, 일부 그룹에서의 결함은 리뷰를 위해 선택할 수 있지만, 다른 그룹에서의 결함은 리뷰를 위해 선택되지 않을 수 있다. 다른 예에서, 결함의 일부 그룹은 다른 그룹보다 더욱 과도하게 샘플링될 수 있다(즉, 일부 그룹으로부터 더 많은 결함이 리뷰를 위해 선택될 수 있다). 샘플링된 결함의 그룹 및 그룹이 샘플링되는 정도는 예컨대, 각 그룹과 연관된 설계의 1 이상의 속성 또는 결함의 그룹과 연관된 여기에 기술한 임의의 다른 정보에 기초하여 결정될 수 있다. 리뷰를 위한 결함의 선택은 결함 또는 결함 빈(defect bin)과 연관된 수율 관련성의 함수로서 수행할 수 있다. 예컨대, 결함의 모집단(population)은 랜덤 결함 및 체계적 결함으로 분할되고, 각각의 다른 결함 유형에 대하여 다른 샘플 플랜이 사용될 수 있다. 이러한 방식에서, 다른 유형의 결함에 대한 샘플링 전략은 매우 상이할 수 있다.In another embodiment, defect selection for review is performed as a function of the binning result. For example, defects in some groups may be selected for review, but defects in other groups may not be selected for review. In another example, some groups of defects may be sampled more extensively than other groups (i.e., more defects from some groups may be selected for review). The extent to which the groups and groups of sampled defects are sampled may be determined based on, for example, one or more attributes of the design associated with each group or any other information described herein associated with a group of defects. The choice of defect for review can be performed as a function of the yield relevance associated with the defect or defect bin. For example, the population of defects may be divided into random defects and systematic defects, and a different sample plan may be used for each different defect type. In this manner, the sampling strategy for other types of defects can be very different.

일부 실시예에서, 상기 방법은, 설계 데이터의 1 이상의 속성의 다른 값을 갖는 설계 데이터 스페이스 내의 설계 데이터의 각 부위 내에 위치한 적어도 하나의 결함을 포함하는, 리뷰를 위한 결함의 적어도 일부를 선택하는 단계를 포함할 수 있다. 이러한 방식에서, 설계 데이터의 각각의 다른 부위 내의 결함이 리뷰를 위해 샘플링될 수 있다. 예컨대, 각각의 결함의 콘텍스트는, 결함이 검출된 모든 콘텍스트가 리뷰 샘플로 표현되는 것을 보장하는 리뷰 샘플을 생성하기 위해서, 리뷰를 위한 결함을 분류하는데(예컨대, 콘텍스트의 임계에 의해) 사용할 수 있다.In some embodiments, the method includes selecting at least a portion of a defect for review, including at least one defect located within each portion of the design data in a design data space having different values of one or more attributes of the design data . ≪ / RTI > In this manner, defects in different portions of the design data can be sampled for review. For example, the context of each defect may be used to classify defects for review (e.g., by context thresholds), to generate a review sample that ensures that all contexts in which a defect is detected are represented by review samples .

추가의 실시예에서, 단계 30에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함이 리뷰되는 시퀀스를 결정하는 단계를 포함한다. 예컨대, 상기 방법은 오프라인 리뷰(예컨대, 광학적 또는 SEM 리뷰)를 위한 우선순위에 기초하여 결함을 분류하기 위해 콘텍스트 맵을 사용하는 것을 포함할 수 있다. 각 결함의 콘텍스트는 체계적 결함 및 잠재적인 체계적 결함이 다른 결함 유형보다 더 높은 우선 순위가 주어지도록 리뷰를 위한 결함을 분류할 수 있다(예컨대, 콘텍스트의 임계에 의해).In a further embodiment, as shown in step 30, the method includes determining a sequence in which the defect is to be reviewed, based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space . For example, the method may include using a context map to classify defects based on priorities for offline review (e.g., optical or SEM review). The context of each defect may classify defects for review (e.g., by context threshold) such that systematic defects and potential systematic defects are given a higher priority than other defect types.

웨이퍼 상의 모든 지점에서의 검사 데이터의 서브-픽셀 정렬을 제공하기 위해, 웨이퍼 상의 다이에 걸친 샘플 지점에서 검사 데이터 스트림을 소정의 정렬 사이트에 정렬하는 것은 여러 이점을 제공한다. 예컨대, 미가공 데이터 스트림은 설계 데이터에 실질적으로 정확히 정렬되기 때문에, 설계 데이터 스페이스 내의 결함 위치는 서브-픽셀 정확도(예컨대, 100nm 이하 정확도 대 현재 달성가능한 1000nm 정확도)로 결정될 수 있다. 실질적으로 높은 정확도의 결함 위치는 임의의 후속 리뷰 프로세스의 정밀도와, SEM 또는 FIB 시스템에서 결함이 위치되고, 촬상되고, 분석될 수 있는 속도를 크게 개선할 수 있다. 또한, 결함과 관련된 콘텍스트 정보는, 제 2 패스 리뷰에서의 검사 시스템 또는 오프라인에서의 SEM 또는 광학적 리뷰 스테이션에서 수행할 수 있는 HRDC 단계에서 사용할 수 있다. 그러한 정보는, 자동 또는 수동으로 결함을 위치시키는 것을 도울 수 있는 결함에 관한 다른 로컬 콘텍스트 정보에 부가하여, ADL(automatic defect location)과 같은 다른 시스템에 제공되거나 그것에 의해 취득될 수 있다. 또한, 리뷰 시스템은 측정 매개변수 하의 시스템 및 웨이퍼에 대해 적절한 물리적 좌표 변환에 대한 로직을 생성하는데 그러한 정보를 사용할 수 있다.Aligning the inspection data stream at a given alignment site at a sample point across the die on the wafer provides several advantages to provide sub-pixel alignment of inspection data at all points on the wafer. For example, since the raw data stream is substantially precisely aligned to the design data, the defect location in the design data space can be determined with sub-pixel accuracy (e.g., 1000 nm accuracy versus less than 100 nm accuracy). A defect location of substantially high accuracy can greatly improve the accuracy of any subsequent review process and the speed at which defects can be located, imaged and analyzed in a SEM or FIB system. In addition, the context information associated with the defect can be used in the inspection system in the second pass review or in the HRDC step, which can be performed in an offline SEM or optical review station. Such information may be provided to or obtained by another system, such as an automatic defect location (ADL), in addition to other local context information about the defect, which may assist in locating the defect automatically or manually. The review system can also use such information to create logic for the appropriate physical coordinate transformation for the system and wafer under the measurement parameters.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위에 대해 취득한, 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 소정의 속성을 추출하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 검사 데이터 영역(예컨대, 검사되는 영역의 특정 서브세트)에 대한 소정의 신호 또는 이미지 속성을 추출하는 단계를 포함할 수 있다. 1 이상의 검출기로부터의 출력의 추출 속성은 예컨대, 다른 부위에서의 픽셀에 대한 신호 또는 이미지의 휘도 또는 표준 편차를 포함할 수 있다. 또한, 웨이퍼는 설계 데이터에 대응하는 패턴이 그 위에 인쇄된 패터닝된 웨이퍼일 수 있다. 따라서, 출력의 속성은 웨이퍼 상에 형성된 패턴에 대응하는 출력에 관한 정보에 기초하여 추출할 수 있다. 또한, 웨이퍼 상에 형성된 패턴 내의 구조에 관한 정보는 1 이상의 검출기로부터의 출력으로부터 추출할 수 있다.In some embodiments, the method further comprises the step of determining, based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space, And extracting the predetermined attribute. In this manner, the method may be based on the location of the inspection data in the design data space and the predetermined signal or image (s) for the inspection data area (e.g., a particular subset of the area being inspected) based on one or more attributes of the design data in the design data space And extracting the attribute. The extraction attributes of the output from one or more detectors may include, for example, the brightness or standard deviation of the image or signal for the pixel at another site. In addition, the wafer may be a patterned wafer on which a pattern corresponding to the design data is printed. Therefore, the attribute of the output can be extracted based on the information about the output corresponding to the pattern formed on the wafer. Further, the information on the structure in the pattern formed on the wafer can be extracted from the output from one or more detectors.

검출기로부터의 출력의 추출된 속성은 웨이퍼의 다른 부위에 걸친 속성의 이미지를 생성하는데 사용할 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼의 표면의 "설계 인식 이미지(design aware image)"를 생성하는 단계를 포함할 수 있다. 이미지는 계측에 의해 결정할 수 있는 웨이퍼의 속성과 같은 웨이퍼의 1 이상의 속성을 결정하는데 사용할 수 있다. 이러한 방식에서, 검사 시스템은 설계 데이터 또는 설계 데이터에 대한 레이아웃에 기초하여 실질적으로 정확히 규정된 위치에서의 1 이상의 검출기로부터의 출력의 속성을 추출함으로써 계측 도구와 유사하게 사용될 수 있다. 따라서, 웨이퍼의 다른 부위는 본 실시예에서는 계측 사이트로서 본질적으로 처리될 수 있다. 또한, 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 추출된 소정 속성은 공동 소유된 미국 특허 출원 일련번호 제60/772,418호(Kirk et al.; 2006년 2월 9일 출원)에 기재된 단계와 같은 1 이상의 단계를 수행하는데 사용할 수 있으며, 그 특허 문헌은 여기에 완전히 언급한 것과 같이 참고자료로 포함된다.The extracted attributes of the output from the detector can be used to generate an image of the attribute over other parts of the wafer. In this manner, the method may include generating a "design aware image" of the surface of the wafer. The image can be used to determine one or more attributes of the wafer, such as the properties of the wafer, which can be determined by metrology. In this manner, the inspection system can be used similar to the metrology tool by extracting the attributes of the output from one or more detectors at substantially exactly specified locations based on the layout for the design data or design data. Thus, other parts of the wafer can be essentially treated as metrology sites in this embodiment. In addition, one or more extracted predetermined attributes of the output from the one or more detectors of the inspection system may be compared to those described in commonly owned U.S. Patent Application Serial No. 60 / 772,418 (Kirk et al .; filed February 9, 2006) Can be used to perform one or more of the same steps, and the patent literature is incorporated by reference as fully described herein.

본 실시예에서 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 그러한 일 실시예에서, 설계 데이터의 1 이상의 속성은, 웨이퍼에 대한 검사 데이터가 취득된 프로세스 계층, 다른 프로세스 계층, 또는 이들의 일부 조합에 있어서, 설계 데이터, 다른 설계 데이터, 또는 이들의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼, 또는 그것의 조합에 대한 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여 선택된다. 이러한 방식에서, 본 실시예에서 사용된 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성은 동일하거나 다른 프로세스 계층에 대한 동일하거나 다른 설계에 대해 동일한 웨이퍼 또는 다른 웨이퍼로부터의 이전에 수집된 검사 데이터의 속성과의 상관관계에 기초하여 선택할 수 있다. 이전에 수집한 설계 데이터는 데이터 구조에 저장되거나, 여기에 기술한 바와 같이 구성될 수 있는 지식 베이스에 포함될 수 있다. 이러한 방식에서, 설계 데이터의 1 이상의 속성은 누적 학습, 이력 데이터, 또는 데이터의 트레이닝 세트에 기초하여 본 실시예에서 선택될 수 있다.One or more attributes of the design data used in the present embodiment may include any attributes of the design data described herein. In one such embodiment, one or more of the attributes of the design data may be associated with design data, other design data, or some combination thereof, in the process layer, other process layers, or some combination thereof from which inspection data for the wafer is obtained Based on one or more attributes of previously acquired inspection data for the wafer, another wafer, or a combination thereof. In this manner, one or more attributes of the design data in the design data space used in the present embodiment may include attributes of previously collected inspection data from the same wafer or other wafer for the same or different designs for the same or different process layers On the basis of the correlation. The previously collected design data can be stored in a data structure or included in a knowledge base that can be configured as described herein. In this manner, one or more attributes of the design data may be selected in this embodiment based on cumulative learning, historical data, or a training set of data.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 검사 데이터의 1 이상의 속성에 기초하여 웨이퍼의 다른 부위에 대해 취득된 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 소정의 속성을 추출하는 단계를 포함한다. 본 실시예에 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 검사 데이터의 1 이상의 속성은 여기에 기술한 검사 데이터의 임의의 속성을 포함할 수 있다. 예컨대, 일 실시예에서, 검사 데이터의 1 이상의 속성은 1 이상의 이미지 노이즈 속성, 1 이상의 결함이 다른 부위에서 검출되었는지, 또는 이들의 일부 조합을 포함한다. 이러한 방식에서, 검사 데이터의 1 이상의 속성은 비한정적으로 이미지 노이즈 특징 및/또는 검사 데이터 영역에서의 결함의 검출/비-검출을 포함할 수 있다. 출력의 1 이상의 소정의 속성을 추출하는 것은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 출력의 추출된 속성은 여기에 추가로 기술하는 바와 같이 사용할 수 있다.In another embodiment, the method further comprises the steps of: acquiring 1 < st > of the inspection system acquired for the other part of the wafer based on the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, And extracting one or more predetermined attributes of the output from the detector. One or more attributes of the design data used in the present embodiment may include any attributes of the design data described herein. In addition, one or more attributes of the inspection data may include any attributes of the inspection data described herein. For example, in one embodiment, one or more attributes of the inspection data include one or more image noise attributes, one or more defects detected at another site, or some combination thereof. In this manner, one or more attributes of the inspection data may include, without limitation, image noise features and / or detection / non-detection of defects in the inspection data area. Extracting one or more predetermined attributes of the output may be performed as further described herein. The extracted attributes of the output can also be used as described further herein.

여기에 기술한 상기 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 다른 시스템에 의해 수행할 수 있다.Each embodiment of the method described herein may comprise any other step of any of the methods described herein. Also, each embodiment of the above-described method may be performed by any other system described herein.

도 15는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터-구현 방법의 다른 실시예를 도시한다. 도 15에 도시된 단계는 상기 방법의 실시예 필수적인 것이 아님을 유념해야 한다. 도 15에 도시한 방법으로부터 1 이상의 단계가 배제될 수 있고, 그 방법은 여전히 본 실시예의 범주 내에서 실시할 수 있다.Figure 15 shows another embodiment of a computer-implemented method for determining the location of inspection data within a design data space. It should be noted that the steps shown in Fig. 15 are not necessary for the embodiment of the method. One or more steps may be excluded from the method shown in Fig. 15, and the method can still be practiced within the scope of this embodiment.

도 15에 도시한 방법은 CBI에 대해 일반적으로 사용될 수 있다. 본 실시예에서, 데이터 준비 단계(42)는 데이터베이스(44)를 생성하는 단계를 포함한다. 데이터베이스(44)는 설계 데이터에 대한 CAD 레이아웃과, 설계 데이터에 대한 콘텍스트 계층을 포함한다. 데이터베이스(44)는 당업계에서 알려진 임의의 적합한 구성을 가질 수 있고, 여기에 기술한 임의의 다른 데이터 또는 정보를 포함할 수 있다. 또한, 데이터베이스(44) 내의 데이터는 임의의 다른 적합한 데이터 구조 내에 저장될 수 있다. 데이터베이스(44)는 GDSII 파일(48) 및 콘텍스트 계층(50)을 입력으로 사용하여 소프트웨어(46)에 의해 생성될 수 있다. 소프트웨어(46)는 당업계에 알려진 임의의 적합한 소프트웨어를 포함할 수 있다. 일반적으로, 소프트웨어는 GDSII 파일 및 콘텍스트 계층을 사용하여 데이터베이스를 생성하기 위해 프로세서(도 15에서는 도시 생략되었지만, 여기에 추가로 기술하는 바와 같이 구성될 수 있는) 상에서 실행될 수 있는 프로그램 명령어(도 15에는 도시 생략)로서 구성될 수 있다. 콘텍스트 계층(50)은 당업계에서 알려진 임의의 방식으로 취득 또는 생성될 수 있고, 여기에 기술한 임의의 콘텍스트 정보 또는 데이터를 포함할 수 있다. 또한, GDSII 파일(48)은 설계 데이터가 저장되는 임의의 다른 적합한 데이터 구조로 대체할 수 있다.The method shown in Fig. 15 can be generally used for CBI. In this embodiment, the data preparation step 42 includes the step of creating the database 44. The database 44 includes a CAD layout for design data and a context hierarchy for design data. The database 44 may have any suitable configuration known in the art and may include any other data or information described herein. In addition, the data in the database 44 may be stored in any other suitable data structure. The database 44 may be generated by the software 46 using the GDSII file 48 and the context layer 50 as inputs. The software 46 may comprise any suitable software known in the art. Generally, the software includes program instructions (such as shown in FIG. 15) that can be executed on a processor (which may be configured as described further herein but in FIG. 15) to generate a database using the GDSII file and context hierarchy (Not shown). Context layer 50 may be obtained or generated in any manner known in the art, and may include any contextual information or data described herein. In addition, the GDSII file 48 may be replaced with any other suitable data structure in which the design data is stored.

도 15에 도시한 방법은 레시피 셋업 단계(52)를 또한 포함한다. 레시피 셋업 단계(52)는 정렬 정보(56)를 결정하기 위해 수행될 수 있는 단계(54)를 포함한다. 단계(54)는 여기에 추가로 기술하는 바와 같이 구성되는 검사 시스템에 의해 수행될 수 있는, 웨이퍼 상의 다이를 스캐닝하는 단계를 포함할 수 있다. 단계(54)는 웨이퍼를 스캐닝하여 취득한 정보를 사용하여 웨이퍼 상의 정렬 사이트를 선택하는 단계를 또한 포함할 수 있다. 웨이퍼 상의 정렬 사이트는 여기에 기술하는 바와 같이 선택된다. 또한, 웨이퍼 상의 정렬 사이트는 검사 스와스 레이아웃 정보(58) 및 여기에 추가로 기술하는 바와 같은 임의의 다른 적합한 정보에 기초하여 선택될 수 있다. 검사 스와스 레이아웃 정보는 여기에 기술한 임의의 스와스 정보를 포함할 수 있고, 여기에 기술하는 바와 같이 결정할 수 있다. 웨이퍼 상의 정렬 사이트의 선택은 여기에 추가로 기술하는 바와 같이 자동, 반-자동 (또는 유저-보조) 또는 수동으로 수행할 수 있다.The method shown in Fig. 15 also includes a recipe setup step 52. Fig. The recipe set-up step 52 includes a step 54 that can be performed to determine the alignment information 56. Step 54 may include scanning the die on the wafer, which may be performed by an inspection system configured as described further herein. Step 54 may also include scanning the wafer and selecting the alignment site on the wafer using the acquired information. The alignment sites on the wafer are selected as described herein. In addition, the alignment site on the wafer may be selected based on the inspection swath layout information 58 and any other suitable information as further described herein. The check swath layout information may include any swath information described herein, and may be determined as described herein. Selection of alignment sites on the wafer can be performed automatically, semi-automatically (or user-assisted) or manually, as further described herein.

단계(54)는 이미지를 렌더링하는 단계 또는 데이터베이스(44) 내의 CAD 레이아웃 정보로부터 웨이퍼 상의 정렬 사이트에 대응하는 다른 적합한 데이터를 취득하는 단계를 포함할 수 있다. 예컨대, 단계(54)는, 적합한 데이터 또는 이미지를 렌더링하거나, 웨이퍼 상의 정렬 사이트에 정렬될 수 있는 특정 특징의 중심과 같은 구조 특징 속성의 값을 연산하기 위하여, 웨이퍼 상의 선택된 정렬 사이트에 대응하는 CAD 패치(60)를 사용하는 단계를 포함할 수 있다. 단계(54)는 웨이퍼 상의 정렬 사이트의 CAD 레이아웃 정보로부터 획득한 정보로의 (x, y) 매핑을 연산하는 단계를 또한 포함할 수 있다. 정렬 정보(56)는 소정의 정렬 사이트에 대한 데이터 및 설계 데이터 스페이스 내의 소정의 정렬 사이트의 (x, y) 위치를 포함한다.Step 54 may include rendering the image or obtaining other suitable data corresponding to the alignment site on the wafer from the CAD layout information in database 44. [ For example, step 54 may be performed on a computer-readable medium such as a computer-readable medium, such as a computer-readable medium, such as a CAD And using patch 60. Step 54 may also include computing an (x, y) mapping from the CAD layout information of the alignment site on the wafer to the information obtained. The alignment information 56 includes the data for a given alignment site and the (x, y) position of a given alignment site within the design data space.

도 15에 도시한 방법은 웨이퍼 검사 단계(62)를 포함할 수 있다. 웨이퍼 검사 단계(62)는 초기화 단계(64) 및 구동 단계(66)를 포함할 수 있다. 단계(68)에 나타낸 바와 같이, 초기화 단계(64) 동안에, 상기 방법은 소정의 정렬 사이트에 대한 정보 및 설계 데이터 스페이스 내의 소정의 정렬 사이트의 (x, y) 위치를 포함하는 정렬 정보(56)를 프리로딩(preloading) 하는 단계를 포함할 수 있다. 단계(70)에 나타낸 바와 같이, 초기화 단계는 데이터베이스(44)로부터 콘텍스트 계층(72)을 프리로딩하는 단계를 또한 포함할 수 있다. 초기화 단계는 단계(74)에 도시한 바와 같이, 다각형으로부터 픽셀로 소정의 정렬 사이트에 대한 데이터를 렌더링하는 단계를 또한 선택적으로 포함할 수 있으며, 이는 여기에 기술하는 바와 같이 수행될 수 있다. 콘텍스트 계층(72)은 여기에 기술한 임의의 콘텍스트 정보를 포함할 수 있다.The method shown in FIG. 15 may include a wafer inspection step 62. The wafer inspecting step 62 may include an initialization step 64 and a driving step 66. As shown in step 68, during the initialization step 64, the method includes arranging information 56 that includes information about a given alignment site and the (x, y) location of a given alignment site within the design data space, And a preloading step. As shown in step 70, the initialization step may also include preloading the context layer 72 from the database 44. The initialization step may also optionally include rendering the data for a given alignment site from a polygon to a pixel, as shown in step 74, which may be performed as described herein. Context layer 72 may include any context information described herein.

구동 단계(66) 동안에, 단계(76)에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스로의 검사 데이터의 정렬 및 매핑을 수행하는 단계를 포함한다. 이러한 단계는 웨이퍼의 검사 동안에 수행할 수 있다. 정렬 및 매핑은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 구동 단계는, 단계(78)에 도시한 바와 같이 콘텍스트 맵에 매핑을 인가하는 단계를 또한 포함할 수 있다. 콘텍스트 데이터는 여기에 추가로 기술하는 바와 같이 매핑될 수 있다. 구동 단계는, 단계(80)에 나타낸 바와 같이, 콘텍스트 맵을 검사 동안에 검사 데이터에 인가하는 단계를 포함할 수 있고, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 또한, 구동 단계는, 단계(82)에 나타낸 바와 같이, 결함 좌표를 콘텍스트 맵에 매핑하는 단계를 포함할 수 있고, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 구동 단계는, 검출 데이터를 콘텍스트에 의해 필터링하는 단계, 결함을 분류하는 단계, 리뷰 샘플을 생성하는 단계, 여기에 기술한 다른 단계, 또는 이들의 일부 조합을 포함할 수 있는 추가적인 단계(84)를 포함할 수 있다. 각각의 추가적인 단계(84)는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 도 15에 도시한 방법의 각각의 실시예는 여기에 기술한 임의의 다른 단계를 포함할 수 있다. 또한, 도 15에 도시한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행할 수 있다.During the actuation step 66, as shown at step 76, the method includes performing an alignment and mapping of the inspection data to the design data space. This step can be performed during inspection of the wafer. Alignment and mapping can be performed as further described herein. The actuation step may also include applying a mapping to the context map, as shown in step 78. [ The context data may be mapped as further described herein. The actuation step may include applying a context map to the inspection data during inspection, as shown in step 80, which may be performed as described herein. The driving step may also include mapping the defect coordinates to the context map, as shown at step 82, which may be performed as described herein. The actuation step may include an additional step 84, which may include filtering the detected data by context, classifying the defect, creating review samples, other steps described herein, or some combination thereof . Each additional step 84 may be performed as further described herein. Each embodiment of the method shown in FIG. 15 may include any of the other steps described herein. Further, each embodiment of the method shown in Fig. 15 can be performed by any of the systems described herein.

여기에 기술한 것과 같은 방법을 구현하는 프로그램 명령어는 캐리어 매체(carrier medium)를 통해 전송되거나 그것에 저장될 수 있다. 캐리어 매체는 ROM, RAM, 자기 또는 광 디스크, 또는 자기 테이프와 같은 저장 매체일 수 있다.Program instructions embodying methods such as those described herein may be transmitted via a carrier medium or stored therein. The carrier medium may be a ROM, a RAM, a magnetic or optical disk, or a storage medium such as a magnetic tape.

도 16은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템의 각종 실시예를 도시한다. 일 실시예에서, 시스템은 설계 데이터(도 16에서는 도시 생략)를 포함하는 저장 매체(86)를 포함한다. 저장 매체(86)는 여기에 기술한 임의의 다른 데이터 및 정보를 또한 포함할 수 있다. 저장 매체는 전술한 저장 매체 또는 당업계에 알려진 임의의 다른 적합한 저장 매체를 포함할 수 있다. 본 실시예에서, 시스템은 저장 매체(86)에 결함되는 프로세서(88)를 또한 포함한다. 프로세서(88)는 당업계에서 알려진 임의의 방식으로 저장 매체에 결합될 수 있다. 본 실시예에서, 시스템은 프로세스, 검사, 계측, 리뷰 또는 다른 툴의 일부를 구성하지 않는 독립 시스템으로서 구성될 수 있다. 그러한 실시예에서, 프로세서(88)는 "유선" 및/또는 "무선" 부분을 포함할 수 있는 전송 매체에 의해, 다른 시스템으로부터 데이터를 수신 및/또는 취득하도록 구성할 수 있다. 이러한 방식에서, 전송 매체는 프로세서와 다른 시스템 사이의 데이터 링크(link)로서 작용할 수 있다. 또한, 프로세서(88)는 전송 매체를 통하여 다른 시스템에 데이터를 전송할 수 있다. 그러한 데이터는 예컨대, 설계 데이터, 콘텍스트 데이터, 여기에 기술한 방법의 결과, 검사 레시피 또는 다른 레시피, 또는 이들의 일부 조합을 포함할 수 있다.Figure 16 shows various embodiments of a system configured to determine the location of inspection data within a design data space. In one embodiment, the system includes a storage medium 86 that includes design data (not shown in FIG. 16). The storage medium 86 may also include any other data and information described herein. The storage medium may comprise any of the above-described storage media or any other suitable storage medium known in the art. In this embodiment, the system also includes a processor 88 that is defective in the storage medium 86. The processor 88 may be coupled to the storage medium in any manner known in the art. In this embodiment, the system may be configured as a stand-alone system that does not constitute part of a process, inspection, metrology, review or other tool. In such an embodiment, the processor 88 may be configured to receive and / or acquire data from other systems by a transmission medium that may include "wired" and / or " In this manner, the transmission medium may act as a data link between the processor and another system. The processor 88 may also transmit data to other systems via a transmission medium. Such data may include, for example, design data, context data, results of the methods described herein, an inspection recipe or other recipe, or some combination thereof.

프로세서(88)는 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬식 프로세서, 또는 당업계에 알려진 임의의 다른 장치를 포함하는 각종 형태를 취할 수 있다. 일반적으로, 용어 "컴퓨터 시스템"은 메모리 메체로부터의 명령어를 실행하는 1 이상의 프로세서를 갖는 임의의 장치를 포함하도록 넓게 규정될 수 있다.The processor 88 may take various forms, including a personal computer system, a mainframe computer system, a workstation, an image computer, a parallel processor, or any other device known in the art. In general, the term "computer system" may be broadly defined to include any device having one or more processors that execute instructions from a memory medium.

하지만, 다른 실시예에서, 시스템은 검사 시스템(90)을 포함한다. 검사 시스템(90)은 웨이퍼(92) 상의 정렬 사이트에 대한 데이터와, 웨이퍼에 대한 검사 데이터를 취득하도록 구성된다. 검사 시스템을 포함하는 시스템의 실시예에서, 프로세서(88)는 당업계에 알려진 임의의 방식으로 검사 시스템에 결합될 수 있다. 예컨대, 프로세서(88)는 그 프로세서가 검출기에 의해 생성된 검사 데이터 및 웨이퍼 상의 정렬 사이트에 데이터를 수신할 수 있도록, 검사 시스템(90)의 검출기(94)에 결합될 수 있다. 또한, 프로세서는 이미지 데이터 및 신호와 같은 검출기의 임의의 다른 출력을 수신할 수 있다. 또한, 검사 시스템이 이상의 검출기를 포함하는 경우, 프로세서는 전술한 바와 같이 각각의 검출기에 결합될 수 있다.However, in other embodiments, the system includes an inspection system 90. The inspection system 90 is configured to acquire data for an alignment site on the wafer 92 and inspection data for the wafer. In an embodiment of the system including the inspection system, the processor 88 may be coupled to the inspection system in any manner known in the art. For example, the processor 88 may be coupled to the detector 94 of the inspection system 90 such that the processor can receive the inspection data generated by the detector and the data at the alignment site on the wafer. The processor may also receive image data and any other output of the detector, such as a signal. Further, when the inspection system includes the above detectors, the processor may be coupled to each detector as described above.

프로세서(88)는 웨이퍼 상의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬하도록 구성된다. 프로세서는 여기에 기술한 임의의 실시예에 따라 데이터를 정렬하도록 구성될 수 있다. 프로세서(88)는 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하도록 또한 구성된다. 프로세서는 여기에 기술한 임의의 실시예에 따라 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하도록 구성될 수 있다. 또한, 프로세서(88)는 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여, 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대하여 취득한 검사 데이터의 위치를 결정하도록 구성된다. 프로세서는 여기에 기술한 임의의 실시예에 따라 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성될 수 있다. 프로세서는 여기에 기술한 임의의 방법 실시예의 다른 단계를 수행하도록 구성될 수 있다.The processor 88 is configured to align the data acquired by the inspection system with the data for a given alignment site for alignment sites on the wafer. The processor may be configured to align data according to any of the embodiments described herein. The processor 88 is also configured to determine the position of the alignment site on the wafer within the design data space based on the position of the predetermined alignment site within the design data space. The processor may be configured to determine the position of the alignment site on the wafer within the design data space according to any of the embodiments described herein. The processor 88 is also configured to determine the location of the inspection data acquired for the wafer by the inspection system in the design data space based on the location of the alignment site on the wafer within the design data space. The processor may be configured to determine the location of inspection data within the design data space in accordance with any of the embodiments described herein. The processor may be configured to perform other steps of any method embodiment described herein.

일 실시예에서, 검사 시스템(90)은 광원(96)을 포함한다. 광원(96)은 당업계에 알려진 임의의 적합한 광원을 포함할 수 있다. 광원(96)은 광을 빔 스플리터(98)로 진행시키도록 구성될 수 있다. 빔 스플리터(98)는 광원(96)으로부터의 광을 실질적으로 수직인 입사각도에서 웨이퍼(92)로 진행시키도록 구성될 수 있다. 빔 스플리터(98)는 당업계에 알려진 임의의 적합한 광학 요소를 포함할 수 있다. 웨이퍼(92)로부터 반사된 광은 빔 스플리터(98)를 통과하여 검출기(94)로 진행한다. 검출기(94)는 당업계에 알려진 임의의 적합한 검출기를 포함할 수 있다. 검출기(94)에 의해 생성된 출력은 웨이퍼(92) 상의 결함을 검출하는데 사용될 수 있다. 예컨대, 프로세서(88)는 검출기에 의해 생성된 출력을 사용하여 웨이퍼(92) 상의 결함을 검출하도록 구성될 수 있다. 프로세서는 웨이퍼 상의 결함을 검출하기 위해 당업계에서 알려진 임의의 방법 및/또는 알고리즘을 사용할 수 있다. 검사 동안에, 웨이퍼(92)는 스테이지(100) 위에 배치된다. 스테이지(100)는 당업계에 알려진 적합한 기계적 및/또는 로봇 어셈블리를 포함할 수 있다. 도 16에 도시한 검사 시스템은 당업계에 알려진 임의의 다른 적합한 요소(도시 생략)를 포함할 수 있다.In one embodiment, the inspection system 90 includes a light source 96. Light source 96 may comprise any suitable light source known in the art. The light source 96 may be configured to advance light to a beam splitter 98. The beam splitter 98 may be configured to advance light from the light source 96 to the wafer 92 at a substantially vertical incidence angle. The beam splitter 98 may comprise any suitable optical element known in the art. The light reflected from the wafer 92 passes through the beam splitter 98 and proceeds to the detector 94. Detector 94 may comprise any suitable detector known in the art. The output generated by the detector 94 may be used to detect defects on the wafer 92. For example, the processor 88 may be configured to detect defects on the wafer 92 using the output produced by the detector. The processor may use any method and / or algorithm known in the art to detect defects on the wafer. During the inspection, the wafer 92 is placed on the stage 100. Stage 100 may comprise suitable mechanical and / or robotic assemblies known in the art. The inspection system shown in Fig. 16 may comprise any other suitable element (not shown) known in the art.

도 16에 도시한 바와 같이, 검사 시스템은 웨이퍼로부터 거울 반사된 광을 검출하도록 구성된다. 이러한 방식에서, 도 16에 도시한 검사 시스템은 BF 검사 시스템으로서 구성된다. 하지만, 검사 시스템은 DF 검사 시스템, EC 검사 시스템, 어퍼처 모드 검사 시스템, 또는 당업계에 알려진 임의의 다른 광학 검사 시스템으로서 구성된 검사 시스템으로 대체할 수 있다. 또한, 도 16에 도시한 검사 시스템은 웨이퍼로 향하는 광의 입사각도 및/또는 웨이퍼로부터 광이 수집되는 각도를 변경함으로써 DF 검사를 수행하도록 구성될 수 있다. 다른 예에서, 검사 시스템은,그 검사 시스템은 EC 모드 검사 및/또는 검사의 어퍼처 모드를 수행할 수 있도록, 어퍼처와 같은 1 이상의 광학 요소(도시 생략)가 조명 경로 및 수집 경로 내에 위치할 수 있도록 구성될 수 있다.As shown in Figure 16, the inspection system is configured to detect mirror reflected light from the wafer. In this manner, the inspection system shown in Fig. 16 is configured as a BF inspection system. However, the inspection system can be replaced by a inspection system configured as a DF inspection system, an EC inspection system, an aperture mode inspection system, or any other optical inspection system known in the art. Further, the inspection system shown in Fig. 16 can be configured to perform the DF inspection by changing the angle of incidence of light to the wafer and / or the angle at which light is collected from the wafer. In another example, the inspection system may include one or more optical elements (not shown), such as apertures, positioned within the illumination path and collection path, such that the inspection system can perform the ECM mode of inspection and / Or < / RTI >

또한, 도 16에 도시한 광학적 검사 시스템은 KLA-Tencor사로부터 입수가능한 2360, 2365, 2371 및 23xx와 같은 상업적으로 입수가능한 검사 시스템을 포함할 수 있다. 다른 실시예에서, 도 16에 도시한 광학 검사 시스템은 전자 빔 검사 시스템으로 대체할 수 있다. 도 16에 도시한 시스템에 포함될 수 있는, 상업적으로 입수가능한 전자 빔 검사 시스템의 예는 KLA-Tencor로부터의 eS25, eS30 및 eS31 시스템을 포함한다. 도 16에 도시한 시스템의 실시예는 여기에 기술하는 바와 같이 추가로 구성할 수 있다. 또한, 시스템은 여기에 기술한 임의의 방법 실시예의 임의의 다른 단계를 수행하도록 구성될 수 있다. 도 16에 도시한 시스템의 실시예는 전술한 방법 실시예의 모든 이점을 갖는다.In addition, the optical inspection system shown in FIG. 16 may include a commercially available inspection system such as 2360, 2365, 2371, and 23xx available from KLA-Tencor. In another embodiment, the optical inspection system shown in Fig. 16 can be replaced with an electron beam inspection system. Examples of commercially available electron beam inspection systems that may be included in the system shown in Figure 16 include the eS25, eS30, and eS31 systems from KLA-Tencor. The embodiment of the system shown in Fig. 16 can be further configured as described herein. In addition, the system may be configured to perform any of the other steps of any method embodiment described herein. The embodiment of the system shown in Fig. 16 has all the advantages of the method embodiment described above.

전술한 방법 및 시스템은 웨이퍼 상의 정렬 사이트에 대하여 취득한 데이터(예컨대, BF 패치 이미지)를 소정의 정렬 사이트(예컨대, GDSII 파일)에 대한 데이터와 정렬함으로써, 검사 데이터와 설계 데이터의 정렬을 일반적으로 수행한다. 여기에 기술한 추가적인 방법 및 시스템은 검사 데이터와 설계 데이터 사이의 정렬을 일반적으로 수행하고, 통계적 기술(예컨대, 패치 이미지 또는 SEM 이미지를 사용하지 않은)과 같은 기술을 사용하여 다른 결함 사이의 유사성을 결정한다.The above-described method and system generally performs sorting of inspection data and design data by aligning the acquired data (e.g., BF patch image) with the data for a predetermined alignment site (e.g., GDSII file) for the alignment site on the wafer do. The additional methods and systems described herein generally perform an alignment between inspection data and design data and use similar techniques such as statistical techniques (e.g., no patch image or SEM image) to determine similarities between different defects .

여기에 기술한 실시예는 콘텍스트-기반 셋업, 검사, 비닝, 리뷰, 측정, 테스트, 분석, 또는 이들의 일부 조합에 대하여 사용될 수 있다. 실시예에 사용된 콘텍스트 데이터는 설계 데이터베이스 또는 파일(예컨대, GDS 파일, OASIS 파일, 오픈 액세스(open access) 파일, 네트-리스트(net-list) 등); 프로세스 시뮬레이션 결과; 전기적 시뮬레이션 결과; 관심 패턴(pattern of interest); 핫 스팟 정보(예컨대, OPC, 전기 테스트 결과, 검사 결과); 프로세스 툴 데이터(워크 인 프로그레스(work in progress); 또는 이들의 일부 조합과 같은 데이터 구조로 저장된 설계에 관한 정보 또는 설계 데이터를 포함할 수 있다. 또한, 실시예는 여기에 기술한 실시예에 의해 생성된 결과에 기초하여 1 이상의 결함 및/또는 1 이상의 그룹의 결함의 수율 영향을 예측하는 단계를 포함할 수 있다. 수율 영향을 예측하는 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 여기에 기술한 실시예는 실행가능한, 수율 관련 정보를 비교적 빠르게 제공하기 위해 유리하게 사용될 수 있다.The embodiments described herein may be used for context-based setup, inspection, binning, review, measurement, testing, analysis, or some combination thereof. The context data used in the embodiments may be a design database or a file (e.g., a GDS file, an OASIS file, an open access file, a net-list, etc.); Process simulation results; Electrical simulation results; Pattern of interest; Hotspot information (e.g., OPC, electrical test results, test results); And may include design information or design data stored in a data structure such as process tool data (work in progress; or some combination thereof). Embodiments may also be implemented in embodiments described herein Prediction of the yield impact of one or more defects and / or defects of one or more groups based on the results produced by the method. The step of predicting yield impacts may be performed as further described herein . In addition, the embodiments described herein may be advantageously used to provide relatively quickly yieldable, yield-related information.

여기에 기술한 정보는 결함 위치 좌표를 정밀하지 않게 결정(즉, 실제 결함은 보고된 좌표 근방에 위치할 수 있지만 보고된 좌표에 정확히 위치하지는 않음)하는 검사 시스템에 의해 검출된 결함을 그룹화하는데 사용될 수 있다. 예컨대, 여기에 기술한 방법 및 시스템은 패턴을 서로에 대하여 정렬시키는 것을 시도함으로써, 보고된 결함 위치에 가까운 것과 적어도 유사한 패턴을 검색하는데 사용할 수 있고, 이에 의해 검사 시스템에 의해 보고된 결함 좌표가 완벽하게 정확하지 않은 경우에도 결함의 개선된 그룹화를 허용한다. 다른 예에서, 보고된 결함 위치에 가까운 취득된 리뷰 이미지(예컨대, SEM 이미지) 또는 검사 이미지는, 웨이퍼 스페이스 내의 결함의 실제 위치(검사에 의해 보고된 결함의 위치와 대조적인)와 결함에 가까운 설계 데이터의 정확한 표현을 결정하기 위해, 설계 데이터와 비교되거나 그것과 오버레이될 수 있다. 적어도 유사한 패턴의 모든 인스턴스는 설계 데이터 내에서 식별되고(패턴의 회전되고, 플립(flip)되고, 또는 다르게는 기울어진 인스턴스를 포함하여), 패턴 그룹으로 비닝된다. 전술한 바와 같이 결정된 웨이퍼 스페이스 내의 실제 결함 위치는 패턴 그룹에 대한 위치와 비교되고, 소정의 공차 내에서 패턴 그룹에 대한 위치에 위치되는 결함은 그룹으로 비닝된다. 결함의 그러한 그룹화는 온-툴 또는 오프-툴로 수행될 수 있고, 여기에 기술한 방법의 성능을 향상시킬 수 있다(예컨대, 검사에 의해 보고된 결함 위치 좌표에 좌표 부정확성이 존재하는 경우 검색 범위를 줄인다) 특히, 좌표 부정확성에서, 보고된 검사 좌표에 기초하여 결정된 소스 패턴은 대략적인 소스 패턴(패턴이 고립되거나, 결함의 좌표가 실질적으로 정확히 존재하지 않는 한)이다. 물론, 여기에 기술한 실시예는 고정밀도 검사 시스템에 의해 생성된 검사 결과로 사용될 수 있다.The information described herein may be used to group defects detected by the inspection system to precisely determine the defect location coordinates (i.e., the actual defect may be located near the reported coordinates, but not exactly in the reported coordinates) . For example, the methods and systems described herein can be used to search patterns that are at least similar to those reported near the reported defect location, by attempting to align the patterns relative to each other, thereby ensuring that the defect coordinates reported by the inspection system are perfect But also allows for improved grouping of defects even if not precise. In another example, the acquired review image (e.g., SEM image) or inspection image close to the reported defect location can be determined by comparing the actual location of the defect in the wafer space (as opposed to the location of the defect reported by inspection) Can be compared to or overlaid with the design data to determine an accurate representation of the data. At least all instances of a similar pattern are identified in the design data (including rotated, flipped, or otherwise tilted instances of the pattern) and are binned into a pattern group. The actual defect locations in the wafer space determined as described above are compared with the locations for the pattern groups and the defects located at locations for the pattern groups within a given tolerance are binned into groups. Such grouping of defects may be performed on-tool or off-tool, and may improve the performance of the method described herein (e.g., if there is coordinate inaccuracy in the defect location coordinates reported by the inspection, In particular, in coordinate inaccuracy, the source pattern determined based on the reported test coordinates is the approximate source pattern (unless the pattern is isolated or the coordinates of the defect are substantially accurate). Of course, the embodiments described herein can be used as a result of a test generated by a high-precision inspection system.

일 실시예는 웨이퍼 상에서 검출된 결함을 비닝하는 컴퓨터-구현 방법에 관한 것이다. 일반적으로, 여기에 기술한 방법에서, 결함의 모집단은 소스 결함을 선택하고, 설계 데이터 스페이스 내의 소스 결함의 위치에 가까운 설계 데이터("소스 설계 데이터")를 타깃 결함의 설계 데이터 스페이스 내의 위치에 가까운 설계 데이터("타깃 설계 데이터")에 비교하고(예컨대, 결함 모집단의 전부 또는 일부), 비교된 설계 데이터 사이에 매치 또는 적어도 유사성이 존재하는 경우, 타깃 결함을 타깃 설계 데이터에 할당함으로써, 설계 데이터(예컨대, GDS 설계 데이터)에 기초하여 그룹화될 수 있다. 비교는 소스 및 타깃 설계 데이터의 직접 비교에 기초할 수 있다. 또한, 비교는 소스 결함과 타깃 결함의 설계 데이터 스페이스 내의 위치 사이에 사소한 좌표 부정확성이 정정된 후에 수행할 수 있다. 또한, 비교는 소스 및 타깃 결함 위치에서의 좌표 부정확성을 고려하기 위해 타깃 설계 데이터 내의 소스 설계 데이터를 검색하는 단계를 포함할 수 있다. 정렬 및 검색은 여기에 기술하는 바와 같이 수행할 수 있는 서브-픽셀 정렬 기술을 사용함으로써 개선될 수 있다. 또한, 소스 및 타깃 설계 데이터의 비교는 소스 및 타깃 설계 데이터 사이에 정확한 매치, 또는 소스 및 타깃 설계 데이터 사이에 유사하지만 정확하지 않은 매치가 존재하는지를 결정하기 위해 수행될 수 있다. 전술한 각각의 단계는 여기에 기술하는 바와 같이 추가로 수행할 수 있다.One embodiment relates to a computer-implemented method of binning detected defects on a wafer. Generally, in the method described herein, the population of defects is selected by selecting source defects and design data ("source design data") that is close to the location of the source defects in the design data space to a location close to the location in the design data space of the target defects By assigning target defects to the target design data when there is a match or at least similarity between the compared design data compared to the design data ("target design data") (eg, all or part of the defect population) (E.g., GDS design data). The comparison may be based on a direct comparison of the source and target design data. The comparison can also be performed after minor coordinate inaccuracies are corrected between the source defect and the target defect in the design data space. The comparison may also include retrieving the source design data in the target design data to account for coordinate inaccuracies in the source and target defect locations. Alignment and searching can be improved by using sub-pixel alignment techniques that can be performed as described herein. In addition, a comparison of the source and target design data may be performed to determine whether there is a correct match between the source and target design data, or a similar but inaccurate match between the source and target design data. Each of the above-described steps may be performed further as described herein.

타깃 결함 모집단이 소스 결함에 대하여 테스트된 후, 다음의 소스 결함이 선택될 수 있다. 아직 그룹화되지 않은 결함이 다음 소스 결함으로서 선택된다. 전술한 단계는 모든 결함이 그룹화(또는 적어도 테스트)될 때까지 반복될 수 있다. 여기에 기술한 방법에 사용된 결함 모집단은 웨이퍼 상에서 검출된 모든 결함을 포함할 수 있고, 복수의 웨이퍼 상에서 검출된 모든 결함, 또는 1 이상의 웨이퍼 상에서 검출된 결함의 서브세트(예컨대, 1 이상의 웨이퍼 상에서 검출되고 핫 스팟에 근접한 것으로 식별된 결함)를 포함할 수 있다. 또한, 여기에 기술한 방법은 전체 결함 모집단 또는 전체 결함 모집단 내의 결함의 서브세트(로직, 메모리 등과 같은 설계 기능 블록에 기초하여 선택될 수 있는)에 대하여 수행할 수 있다. 비닝은 자동 단일-패스 또는 다중-패스 그룹화로 수행될 수 있다.After the target defect population has been tested for source defects, the following source defects may be selected. Defects not yet grouped are selected as the next source defect. The above steps can be repeated until all the defects are grouped (or at least tested). The defect population used in the methods described herein may include all defects detected on the wafer and may include all defects detected on a plurality of wafers or a subset of defects detected on one or more wafers Defects that are detected and identified as proximate to the hot spot). In addition, the methods described herein can be performed on a total defect population or a subset of defects in the entire defect population (which may be selected based on design functional blocks such as logic, memory, etc.). Binning can be performed with automatic single-pass or multi-pass grouping.

상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터 부분을 비교하는 단계를 포함한다. 예컨대, 도 17에 도시한 바와 같이, 상기 방법은 설계 데이터 스페이스(106) 내의 결함(104)의 위치에 가까운 설계 데이터(도시 생략)의 부분(102)를 설계 데이터 스페이스(106) 내의 결함(110)의 위치에 가까운 설계 데이터(도시 생략)의 부분(108)과 비교하는 단계를 포함할 수 있다. 결함(104)은 여기에서는 "소스 결함"이라 칭하며, 결함(110)은 여기에서 "타깃 결함"이라 칭한다. 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터는 백그라운드 패턴 데이터 또는 결함에 대한 백그라운드 정보를 규정한다.The method includes comparing design data portions that are close to the location of the defects in the design data space. For example, as shown in FIG. 17, the method may include placing a portion 102 of design data (not shown) near the location of a defect 104 in a design data space 106 within a design data space 106, To a portion 108 of design data (not shown) that is close to the location of the design data (not shown). The defect 104 is referred to herein as a "source defect " and the defect 110 is referred to herein as a" target defect ". The design data near the location of the defects in the design data space defines background pattern data or background information on the defects.

도 17에 도시한 바와 같이, 부분(102)은 결함(104)보다 크다. 부분(102)의 치수(x 및 y 방향에서의)는 유저에 의해 선택될 수 있다. 또한, 부분(108)은 결함(110)보다 크다. 부분(108)의 치수 또한 유저에 의해 선택할 수 있다. 부분(108)의 치수는 여기에 추가로 기술하는 바와 같이 부분(102)의 치수보다 통상적으로 크다. 대안적으로, 부분의 치수는 여기에 기술한 컴퓨터-구현 방법에 의해 선택할 수 있다(예컨대, 자동으로).As shown in FIG. 17, the portion 102 is larger than the defect 104. The dimensions of the portion 102 (in the x and y directions) may be selected by the user. Also, the portion 108 is larger than the defect 110. The dimensions of the portion 108 can also be selected by the user. The dimensions of portion 108 are typically larger than the dimensions of portion 102, as further described herein. Alternatively, the dimensions of the part may be selected (e.g., automatically) by the computer-implemented method described herein.

일 실시예에서, 부분의 치수(x 및 y 방향에서의)는, 결함, 검사 시스템의 좌표 부정확성, 설계 데이터의 1 이상의 속성, 결함 사이즈, 검사 시스템의 결함 사이즈 에러, 또는 이들의 일부 조합을 검출하는데 사용된 검사 시스템에 의해서 보고된 결함의 위치에 적어도 부분적으로 기초한다. 예컨대, 상기 방법은 보고된 결함 위치에 중심을 둔 결함 데이터의 부분(즉, "패턴 창")을 규정하는 단계를 포함할 수 있다. 패턴 창은 결함의 치수보다 큰 폭 및 높이를 가질 수 있고, 좌표 불확실성으로 인한 결함 위치에서의 에러를 고려하기 위해 선택된다. 예컨대, 검사 시스템에 의해 보고된 결함 위치의 좌표가 약 +/- 3㎛로 정확하면, 패턴 창은 약 6㎛ × 약 6㎛의 전체 최소 사이즈를 위해, 보고된 결함 위치의 x 및 y 좌표로부터 모든 방향에서 적어도 3㎛를 포함하도록 규정할 수 있다. 이러한 방식에서, 검사 시스템의 좌표 정확도가 더 좋을수록, 패턴 창은 더 작아질 수 있으며, 이는 신속하고 더욱 정확한 그룹화의 결과를 낳을 수 있다. 패턴 창의의 치수는 패턴 창이 설계 데이터 내의 충분한 수의 특징과 같은 "충분한" 양의 백그라운드 패턴 데이터를 포함하도록 선택될 수 있다. 또한, 패턴 창 내의 설계 데이터가 클립과 비교되는 경우, 패턴 창 치수는 패턴 창이 클립에 단지 부분적으로 포함되는 전체 다각형을 포함하도록 선택할 수 있다.In one embodiment, the dimensions of the part (in the x and y directions) can be detected by detecting defects, coordinate inaccuracies of the inspection system, one or more attributes of the design data, defect sizes, defect size errors in the inspection system, Based at least in part on the location of the defect reported by the inspection system used to detect the defect. For example, the method may include defining a portion of the defect data centered at the reported defect location (i. E., The "pattern window"). The pattern window may have a width and height greater than the dimensions of the defect and is selected to account for errors in the defect location due to coordinate uncertainty. For example, if the coordinates of the defect locations reported by the inspection system are accurate to about +/- 3 microns, the pattern window is calculated from the x and y coordinates of the reported defect locations for the total minimum size of about 6 microns by about 6 microns And may be defined to include at least 3 mu m in all directions. In this way, the better the coordinate accuracy of the inspection system, the smaller the pattern window can be, which can result in faster and more accurate grouping. The dimensions of the pattern window may be selected such that the pattern window contains a "sufficient" amount of background pattern data such as a sufficient number of features in the design data. In addition, when the design data in the pattern window is compared to a clip, the pattern window dimension can be selected to include the entire polygon where the pattern window is only partially contained in the clip.

여기에 기술한 방법에 사용된 설계 데이터의 부분은 설계 데이터 스페이스 내의 결함의 위치 둘레에서 취해진 설계의 클립을 포함할 수 있다. 용어 "클립"은 결함 주위의 설계 데이터 내의 영역으로서 일반적으로 규정되며, 결함의 근방으로서 고려될 수 있다. 다각형은 클립 내의 패턴을 규정하지만, 다각형은 클립을 넘어 부분적으로 연장할 수 있다. 결함의 일부에 대하여 여기에 기술한 방법에 사용된 클립은 하나 이상의 다른 치수를 가질 수 있다. 하지만, 여기에 기술한 방법에 사용된 설계 데이터의 부분은, 결함이 위치할 수 있는 부분의 범위 주위의 연장된 바운딩 박스(EBB) 내의 설계 데이터를 포함할 수 있다. EBB는 결함을 검출하기 위해 사용된 검사 시스템의 좌표 정확도와, 결함 사이즈(및 검사 시스템의 결함 사이즈 에러)에 기초하여 선택할 수 있다. 예컨대, 검사의 좌표 정확도가 커질수록, EBB의 치수는 감소할 수 있다. 큰 EBB에서보다 작은 EBB에서 결함의 위치가 더욱 정확히 결정될 수 있기 때문에, 더 작은 EBB가 바람직하고, 결함의 1 이상의 속성(예컨대, 설계 내의 다각형에 대한 결함의 위치, 결함에 대한 분류, 및 결함의 근본 원인)를 결정하기 위해 EBB 내의 더욱 정확한 결함 위치가 사용될 수 있다. 또한, 결함의 적어도 일부에 대하여 사용된 EBB의 1 이상의 치수는 상이할 수 있다. EBB는 일반적으로 클립보다 작고, 어느 결함이 위치할 수 있는지를 나타낸다).The portion of the design data used in the method described herein may include a clip of the design taken around the location of the defect in the design data space. The term "clip" is generally defined as the area within the design data around the defect, and can be considered as the neighborhood of the defect. A polygon defines a pattern in a clip, but a polygon can extend partially beyond a clip. Clips used in the methods described herein for some of the defects may have one or more other dimensions. However, the portion of the design data used in the method described herein may include design data in an extended bounding box (EBB) around the extent of the portion where the defect may be located. EBB can be selected based on the coordinate accuracy of the inspection system used to detect the defect and the defect size (and the defect size error of the inspection system). For example, the larger the coordinate accuracy of the test, the smaller the dimension of the EBB can be. Since the location of the defect can be more accurately determined in the smaller EBB at the larger EBB, a smaller EBB is preferred and at least one attribute of the defect (e.g., location of the defect with respect to the polygon in the design, A more accurate fault location within EBB can be used to determine the root cause). Also, at least one dimension of the EBB used may differ for at least some of the defects. EBB is generally smaller than a clip and indicates which defects can be located).

다른 실시예에서, 부분의 적어도 일부의 치수는 상이하다. 예컨대, 도 17에 도시한 바와 같이, 부분(108)과 결함(110)의 치수 사이의 차이는 부분(102)과 결함(104)의 치수 사이의 차이보다 크다. 즉, 타깃 결함 주위의 타깃 부분의 영역은 소스 결함 주위의 소스 부분의 영역보다 크다. 이러한 방식에서, 타깃 부분은 소스 부분보다 더 많은 설계 데이터를 포함할 수 있다. In another embodiment, the dimensions of at least some of the portions are different. For example, as shown in FIG. 17, the difference between the dimensions of the portion 108 and the defect 110 is greater than the difference between the dimensions of the portion 102 and the defect 104. That is, the region of the target portion around the target defect is larger than the region of the source portion around the source defect. In this manner, the target portion may contain more design data than the source portion.

설계 데이터의 소스 부분은 설계 데이터의 타깃 부분의 다른 영역과 비교될 수 있다. 이러한 방식에서, 상기 방법은 타깃 부분 내의 설계 데이터의 소스 부분을 탐색하는 단계를 포함할 수 있다. 예컨대, 소스 부분과 타깃 부분의 오버레이(112)에 도시한 바와 같이, 설계 데이터의 소스 부분은 타깃 부분의 일 영역과 비교할 수 있다. 이러한 비교 후에, 타깃 부분에 대한 소스 부분의 위치는 타깃 부분의 다른 영역 내의 설계 데이터가 설계 데이터의 소스 부분에 비교될 수 있도록 변경될 수 있다. 이러한 방식에서, 상기 방법은 매치가 식별될 때까지 또는 타깃 부분의 모든 영역이 소스 부분과 비교될 때까지, 설계 데이터의 소스 부분을 타깃 부분 주위로 "슬라이딩" 시키는 단계를 포함할 수 있다.The source portion of the design data can be compared to other regions of the target portion of the design data. In this manner, the method may include searching for a source portion of design data in the target portion. For example, as shown in the overlay 112 of the source and target portions, the source portion of the design data can be compared to one region of the target portion. After such comparison, the position of the source portion relative to the target portion may be changed such that the design data in another region of the target portion can be compared to the source portion of the design data. In this manner, the method may include "sliding" the source portion of the design data around the target portion until a match is identified or until all regions of the target portion are compared to the source portion.

설계 데이터의 부분을 비교하는 단계는 비교 단계에 이용가능한 임의의 정보로 수행될 수 있다. 예컨대, 비교되는 설계 데이터의 부분은 GDS 파일과 같은 데이터 구조에 포함된 설계 데이터의 부분일 수 있다. 또한, 설계 데이터의 부분을 비교하는 단계는 부분 내의 다각형을 비교하는 단계를 포함할 수 있다. 다른 실시예에서, 상기 방법은 비교 단계 이전에, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 비트맵으로 변환하는 단계를 포함한다. 예컨대, 설계 데이터의 부분 내의 다각형은 신속한 처리를 위해 비트맵으로 변환될 수 있다. 설계 데이터의 부분은 당업계에 알려진 임의의 적합한 방식을 사용하여 비트맵으로 변환될 수 있다. 예컨대, 여기에 완전히 언급된 것과 같이 참고자료로 포함되는, 미국 특허 제7,030,997호(Neureuther et al.)에 개시된 방법 및 시스템을 사용하여 비트맵으로 변환될 수 있다. 그러한 일 실시예에서, 설계 데이터의 부분을 비교하는 단계는, 비트맵을 서로 비교하는 단계를 포함한다. 비트맵을 서로 비교하는 단계는 임의의 적합한 방식을 사용하여 수행할 수 있다. 또한, 설계 데이터의 부분을 비교하는 단계는 그 부분 내의 설계 데이터의 1 이상의 속성을 비교하는 단계를 포함할 수 있다. 비교되는 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다.The step of comparing portions of the design data may be performed with any information available for the comparison step. For example, the portion of the design data to be compared may be part of the design data contained in the data structure, such as a GDS file. In addition, comparing the portions of the design data may include comparing the polygons in the portion. In another embodiment, the method includes converting the portion of the design data near the location of the defect in the design data space to a bitmap prior to the comparing step. For example, polygons within portions of design data can be converted to bitmaps for rapid processing. Portions of the design data may be converted to bitmaps using any suitable method known in the art. For example, the method and system disclosed in U.S. Patent No. 7,030,997 (Neureuther et al.), Which is incorporated herein by reference as if fully set forth herein, can be converted into bitmaps. In one such embodiment, comparing the portions of the design data includes comparing bitmaps to each other. The step of comparing bitmaps to each other may be performed using any suitable method. In addition, comparing the portions of the design data may include comparing one or more properties of the design data in the portion. The one or more attributes to be compared may include any attributes of the design data described herein.

상기 방법은, 비교 단계의 결과에 기초하여, 그 부분 내의 설계 데이터가 적어도 유사한지(유사하거나 정확히 동일한지)를 결정하는 단계를 또한 포함한다. 부분 내의 설계 데이터의 1 이상의 속성이 결정되면, 그룹화는 공통의 패턴 유사도, 공통 속성 유사도, 특징 스페이스 내의 공통 속성 유사도, 또는 이들의 일부 조합에 기초할 수 있다. 예컨대, 일 실시예에서, 그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계는, 그 부분 내의 설계 데이터 내의 공통 패턴이 적어도 유사한지를 결정하는 단계를 포함하며, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 다른 실시예에서, 그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계는, 그 부분 내의 설계 데이터의 공통 속성이 적어도 유사한지를 결정하는 단계를 포함하며, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 추가의 실시예에서, 그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계는, 그 부분 내의 설계 데이터의 특징 스페이스에서의 공통 속성이 적어도 유사한지를 결정하는 단계를 포함하며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 상기 방법은 그 부분 내에 유사한 다른 영역이 어떻게 존재하는지를 결정하는 단계를 포함할 수 있다. 또한, 그 부분 내의 설계 데이터가 서로 미소하게 오프셋 되거나 미소하게 다른 설계 구조를 포함할지라도, 그 부분이 현저한 공통 구조를 갖는 경우, 그 부분은 서로 유사한 것으로 결정될 수 있다. 상기 방법은, "백그라운드" 패턴에 기초하여, 어느 결함이 서로 유사한지를 결정하기 위해서, 설계 데이터 스페이스 내의 각각의 결함의 위치에 가까운 설계 데이터를 설계 데이터 스페이스 내의 모든 결함의 위치에 가까운 설계 데이터와 비교하는 단계를 포함할 수 있다.The method also includes determining, based on the result of the comparing step, whether the design data in the portion is at least similar (similar or exactly the same). If one or more attributes of the design data in the portion are determined, the grouping may be based on a common pattern similarity, a common attribute similarity, a common attribute similarity within a feature space, or some combination thereof. For example, in one embodiment, determining whether the design data in the portion is at least similar may include determining if the common pattern in the design data in the portion is at least similar, which may be accomplished as further described herein . In another embodiment, determining whether the design data in the portion is at least similar resides in determining whether the common attributes of the design data in the portion are at least similar, which may be performed as further described herein have. In a further embodiment, the step of determining if the design data in the portion is at least similar resides in determining whether the common property in the feature space of the design data in the portion is at least similar, As shown in FIG. The method may also include determining how other regions similar within the portion are present. Further, even if the design data in the portion are slightly offset from one another or include a different design structure slightly, if the portion has a remarkable common structure, the portions can be determined to be similar to each other. The method includes comparing design data close to the location of each defect in the design data space with design data close to the location of all defects in the design data space to determine which defects are similar based on the & .

그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계는 결함이 설계 데이터 내의 동일한 위치에 위치되는지의 여부에 기초하여 수행되지 않는 것이 바람직하다. 즉, 여기에 기술한 방법에 의해 자신의 "백그라운드"에 기초하여 그룹으로 비닝된 결함은 패턴, 특징, 다각형, 또는 설계 데이터 내의 구조에 대하여 동일한 위치에 위치될 필요가 없을 수 있다. 설계 데이터에 대한 결함의 위치의 매칭에 의존하지 않고, 상기 방법은 더욱 정확한 결함 비닝을 제공할 수 있다. 예컨대, 두 개의 결함이 동일한 유형의 패턴 내에 존재하지만, 그 패턴 내의 다른 위치에 위치할 수 있다. 또한, POI 내의 체계적 결함이 국부화될 수 있지만, 또한 국부화되지 않을 수 있다. 하지만, 그러한 결함이 동일한 패턴 기반 이슈에 의해 야기될 수 있거나, 그것에 관련될 수 있다. 따라서, 설계 데이터 내의 실제 결함 위치 사이의 유사성에 의존하지 않는 결함 비닝은 더욱 정확한 비닝을 허용할 수 있으며, 이는 이들 체계적 이슈에 기초하여 수율의 제어 및 예측과, 체계적 이슈의 더욱 정확한 입증을 위해 사용될 수 있다. 설계 데이터의 부분이 적어도 유사한지를 결정하는 단계는 임의의 적합한 알고리즘을 사용하여 수행될 수 있다. 따라서, 그 방법은 "유사성 체커(checker)"로서 사용될 수 있다. 타깃 부분이 그 타깃 부분에 비교되는 소스 부분보다 클 수 있기 때문에, 유사성 체커는 설계 데이터 내의 실제 결함 위치에서의 좌표 부정확성이 존재하는 경우에 유리하게 사용할 수 있다.It is desirable that the step of determining whether the design data in the portion is at least similar is not performed based on whether or not the defect is located at the same position in the design data. That is, defects that are grouped into groups based on their "background" by the method described herein may not need to be located at the same location for a pattern, feature, polygon, or structure in the design data. Without depending on the matching of the position of the defects to the design data, the method can provide more accurate defect binning. For example, although two defects exist in the same type of pattern, they may be located at different locations within the pattern. Also, systematic defects in the POI may be localized, but may also not be localized. However, such defects can be caused by, or related to, the same pattern-based issues. Thus, defect binning that does not rely on similarity between actual defect locations within the design data can allow for more accurate binning, which can be used for control and prediction of yield based on these systematic issues, and for more accurate demonstration of systematic issues . The step of determining whether a portion of the design data is at least similar may be performed using any suitable algorithm. Thus, the method can be used as a "similarity checker. &Quot; Since the target portion can be larger than the source portion compared to its target portion, the similarity checker can be advantageously used when there is coordinate inaccuracy at the actual defect location in the design data.

도 17에 도시한 실시예에서, 전체 소스 부분은 타깃 부분의 다른 영역과 비교된다. 일부 실시예에서, 상기 방법은 부분의 적어도 일부에 있는 설계 데이터의 전체를 다른 부분에 있는 설계 데이터에 비교하는 단계를 포함한다. 또한, 상기 방법은 설계 데이터의 소스 부분의 전체를 설계 데이터의 타깃 부분의 다른 영역에 비교하는 단계를 포함할 수 있다. 그와 같이, 상기 방법은 설계 데이터의 전체 소스 부분에 적어도 유사한 설계 데이터에 대한 타깃 부분을 탐색하는 단계를 포함할 수 있다.In the embodiment shown in Fig. 17, the entire source portion is compared to the other region of the target portion. In some embodiments, the method includes comparing the entire design data in at least a portion of the portion to design data in another portion. The method may also include comparing the entire source portion of the design data to another region of the target portion of the design data. As such, the method may include searching the target portion for design data that is at least similar to the entire source portion of the design data.

상기 방법은 각각의 그룹의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 더 포함한다. 이러한 방식에서, 상기 방법은 설계 데이터 및/또는 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 콘텍스트에 기초하여 결함을 그룹으로 비닝하는 단계를 포함한다. 예컨대, 비-감독 방식으로 결함을 그룹으로 비닝하기 위해, 적어도 유사하거나 매치하는 설계 데이터의 부분에서의 다각형을 사용할 수 있다. 또한, 비닝 단계는 적어도 하나의 그룹 내의 적어도 2개의 결함의 위치에 가까운 설계 데이터가 적어도 유사하도록, 적어도 2개의 결함을 적어도 하나의 그룹으로 비닝하는 단계를 포함할 수 있다. 또한, 적어도 유사하다고 결정된, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분이 아무것도 없는 드문 인스턴스에서, 상기 방법은 결함을 그룹으로 비닝하지 않는다.The method further comprises binning the defects into groups such that at least portions of the design data that are close to the location of the defects of each group are at least similar. In this manner, the method includes binning the defects based on the context of the design data and / or the design data near the location of the defects in the design data space. For example, in order to group defects in a non-supervised manner, a polygon in the portion of the design data that is at least similar or matched may be used. In addition, the binning step may include binning at least two defects into at least one group such that the design data close to the location of the at least two defects in the at least one group is at least similar. Also, in rare instances where there is no part of the design data near the location of the defects in the design data space, which are determined to be at least similar, the method does not bin the defects into groups.

상기 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 또한 포함한다. 비닝 단계의 결과는 여기에 기술한 임의의 결과를 포함할 수 있다. 또한, 상기 저장 단계는 여기에 기술한 임의의 방법 실시예의 임의의 단계의 임의의 다른 결과에 부가하여 비닝 단계의 결과를 저장하는 단계를 포함할 수 있다. 결과는 당업계에 알려진 임의의 다른 방식으로 저장될 수 있다. 또한, 저장 매체는 여기에 기술한 임의의 저장 매체 또는 당업계에 알려진 임의의 다른 적합한 저장 매체를 포함할 수 있다. 그 결과가 저장된 후, 그 결과는 여기에 기술한 임의의 방법 또는 시스템 실시예에 의해 저장 매체 내에서 액세스되고 사용될 수 있다. 또한, 그 결과는 "영구적으로", "반-영구적으로", 일시적으로 또는 일부 기간 동안 저장될 수 있다. 예컨대, 저장 매체는 RAM일 수 있고, 비닝 단계의 결과는 저장 매체 내에서 잔존할 필요가 없을 수 있다.The method also includes storing the result of the binning step on a storage medium. The result of the binning step may include any result described herein. The storing step may also include storing the result of the binning step in addition to any other result of any of the steps of any of the method embodiments described herein. The results may be stored in any other manner known in the art. The storage medium may also include any of the storage media described herein or any other suitable storage medium known in the art. After the results are stored, the results can be accessed and used within the storage medium by any method or system embodiment described herein. The results may also be stored "permanently "," semi-permanently ", temporarily or for some period of time. For example, the storage medium may be a RAM, and the result of the binning step may not need to remain in the storage medium.

설계 데이터의 부분이 적어도 유사한지 결정하는 단계는 비교 단계의 결과를 유사성에 대한 소정 기준과 비교하는 단계를 포함할 수 있다. 예컨대, 비교 단계의 결과는 임계값과 비교될 수 있다. 그 부분 내의 설계 데이터가 적어도 그러한 임계값만큼 적어도 유사한 경우, 그 방법은 결함을 그룹으로 비닝할 수 있다. 다른 예에서, 비교 단계의 결과는 "퍼센트 유사" 값에 비교될 수 있다. 그 부분 내의 설계 데이터가 적어도 그 퍼센트만큼 적어도 유사한 경우, 상기 방법은 그 결함을 그룹으로 비닝할 수 있다.The step of determining whether a portion of the design data is at least similar may comprise comparing the result of the comparing step with a predetermined criterion for similarity. For example, the result of the comparison step may be compared with a threshold value. If the design data in that portion is at least as similar as at least such a threshold, the method can bin defects into groups. In another example, the result of the comparison step may be compared to a "percent similarity" value. If the design data in that portion is at least as similar as at least that percentage, the method can bin the defect into groups.

임의의 경우에, 유사도 체크가 설계 데이터의 2 이상의 부분 사이에서 수행되고(예컨대, GDS 패턴 클립), 2 이상의 부분 내의 공통 패턴이 식별되는 경우, 상기 방법은 그 결함을 그룹으로 비닝하는 단계를 포함한다. 그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계에 의해 생성된 결과는 소스 부분 내의 설계 데이터가 타깃 부분에서 발견되었는지의 여부의 지시를 포함할 수 있다. 또한, 공통 구조의 중심점은 체계적 결함의 설계 데이터 스페이스 위치에 가까운 것으로 간주할 수 있다. 따라서, 각 그룹 내의 결함의 설계 데이터 스페이스 위치의 (x, y) 좌표는 각 그룹에 대응하는 구조의 중심점에 조정(이동)될 수 있다. 좌표 보정 벡터(또는 에러 벡터)는 결함의 설계 데이터 스페이스 좌표 및 결함이 비닝되는 그룹에 대응하는 공통 구조의 중심점에 기초하여 각각의 비닝된 결함에 대해 결정될 수 있다. 결함 위치의 설계 데이터 스페이스 좌표에서의 전체 체계적 불확실성(설계 데이터 스페이스 이동 에러 + 보고된 좌표에서의 에러에 대한 웨이퍼 스페이스)을 결정하기 위해, 상기 방법은 통계적으로 현저한 수의 결함에 대하여 이동 또는 에러 벡터의 평균을 결정하는 단계를 포함할 수 있다. 상기 방법은 모든 에러 벡터의 표준 편차를 결정하는 단계와, +/- 1 표준 편차 또는 +/- 3 표준 편차 이내의 벡터만의 평균을 결정하는 단계를 또한 포함할 수 있다. 이러한 방식에서, 평균값을 손상할 수 있는 이상치는 연산으로부터 제거할 수 있다. 결정된 평균값은 전체적 보정 값으로서 사용할 수 있다. 예컨대, 이러한 전체적 보정 값은, 더욱 정확한 오버레이가 후속 데이터 처리 단계에서 결정될 수 있도록 데이터 이동을 설계하기 위하여, 웨이퍼 스페이스에 의해 결정된 결함 위치의 부가적인 설계 데이터 스페이스 좌표에 인가된다.In any case, if a similarity check is performed between two or more parts of the design data (e.g., a GDS pattern clip) and a common pattern within two or more parts is identified, the method includes binning the defect do. The result generated by the step of determining whether the design data in the portion is at least similar may include an indication of whether design data in the source portion has been found in the target portion. In addition, the center point of the common structure can be regarded as near to the design data space location of systematic defects. Therefore, the (x, y) coordinates of the design data space position of the defect in each group can be adjusted (moved) to the center point of the structure corresponding to each group. The coordinate correction vector (or error vector) may be determined for each binned defect based on the design data space coordinates of the defect and the center point of the common structure corresponding to the group in which the defect is to be binned. Design of Defect Location In order to determine the total systematic uncertainty in the data space coordinates (design data space movement error + wafer space for errors in the reported coordinates), the method includes moving or error vectors for a statistically significant number of defects And determining the average of the two. The method may further comprise determining a standard deviation of all error vectors and determining an average of only the vectors within +/- 1 standard deviation or +/- 3 standard deviation. In this way, an ideal value that can compromise the average value can be removed from the operation. The determined average value can be used as an overall correction value. For example, this global correction value is applied to additional design data space coordinates of the defect location determined by the wafer space, so as to design the data movement such that a more accurate overlay can be determined in subsequent data processing steps.

결정 단계의 결과는 타깃 부분과, 적어도 유사한 설계 데이터가 발견되는 그 타깃 부분 내의 소스 부분의 위치 사이의 x 및 y 오프셋을 또한 포함할 수 있다. x 및 y 오프셋은 비닝 방법을 최적화하기 위해 사용될 수 있다. 예컨대, 부분들의 초기 비교시, 소스 부분은 타깃 부분 내에 두 부분의 중심점이 정렬되도록 위치될 수 있다. 하지만, 타깃 부분 내의 소스 부분의 초기 사용 위치와, 적어도 유사한 설계 데이터가 발견되는 타깃 부분 내의 소스 부분의 위치 사이에 일부 예측가능하거나 반복되는 오프셋(x 및/또는 y 방향에서)이 존재한다고 결정되면, 이러한 오프셋은 비닝 방법의 비교 단계에서 사용된 오버레이를 조정하는데 사용할 수 있다.The result of the determination step may also include x and y offsets between the target portion and the location of the source portion in the target portion at least where similar design data is found. The x and y offsets may be used to optimize the binning method. For example, in an initial comparison of portions, the source portion may be positioned so that the center points of the two portions are aligned within the target portion. However, if it is determined that there is some predictable or repeated offset (in the x and / or y direction) between the initial use position of the source portion in the target portion and the position of the source portion in the target portion where at least similar design data is found , This offset can be used to adjust the overlay used in the comparison step of the binning method.

일부 실시예에서, 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 이러한 방식에서, 상기 방법은 결함의 백그라운드 유사성에 대한 하나의 설계 층을 체크하여 결함을 비닝하는 단계, 또는 결함의 백그라운드 유사성(즉, 다중-층 백그라운드 유사성)에 대한 설계 층의 세트를 체크하여 결함을 비닝하는 단계를 포함할 수 있다. 예컨대, 웨이퍼 상의 폴리실리콘 층(예컨대, 게이트 전극층)의 검사 동안에, 하지 확산층은 검사 시스템에 가시적일 수 있고, 따라서 검사 결과에 영향을 미친다. 그와 같이, 그 부분에 포함되는 설계 데이터는 백그라운드 기반 비닝의 정확도를 증가시키기 위해 폴리실리콘 층 및 확산층에 대한 설계 데이터를 포함할 수 있다. 하지만, 1 이상의 설계 층에 대한 설계 데이터를 사용함으로써, 적어도 유사하지만 하지층 상의 비-유사 설계 데이터 위에 위치하는 설계 데이터의 부분에 가까이 위치한 결함은 다른 그룹으로 비닝될 수 있다.In some embodiments, the design data in the portion includes design data for one or more design layers. In this manner, the method may include checking a design layer for background similarity of defects, binning the defect, or checking a set of design layers for background similarity of defects (i.e., multi-layer background similarity) The method may further include the step of binning. For example, during inspection of a polysilicon layer (e.g., a gate electrode layer) on a wafer, underlying diffusion layers may be visible to the inspection system and thus affect inspection results. As such, the design data contained in that portion may include design data for the polysilicon layer and the diffusion layer to increase the accuracy of the background-based binning. However, by using design data for one or more design layers, defects located at least close to portions of the design data that reside at least on non-similar design data on the underlying layer can be binned into different groups.

소스 부분 내의 설계 데이터가 타깃 부분 내에서 발견되는지의 여부에 관계없이, 상기 방법은 설계 데이터 스페이스 내의 다른 결함의 위치에 가까운 설계 데이터의 다른 부분과 그 소스 부분을 비교하는 단계를 포함할 수 있다. 소스 부분 내의 설계 데이터와 적어도 유사하거나 동일한 설계 데이터에 가까이 위치한 1 이상의 타깃 결함이 웨이퍼 상에서 검출될 수 있기 때문에, 소스 부분 내의 설계 데이터를 다중 타깃 부분 내의 설계 데이터에 비교하는 단계가 수행될 수 있다.Regardless of whether the design data in the source portion is found in the target portion, the method may include comparing the source portion with another portion of the design data that is close to the location of another defect in the design data space. A step of comparing the design data in the source portion to the design data in the multiple target portion may be performed since at least one target defect located at least close to the design data at least similar to the design data in the source portion may be detected on the wafer.

도 17에 도시한 그러한 일 예에서, 부분(102)은 설계 데이터 스페이스(106) 내의 결함(116)의 위치에 가까운 설계 데이터(도시 생략)의 부분(114)과 비교될 수 있다. 부분(114)의 치수는 전술한 바와 같이 선택할 수 있다. 설계 데이터의 소스 부분은 여기에 추가로 기술하는 바와 같이 타깃 부분의 다른 영역 내의 설계 데이터와 비교될 수 있다. 상기 방법은, 소스 부분 내의 설계 데이터가 타깃 부분 내의 설계 데이터의 적어도 일부와 적어도 유사한지를 결정하는 단계를 포함할 수 있고, 이는 전술한 바와 같이 수행할 수 있다. 부분들의 오버레이(118)는 적어도 유사한 설계 데이터가 발견된 타깃 위치 내의 소스 부분의 위치를 도시한다. 따라서, 그 방법은, 부분(102) 내의 설계 데이터가 부분(114) 내의 설계 데이터의 적어도 일부에 적어도 유사하다고 결정되기 때문에 결함(104 및 116)을 하나의 그룹으로 비닝하는 단계를 포함한다. 또한, 소스 부분 내의 설계 데이터가 양 타깃 부분에서의 설계 데이터의 적어도 일부와 적어도 유사하다고 결정되기 때문에, 결함(102, 110 및 116)은 하나의 그룹으로 비닝된다.17, portion 102 may be compared to portion 114 of design data (not shown) that is close to the location of defects 116 in design data space 106. In this example, The dimensions of portion 114 can be selected as described above. The source portion of the design data can be compared to design data in other areas of the target portion, as further described herein. The method may include determining if the design data in the source portion is at least similar to at least a portion of the design data in the target portion, which may be performed as described above. The overlay 118 of portions shows at least the location of the source portion within the target location where similar design data was found. Thus, the method includes binning the defects 104 and 116 into a group, since the design data in the portion 102 is determined to be at least similar to at least a portion of the design data in the portion 114. [ Also, since the design data in the source portion is determined to be at least similar to at least some of the design data at both target portions, the defects 102, 110, and 116 are binned into a single group.

그러한 다른 예에서, 부분(102)은 설계 데이터 스페이스(106) 내의 결함(122)의 위치에 가까운 설계 데이터(도시 생략)의 부분(120)과 비교될 수 있다. 부분(120)의 치수는 전술한 바와 같이 선택될 수 있다. 설계 데이터의 소스 부분은 전술한 바와 같이 부분(120)의 다른 영역 내의 설계 데이터와 비교될 수 있다. 상기 방법은, 비교 결과에 기초하여, 부분(102) 내의 설계 데이터가 부분(120) 내의 설계 데이터의 적어도 일부와 적어도 유사한지를 결정하는 단계를 또한 포함하며, 이는 전술한 바와 같이 수행될 수 있다. 부분(102 및 120)의 오버레이(124)는 적어도 유사한 설계 데이터가 발견되는 부분(120) 내의 부분(102)의 위치를 도시한다. 따라서, 상기 방법은 소스 결함 및 타깃 결함(112)을 하나의 그룹으로 비닝하는 단계를 포함한다. 또한, 소스 부분의 설계 데이터가 3 개의 타깃 부분의 설계 데이터의 적어도 일부와 적어도 유사하다고 판단되기 때문에, 소스 결함 및 3 개의 타깃 결함은 하나의 그룹으로 비닝된다. 전술한 단계는 웨이퍼 상에서 검출된 각각의 결함에 대한 백그라운드 정보가 웨이퍼 상에서 검출된 모든 다른 결함에 대한 백그라운드 정보와 비교될 때까지 수행될 수 있다.In such a further example, portion 102 may be compared to portion 120 of design data (not shown) that is close to the location of defect 122 in design data space 106. The dimensions of portion 120 may be selected as described above. The source portion of the design data may be compared to design data in other regions of portion 120, as described above. The method also includes determining whether the design data in portion 102 is at least similar to at least a portion of the design data in portion 120, based on the result of the comparison, which may be performed as described above. The overlay 124 of portions 102 and 120 shows at least the location of portion 102 within portion 120 where similar design data is found. Thus, the method includes binning the source defects and target defects 112 into a group. Further, since the design data of the source portion is determined to be at least similar to at least part of the design data of the three target portions, the source defect and the three target defects are binned into one group. The above steps can be performed until background information for each defect detected on the wafer is compared with background information for all other defects detected on the wafer.

전술한 바와 같이, 상기 방법은 설계 데이터 및/또는 설계 데이터 스페이스의 결함의 위치에 가깝게 위치한 설계 데이터의 콘텍스트에 기초하여, 가능하게는 설계 데이터 및/또는 설계 레이아웃의 1 이상의 속성과 같은 다른 정보와 조합하여, 결함을 비닝하는 단계를 포함한다. 콘텍스트 정보에 기초하여 결함을 비닝하는 다른 방법과 대조적으로, 여기에 기술한 상기 방법은 웨이퍼 상에 인쇄된 바와 같은 백그라운드 정보에 기초하여 비닝을 수행하지 않는다. 대신, 여기에 기술한 상기 방법은 설계 데이터에 규정된 바와 같은 백그라운드 정보에 기초하여 비닝을 수행한다. 이러한 방식에서, 여기에 기술한 상기 방법은 설계 데이터가 웨이퍼 상에 어떻게 인쇄되는지 또는 그것의 여부에 무관하게 백그라운드 기반 비닝을 수행할 수 있다.As described above, the method is based on the context of the design data and / or the design data located close to the location of the defects in the design data space, possibly with other information such as one or more attributes of the design data and / And binning the defects in combination. In contrast to other methods of binning defects based on contextual information, the method described herein does not perform binning based on background information as printed on the wafer. Instead, the method described herein performs binning based on background information as specified in the design data. In this way, the method described herein can perform background-based binning regardless of how design data is printed on the wafer or not.

웨이퍼 상에 인쇄된 바와 같은 설계 데이터로부터의 그러한 독립성은 PWQ 방법 및 FEM(focus exposure matrix) 방법에 특히 이로울 수 있으며, 그러한 방법에서 웨이퍼 상에 인쇄된 바와 같은 설계 데이터가 그러한 방법을 위해 사용된 프로세스 창 매개변수에 걸쳐 변할 수 있고(가끔 극적으로), 이에 의해 웨이퍼 상에 인쇄된 설계 데이터의 이미지에 기초한 결함 비닝 방법의 정확도를 저하시킨다. PWQ와 같은 실험적 기술의 그러한 일 애플리케이션에서, 그 방법은 설계 데이터 스페이스 내의 결함의 위치에서의 결함 데이터의 발췌(excerpt) 또는 GDS 클립을 사용함으로써 개선된 백그라운드 기반 비닝을 제공할 수 있다. 그와 같이, 비닝은 공통 패턴에 의해 수행될 수 있다. 비닝된 결함은 여기에 추가로 기술한 바와 같이 개별적으로 또는 집합적으로 분류될 수 있다. 예컨대, 상기 방법은 설계 데이터의 1 이상의 속성(예컨대, 설계 데이터 스페이스 내의 결함 위치에 가깝게 위치한 설계 데이터의 1 이상의 속성)에 기초하여 결함을 분류하는 단계를 포함할 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다.Such independence from design data as printed on a wafer can be particularly advantageous for the PWQ method and the focus exposure matrix (FEM) method, in which design data such as printed on a wafer is used for such a method (Sometimes dramatically) over the process window parameters, thereby degrading the accuracy of the defect binning method based on the image of the design data printed on the wafer. In such an application of an experimental technique such as PWQ, the method can provide an improved background-based binning by using an excerpt of defect data at the location of the defect in the design data space or a GDS clip. As such, binning can be performed by a common pattern. The binned defects may be classified individually or collectively as further described herein. For example, the method may include classifying defects based on one or more attributes of the design data (e.g., one or more attributes of the design data located close to a defect location in the design data space) As shown in FIG.

웨이퍼 상에서 검출된 결함이 결함의 설계 데이터 스페이스 위치에 가까운 설계 데이터에 의해 비닝되기 때문에, 설계 데이터 스페이스 내의 결함의 위치는 비닝이 수행되기 이전에 결정될 수 있다. 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검출된 결함의 위치의 x 및 y 좌표에 대한 데이터를 취득하는 (또는 이동 함수를 결정하기 위한) 단계를 포함하며, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 다른 실시예에서, 상기 방법은 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터를 소정의 정렬 사이트에 대한 데이터와 비교함으로써 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다. 웨이퍼 상의 정렬 사이트에 대한 데이터를 취득하는 단계는 제품 레이아웃 데이터, 선택적으로는 레티클 프레임 데이터, 및 스테퍼 데이터(또는 스테퍼에의 입력)를 사용하여 웨이퍼 상의 정렬 사이트의 적절한 웨이퍼 스페이스 위치를 결정하는 단계와, 그 적절한 위치에서의 데이터를 취득하는 단계를 포함할 수 있다. 그러한 비교 및 결정 단계는 전술한 바와 같이 수행할 수 있다. 또한, 상기 방법은 웨이퍼 상의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터와 소정의 정렬 사이트에 대한 데이터 비교함으로써 설계 데이터 스페이스 내의 결함의 적어도 일부의 위치를 결정하는 단계를 포함할 수 있다. 결함의 적어도 일부에 대하여 결정된 위치는 설계 데이터 스페이스 내의 다른 결함의 위치를 결정하는데 사용할 수 있다(예컨대, 보고된 결함 위치를 설계 데이터 스페이스로 이동시키기 위한 변환을 생성 및 사용함으로써). 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계는 여기에 기술한 임의의 실시예에 따라 수행할 수 있다.The position of the defect in the design data space can be determined before the binning is performed since the defect detected on the wafer is binned by the design data close to the design data space position of the defect. In one embodiment, the method includes obtaining data (or determining a shift function) for the x and y coordinates of the location of the detected defect in the design data space, which is performed as described herein can do. In another embodiment, the method includes determining a location of a defect in a design data space by comparing data obtained by the inspection system with respect to an alignment site against data for a predetermined alignment site. The step of acquiring data for an alignment site on the wafer includes determining an appropriate wafer space location of the alignment site on the wafer using product layout data, optionally reticle frame data, and stepper data (or input to the stepper) , And acquiring data at the appropriate position. Such comparison and determination steps may be performed as described above. The method may also include determining the location of at least a portion of the defects in the design data space by comparing data for a given alignment site with data acquired by the inspection system for alignment sites on the wafer. The determined location for at least some of the defects can be used to determine the location of other defects in the design data space (e.g., by creating and using transforms to move the reported defect locations to the design data space). The step of determining the location of the defects in the design data space may be performed according to any of the embodiments described herein.

때때로, 전술한 데이터의 모두는 이용 불가능할 수 있거나, 웨이퍼는 설계 데이터에 따라 적절히 정렬되어 있지 않을 수 있다. 그러한 예에서, 변환 정보의 일부를 검사 또는 리뷰 동안에 웨이퍼로부터 실험적으로 결정하는 것이 유용할 수 있다. 일 실시예에서, 상기 방법은 결함의 검출 동안에 검사 시스템에 의해 취득한 데이터를 리뷰에 의해 결정된 설계 데이터 스페이스 내의 위치에서 리뷰 시스템에 의해 취득된 데이터에 비교함으로써 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 1 이상의 결함에 대한 검사 결과를 리뷰에 의해 결정된 설계 데이터 스페이스 위치에서 취득된 리뷰 결과에 정렬하는 단계를 포함할 수 있다. 또한, 상기 방법은 결함의 검사 동안에 검사 시스템에 의해 취득한 데이터를 리뷰에 의해 결정된 설계 데이터 스페이스 내의 위치에 리뷰 시스템에 의해 취득한 데이터에 비교함으로써, 결함의 적어도 일부의 설계 데이터 스페이스 내의 위치를 결정하는 단계를 포함할 수 있다. 결함의 적어도 일부에 대하여 결정된 위치는 설계 데이터 스페이스 내의 다른 결함의 위치를 결정하는데 사용될 수 있다(예컨대, 보고된 결함 위치를 설계 데이터 스페이스 내의 결함 위치로 이동시키기 위한 정보를 생성 및 사용하여). 하지만, 이러한 접근법은 검사 시스템의 좌표 부정확성에 의해 복잡해질 수 있는 웨이퍼 스케일 오프셋을 제공한다. 따라서, 결함의 보고된 위치 내의 좌표 부정확성이 존재하는 경우, 변환 함수를 측정의 통계적 샘플에 기초하는 것이 유리할 수 있다.Sometimes, all of the foregoing data may be unavailable, or the wafer may not be properly aligned according to design data. In such an example, it may be useful to determine experimentally from a wafer during inspection or review of a portion of the conversion information. In one embodiment, the method includes determining a location of a defect in the design data space by comparing data acquired by the inspection system during the detection of the defect to data acquired by the review system at a location within the design data space determined by the review . In this manner, the method may include aligning the test results for one or more defects to review results obtained at a design data space location determined by the review. The method also includes determining a position in at least a portion of the design data space of the defect by comparing the data acquired by the inspection system during the inspection of the defect to the data obtained by the review system at a location within the design data space determined by the review . ≪ / RTI > The location determined for at least a portion of the defects can be used to determine the location of other defects in the design data space (e.g., by generating and using information to move the reported defects location to a defective location in the design data space). However, this approach provides a wafer scale offset that can be complicated by the coordinate inaccuracies of the inspection system. Thus, if there is coordinate inaccuracy in the reported position of the defect, it may be advantageous to base the transform function on a statistical sample of the measurement.

설계 데이터 스페이스 내의 결함의 위치가 결정된 후에, 결정된 위치 주변의 설계 데이터 부분을 추출할 수 있어서, 설계 데이터의 추출된 부분은 결함을 비닝하고, 여기에 기술한 다른 단계를 수행하는데 사용할 수 있다. 또한, 비닝을 위해 설계 데이터의 추출된 부분을 사용하기 이전에, 추출 부분의 각각에 대응하고 포함하는 부분 세트를 생성하기 위해, 각각의 (또는 1 이상의)의 추출 부분이 반사되고(mirrored), 회전되고, 스케일되고, 이동(시프트)되고, 또는 이들의 일부 조합이 행해질 수 있다. 부분의 세트는 비닝 방법의 정확도를 증가시키기 위해 비닝에 대해 사용될 수 있다.After the location of the defect in the design data space is determined, a portion of the design data around the determined location can be extracted so that the extracted portion of the design data can be used to bin the defect and perform the other steps described herein. Also, before using the extracted portion of the design data for binning, each (or one or more) extracted portions are mirrored to produce a subset that corresponds to and includes each of the extracted portions, Rotated, scaled, moved (shifted), or some combination thereof. A set of portions can be used for binning to increase the accuracy of the binning method.

상기 방법은 x방향에서의 치수(예컨대, 폭), y방향에서의 치수(예컨대, 길이), 및 z방향에서의 치수(예컨대, 높이), 여기에 기술한 임의의 속성, 또는 것의 일부 조합과 같은 검출 결함의 1 이상의 속성을 결정하는 단계를 포함할 수 있다. 1 이상의 속성은 테이블 또는 리스트와 같은 임의의 적합한 구조에 조직화 및/또는 저장될 수 있다. 다른 실시예에서, 결함을 비닝하는 단계는 각각의 그룹 내의 결함의 설계 데이터 스페이스 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 각 그룹 내의 결함의 1 이상의 속성이 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 그러한 일 실시예에서, 결함의 1 이상의 속성은 결함이 검출된 검사 결과의 1 이상의 속성, 1 이상의 검사 매개변수, 또는 이들의 일부 조합을 포함한다. 검사 결과의 1 이상의 속성은 예컨대, 결함이 우선적으로 검출된, 광학 모드 및/또는 편광, 수집 각도, 입사 각도 등과 같은 다른 검사 매개변수를 포함할 수 있다. 또한(또는 대안적으로), 1 이상의 속성은 여기에 기술한 결함의 임의의 다른 속성을 포함할 수 있다. 이러한 방식에서, 비닝은 결함이 설계 데이터 및 결함 속성로 분리되도록 수행할 수 있다. 그러한 비닝은 설계 데이터의 적어도 유사한 부분 내에 위치한 다른 결함 유형 또는 다른 속성을 갖는 결함이 다른 그룹으로 분리될 수 있도록 수행할 수 있다.The method may be implemented in any combination of dimensions (e.g., width) in the x direction, dimensions in the y direction (e.g., length), and dimensions in the z direction (e.g., height) And determining at least one attribute of the same detection defect. One or more attributes may be organized and / or stored in any suitable structure, such as a table or list. In another embodiment, the step of binning a defect includes binning a defect into a group such that at least a portion of the design data near the design data space location of the defect in each group is at least similar and at least one attribute of the defect in each group is at least similar. . In one such embodiment, the one or more attributes of the defect include one or more attributes of the inspection result where the defect is detected, one or more inspection parameters, or some combination thereof. One or more attributes of the inspection result may include, for example, other inspection parameters such as optical mode and / or polarization, collection angle, angle of incidence, etc., in which defects are preferentially detected. Additionally (or alternatively) one or more attributes may include any other attribute of the defect described herein. In this way, binning can be performed to isolate defects into design data and defect attributes. Such binning may be performed so that defects having other defect types or other attributes located within at least similar portions of the design data can be separated into different groups.

일부 실시예에서, 여기에 기술한 바와 같이 비닝된 결함은 광학 또는 전자 빔 검사에 의해 검출된다. 광학 및 전자 빔 검사는 여기에 기술한 검사 시스템에 의해 수행할 수 있다. 다른 실시예에서, 여기에 기술한 바와 같이 비닝된 결함은 PWQ 또는 FEM 방법에서 검출되며, 이는 여기에 기술한 바와 같이 수행할 수 있다. 여기에 기술한 실시예는 PWQ 또는 FEM 방법에서 검출된 결함에 대해 특히 유용할 수 있다. 예컨대, 여기에 기술한 방법은 잠재적인 체계적 이슈가 더욱 용이하고 정확히 식별될 수 있도록, PWQ 및 FEM 방법에서 검출된 결함을 필터링하는데 사용할 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 여기에 기술한 방법 실시예는 PWQ 및 FEM 방법에 의해 검출된 결함을 유용한 그룹으로 비닝하는데 사용할 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 여기에 기술한 방법 실시예는 리뷰, 측정 또는 테스트를 위해 비닝된 PWQ 및 FEM 결함을 우선순위화 하는데 사용할 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 상기 방법은 검사 및/또는 전기 테스트 결함을 적어도 유사한 설계/레이아웃 패턴에 기초하여 그룹으로 비닝하는 단계를 포함할 수 있다.In some embodiments, the binned defects are detected by optical or electron beam inspection as described herein. The optical and electron beam inspection can be performed by the inspection system described herein. In another embodiment, the binned defect as described herein is detected in a PWQ or FEM method, which can be performed as described herein. The embodiments described herein may be particularly useful for defects detected in PWQ or FEM methods. For example, the methods described herein can be used to filter defects detected in PWQ and FEM methods, so that potential systematic issues can be more easily and accurately identified, which can be performed as further described herein . In addition, the method embodiments described herein can be used to bin defects detected by PWQ and FEM methods into useful groups, which can be performed as further described herein. In addition, the method embodiments described herein may be used to prioritize the PWED and FEM defects for review, measurement, or testing, which may be performed as further described herein. The method may also include binning the inspection and / or electrical test defects into groups based at least on a similar design / layout pattern.

일 실시예에서, 여기에 기술한 실시예에서 비닝된 결함을 검출하는데 사용된 검사 시스템은 웨이퍼 상의 3개 또는 4개의 정렬 사이트에 정렬될 수 있다. 또한, 정렬 사이트는 여기에 추가로 기술하는 바와 같이 선택될 수 있다. 또한, 물리적 웨이퍼 상에서 또는 설계 데이터나 레이아웃 내에서 가시적인 1 이상의 정렬 특징, 패턴, 및/또는 구조는 여기에 기술한 방법에 사용되도록 선택될 수 있다. 검사 시스템이 정렬 사이트에 정렬된 후에, 스테이지 위치 정확도, 임의의 회전 에러, x 및 y 이동 에러, 배율(스케일링) 에러, 또는 그것의 임의의 조합이 보정될 수 있다. 이러한 보정은 검사 프로세스 동안에 일어나거나, 포스트-프로세스(예컨대, 검사 결과가 생성된 후에 수행되는)로 수행될 수 있다. 상기 보정은 검사 시스템에 의해 보고된 정렬 사이트에 대한 좌표의 비교 및 동일한 정렬 사이트에 대한 기준 좌표에 적어도 부분적으로 기초할 수 있다.In one embodiment, the inspection system used to detect the vened defect in the embodiments described herein may be aligned to three or four alignment sites on the wafer. Also, the alignment site may be selected as further described herein. In addition, one or more alignment features, patterns, and / or structures that are visible on a physical wafer or in design data or layout may be selected for use in the methods described herein. After the inspection system is aligned to the alignment site, stage position accuracy, any rotation errors, x and y movement errors, scaling (scaling) errors, or any combination thereof can be corrected. Such correction may occur during the inspection process or may be performed with a post-process (e.g., performed after the inspection results are generated). The correction may be based at least in part on a comparison of coordinates for the alignment sites reported by the inspection system and reference coordinates for the same alignment site.

일부 실시예에서, 상기 방법은 웨이퍼의 좌측, 우측, 상부, 저부 및 중앙에 있는 다이와 같은 웨이퍼 상의 복수 다이에서의 3 또는 4개의 정렬 사이트에 대한 좌표를 획득하는 단계를 포함할 수 있다. 다른 실시예에서, 웨이퍼 상의 정렬 사이트는 웨이퍼 상의 3개의 다른 사이트에 위치된다. 그러한 일 실시예는 도 18에 도시된다. 도 18에 도시한 바와 같이, 웨이퍼는 복수의 다이(128)를 포함한다. 정렬 사이트(130)는 다이(128a, 128b 및 128c) 내에 위치될 수 있다. 측정 사이트가 3 개의 다이에만 도시되지만, 정렬 사이트는 웨이퍼 상의 각각의 다이에 위치될 수 있다는 것을 이해해야 한다. 각각의 다이 내의 정렬 세트의 서브세트 또는 다이의 서브세트 내의 정렬 사이트는 여기에 기술한 방법에 사용할 수 있다.In some embodiments, the method may comprise obtaining coordinates for three or four alignment sites in a plurality of dies on a wafer, such as a die at the left, right, top, bottom and center of the wafer. In another embodiment, alignment sites on the wafer are located at three different sites on the wafer. One such embodiment is shown in Fig. As shown in FIG. 18, the wafer includes a plurality of dies 128. Alignment site 130 may be located within dies 128a, 128b, and 128c. It should be appreciated that although the measurement sites are shown on only three dies, the alignment sites can be located on each die on the wafer. A subset of alignment sets within each die or an alignment site within a subset of dies can be used in the methods described herein.

상기 방법은 다이 내의 삼각형 분포로 3개의 공통 정렬 사이트(즉, 웨이퍼 상에 인쇄된 다이 및 설계 데이터(예컨대, GDS 레이아웃)에 공통적인 정렬 사이트)를 식별하는 단계를 포함할 수 있다. 예컨대, 도 18에 도시한 바와 같이, 정렬 사이트(130)는 다이(128a, 128b 및 128c) 내에 삼각형 분포로 배열된다. 그러한 일 실시예에서, 3개의 상이한 다이가 소정의 배열(예컨대, 3각형 또는 다른 배열)로 웨이퍼에 걸쳐 분포될 수 있다. 예컨대, 도 18에 도시한 바와 같이, 다이(128a, 128b 및 128c)는 웨이퍼(126) 상에 삼각형 배열(132)로 위치된다. 이러한 방식에서, 상기 방법은 웨이퍼 상의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 정렬 이미지(예컨대, BF 및/또는 DF 이미지)를 소정의 정렬 사이트에 대한 데이터에 정렬시키는 단계를 포함할 수 있다. 상기 방법은 검사 시스템에 의해 취득한 검사 데이터의 좌표를 설계 데이터 좌표(예컨대, GDS 좌표_로 매핑하는 단계와, 변환 매트릭스를 전개하는 단계를 포함할 수 있다. 변환 매트릭스는 다음과 같이 임의의 적합한 방식으로 표현된다:The method may include identifying three common alignment sites (i. E., A die printed on a wafer and an alignment site common to design data (e. G., A GDS layout)) with a triangular distribution within the die. For example, as shown in FIG. 18, alignment sites 130 are arranged in a triangular distribution within dies 128a, 128b, and 128c. In one such embodiment, three different die may be distributed across the wafer in a predetermined arrangement (e.g., triangle or other arrangement). For example, as shown in FIG. 18, the dies 128a, 128b, and 128c are positioned in a triangular array 132 on the wafer 126. In this manner, the method may include aligning an alignment image (e.g., BF and / or DF image) acquired by the inspection system with respect to the alignment site on the wafer to data for a given alignment site. The method may include mapping the coordinates of the inspection data acquired by the inspection system to design data coordinates (e.g., GDS coordinates, and deploying the transformation matrix). The transformation matrix may be implemented in any suitable manner Lt; / RTI >

Figure pat00001
Figure pat00001

이들 정렬 사이트의 좌표는 검사 시스템 사이의 좌표 차이를 제거하기 위해 "툴 매칭(tool matching)"을 수행(예컨대, 자동으로 수행)하는데 사용될 수 있다. 그러한 방법의 하나의 이점은, 모든 검사 웨이퍼에 대하여 개별적으로 그리고 자동으로 결정될 수 있어서, 웨이퍼당 보정 인자 세트를 생성한다는 것이다. 그러한 방법의 다른 이점은, 결정된 좌표가 웨이퍼에 걸쳐 검사 시스템 또는 다른 검사 시스템에서의 좌표 드리프트(drift)(예컨대, 누적 에러, 스테이지 이동 에러, 및 기계적, 전기적 및 열적 노이즈에 의해 야기된 에러)(그렇지 않은 경우 설계 데이터에 대한 검사 데이터의 정렬 정확도를 결정하기 위해 사용될 수 있다는 것이다.The coordinates of these alignment sites can be used to perform "tool matching" (e.g., perform automatically) to eliminate coordinate differences between inspection systems. One advantage of such a method is that it can be determined individually and automatically for all inspection wafers, thereby generating a correction factor set per wafer. Another advantage of such a method is that the determined coordinates are used to determine coordinate drift (e.g., cumulative error, stage shift error, and errors caused by mechanical, electrical, and thermal noise) in the inspection system or other inspection systems across the wafer Otherwise it can be used to determine the alignment accuracy of the inspection data for the design data.

전술한 바와 같이, 부분 내의 설계 데이터를 비교하는 단계는 그 부분의 적어도 일부에 있는 설계 데이터 전체를 다른 부분에 있는 설계 데이터에 비교하는 단계를 포함할 수 있다. 이러한 방식에서, 그와 같은 비교 결과는 소스 부분 내의 설계 데이터의 전부가 타깃 부분 내의 설계 데이터의 적어도 일부와 적어도 유사한지를 결정하는데 사용할 수 있다. 하지만, 대안적인 실시예에서, 부분 내의 설계 데이터를 비교하는 단계는 그 부분의 적어도 일부 내의 설계 데이터를 다른 부분 내의 설계 데이터와 비교하는 단계를 포함할 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 소스 부분의 복수 영역 내의 설계 데이터는 타깃 부분의 영역 내의 설계 데이터와 적어도 유사하거나 동일할 수 있으며, 그러한 비교 결과는 타깃 부분 내의 설계 데이터의 유사-사이즈 영역에 적어도 유사하거나 동일한 소스 부분 내의 설계 데이터의 최대 영역을 식별하는데 사용할 수 있다. 이러한 방식에서, 상기 방법은 설계 데이터 내의 타깃 결함 및 소스 결함의 위치에 가까운 설계 데이터가 "유사하거나" 적어도 유사한지를 결정하는 단계를 포함할 수 있다. 따라서, 이러한 방법은 여기에 기술한 바와 같은 결함의 백그라운드 기반 비닝에 있어서 특정 설계 층에서 더욱 효과적일 수 있다.As described above, comparing design data in a portion may include comparing the entire design data in at least a portion of the portion to design data in another portion. In this way, such a comparison result can be used to determine whether all of the design data in the source portion is at least similar to at least some of the design data in the target portion. However, in an alternative embodiment, comparing the design data in the portion may include comparing design data in at least a portion of the portion with design data in another portion, as described further herein Can be performed. The design data in the plurality of regions of the source portion may also be at least similar to or identical to the design data in the region of the target portion, Can be used to identify the largest area of data. In this manner, the method may include determining whether the design data near the target defect and source defect locations in the design data are "similar" or at least similar. Thus, such a method may be more effective at certain design layers in background-based binning of defects as described herein.

본 방법의 그러한 일 실시예는 도 19에 도시된다. 예컨대, 도 19에 도시한 바와 같이, 그 방법은 설계 데이터 스페이스(138) 내의 결함(136)의 위치에 가까운 설계 데이터(도시 생략)의 부분(134)을 규정하는 단계를 포함할 수 있다. 결함(136)은 여기에서 "소스 결함"이라 칭한다. 설계 데이터의 부분(134)을 규정하는 단계는 부분의 치수를 선택하는 단계를 포함할 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 상기 방법은 설계 데이터의 부분을 1 이상의 다른 영역으로 분리, 세그먼트화, 또는 구획하는 단계를 또한 포함할 수 있다. 예컨대, 도 19에 도시한 바와 같이, 부분(134)은 4개의 다른 영역(140, 142, 144 및 146)으로 분할될 수 있다. 부분(134)가 내부로 분리되는 다른 부분을 본 예에서 "소스 4분면"이라 칭할 수 있다. 부분(134)이 도 19에서 4개의 소스 4분면으로 분할되는 것으로 도시하였지만, 그 부분은 임의의 적합한 수의 영역으로 분할될 수 있다는 것을 이해해야 한다. 모든 영역은 동일한 사이즈를 가질 수 있거나, 영역의 모두 또는 일부는 다른 사이즈를 가질 수 있다.One such embodiment of the method is shown in Fig. For example, as shown in FIG. 19, the method may include defining a portion 134 of design data (not shown) that is close to the location of the defect 136 in the design data space 138. The defects 136 are referred to herein as "source defects. &Quot; The step of defining portion 134 of the design data may include selecting a dimension of the portion, which may be performed as further described herein. The method may also include separating, segmenting, or partitioning portions of the design data into one or more other regions. For example, as shown in FIG. 19, the portion 134 may be divided into four different regions 140, 142, 144, and 146. The other portion in which the portion 134 is separated inward can be referred to as "source quadrant" in this example. Although the portion 134 is shown as being divided into four source quadrants in Fig. 19, it should be understood that the portion can be divided into any suitable number of regions. All regions may have the same size, or all or some of the regions may have different sizes.

본 예에서, 상기 방법은 소스 4분면(140, 142, 144 및 146) 내의 설계 데이터를 설계 데이터 스페이스(138) 내의 결함(150)의 위치에 가까운 설계 데이터(도시 생략)에 비교하는 단계를 포함한다. 결함(150)은 여기에서 "타깃 결함"이라 칭한다. 도 19에 도시한 바와 같이, 부분(148)은 결함(150)보다 크며, 적어도 부분(134)만큼 크다. 부분(148)의 치수는 전술한 바와 같이 선택될 수 있다.In this example, the method includes comparing the design data in the source quadrant 140, 142, 144, and 146 to design data (not shown) close to the location of the defect 150 in the design data space 138 do. Defects 150 are referred to herein as "target defects. &Quot; As shown in FIG. 19, portion 148 is larger than defect 150 and is at least as large as portion 134. The dimensions of portion 148 can be selected as described above.

각각의 소스 4분면 내의 설계 데이터는 타깃 부분의 다른 영역 내의 설계 데이터와 비교될 수 있다. 이러한 방식에서, 상기 방법은 타깃 부분 내의 각각의 소스 4분면 내의 설계 데이터에 대한 검색단계를 포함할 수 있다. 이러한 예에서, 상기 방법은 상기 비교 단계의 결과에 기초하여 소스 4분면 내의 설계 데이터가 타깃 부분 내의 설계 데이터와 적어도 유사한지를 결정하는 단계를 포함한다. 예컨대, 상기 방법은 각각의 소스 4분면 내의 설계 데이터가 타깃 부분 내의 설계 데이터와 어떻게 유사한지를 결정하는 단계를 포함할 수 있다. 그와 같이, 소스 4분면의 일부 또는 전부 내의 설계 데이터가 타깃 부분 내의 설계 데이터와 적어도 유사하거나, 그것의 아무것도 유사하지 않다고 결정될 수 있다. 오버레이(152)로 도시한 바와 같이, 4개의 소스 4분면의 3개 내의 설계 데이터는 오버레이(152) 내에 도시한 소스 4분면(140, 144 및 146)의 위치에서의 부분(148)의 영역 내의 설계 데이터와 적어도 유사하다고 결정된다.The design data in each source quadrant can be compared to design data in other areas of the target portion. In this manner, the method may include a search step for design data within each source quadrant within the target portion. In this example, the method includes determining, based on the result of the comparing step, whether the design data in the source quadrant is at least similar to the design data in the target portion. For example, the method may include determining how the design data within each source quadrant is similar to the design data in the target portion. As such, it can be determined that the design data in a portion or all of the source quadrant is at least similar to the design data in the target portion, or that none of it is similar. The design data within the three of the four source quadrants can be within the region of the portion 148 at the location of the source quadrant 140, 144 and 146 shown in the overlay 152, Is at least similar to the design data.

이러한 방식에서, 상기 방법은, 대응하는 설계 데이터에 기초하여 어느 결함이 적어도 그룹으로 비닝될 수 있는지를 결정하기 위해, 소스 4분면 내의 설계 데이터를 타깃 부분 내의 설계 데이터에 비교하는 단계를 포함할 수 있다. 각각의 소스 4분면 및 타깃 부분 내의 설계 데이터가 적어도 유사한지의 판정 결과는, 얼마나 많은 그리고 소스 4분면의 어느 것이 타깃 부분 내의 설계 데이터에 적어도 유사한 설계 데이터를 포함한다고 결정되는지의 지시(indication)를 포함할 수 있다. 판정 단계의 결과는 적어도 유사한 설계 데이터가 발견된 타깃 부분 내의 각각의 소스 4분면과 타깃 부분 사이의 x 및 y 오프셋을 또한 포함할 수 있다. 소스 결함이 타깃 결함과 함께 그룹으로 비닝되는 지의 여부는, 얼마나 많은 그리고 소스 4분면의 어느 것이 타깃 부분 내의 설계 데이터에 적어도 유사한 설계 데이터를 포함한다고 판정되었는지와, 적어도 유사한 설계 데이터가 발견된 타깃 부분 내의 각각의 소스 4분면과 타깃 부분 사이의 오프셋에 기초하여, 결정될 수 있다.In this manner, the method may include comparing the design data in the source quadrant to the design data in the target portion to determine which defects can be at least grouped into the group based on the corresponding design data have. The determination result of whether the design data in each of the source quadrant and the target portion is at least similar includes an indication of how many and which of the source quadrant is determined to include at least similar design data in the design data in the target portion can do. The result of the determination step may also include at least x and y offsets between each source quadrant and the target portion in the target portion where similar design data is found. Whether or not the source defect is grouped with the target defect is determined by determining how many and which of the source quadrant are determined to include design data at least similar to the design data in the target portion, Based on the offset between the source quadrant and the target portion within each source.

일부 실시예에서, 각각의 소스 4분면 및 타깃 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 이러한 방식에서, 상기 방법은 적어도 유사한 설계 데이터에 대하여 하나의 설계 층을 체크하여 결함을 비닝하는 단계 또는 적어도 유사한 설계 데이터에 대하여 설계 층(예컨대, 다중-층)의 세트를 체크하여 결함을 비닝하는 단계를 포함할 수 있다.In some embodiments, the design data in each source quadrant and target portion includes design data for one or more design layers. In this manner, the method includes at least checking one design layer for similar design data to bin the defects, or at least checking a set of design layers (e.g., multi-layers) for similar design data to bin the defects Step < / RTI >

소스 4분면 내의 설계 데이터가 타깃 부분 내의 설계 데이터와 적어도 유사한지의 여부에 관계없이, 상기 방법은 각각의 소스 4분면을 다른 결함의 설계 데이터 스페이스 내의 위치에 가까운 설계 데이터의 다른 부분과 비교하는 단계를 또한 포함할 수 있다.Regardless of whether the design data in the source quadrant is at least similar to the design data in the target portion, the method includes comparing each source quadrant with another portion of the design data near the location in the design data space of the other defect May also be included.

그러한 일 예에서, 소스 4분면(140, 142, 144 및 146) 내의 설계 데이터는 설계 데이터 스페이스(138) 내의 결함(156)의 위치에 가까운 설계 데이터(도시 생략)의 부분(154)과 비교될 수 있다. 소스 4분면 및 부분(154) 내의 설계 데이터는 전술한 바와 같이 비교할 수 있다. 상기 방법은 각각의 소스 4분면 내의 설계 데이터가 부분(154) 내의 설계 데이터와 적어도 유사한지를 결정하는 단계를 또한 포함하고, 이는 전술한 바와 같이 수행할 수 있다. 오버레이(158)에 도시한 바와 같이, 4분면 중 두 개(예컨대, 4분면(144 및 146))은 오버레이(158)에 도시한 4분면의 위치에서 부분(154) 내의 것과 적어도 유사한 설계 데이터를 포함하도록 결정된다. 따라서, 상기 방법은 설계 데이터 스페이스 내의 결함(136 및 156)의 위치에 가까운 설계 데이터가 결함(136 및 150)과 덜 유사한지를 결정할 수 있다. 설계 데이터 스페이스 내의 결함(136 및 156)의 위치에 가까운 설계 데이터가 결함(136 및 156)을 동일한 그룹으로 비닝하는데 충분히 유사한지의 여부는 전술한 바와 같이 결정할 수 있다.In such an example, the design data in the source quadrant 140, 142, 144 and 146 is compared to the portion 154 of the design data (not shown) close to the location of the defect 156 in the design data space 138 . The design data in the source quadrant and portion 154 can be compared as described above. The method also includes determining whether the design data in each source quadrant is at least similar to the design data in the portion 154, which may be performed as described above. As shown in the overlay 158, two of the quadrants (e.g., quadrants 144 and 146) have design data at least similar to those in the portion 154 at the quadrant location shown in overlay 158 . Thus, the method can determine if the design data near the location of the defects 136 and 156 in the design data space is less similar to the defects 136 and 150. Whether the design data near the location of the defects 136 and 156 in the design data space is sufficiently similar to the defects 136 and 156 to bin the same group can be determined as described above.

그러한 다른 예에서, 소스 4분면(140, 142, 144 및 146) 내의 설계 데이터는 설계 데이터 스페이스(138) 내의 결함(162)의 위치에 가까운 설계 데이터(도시 생략)의 부분(160)과 비교될 수 있다. 소스 4분면 및 부분(160) 내의 설계 데이터는 전술한 바와 같이 비교할 수 있다. 상기 방법은 각각의 소스 4분면 내의 설계 데이터가 부분(160) 내의 설계 데이터와 적어도 유사한지를 결정하는 단계를 또한 포함하고, 이는 전술한 바와 같이 수행할 수 있다. 오버레이(164)에 도시한 바와 같이, 4개의 4분면중 두 개(예컨대, 사분면(142 및 144))는 오버레이(164) 내에 도시한 소스 4분면의 위치에서 설계 데이터의 부분(160)과 적어도 유사한 설계 데이터를 포함하도록 결정된다. 따라서, 상기 방법은 설계 데이터 스페이스 내의 결함(136 및 162)의 위치에 가까운 설계 데이터가 설계 데이터 스페이스 내의 결함(136 및 150)의 위치에 가까운 설계 데이터보다 덜 유사한지를 결정할 수 있다. 설계 데이터 스페이스 내의 결함(136 및 162)의 위치에 가까운 설계 데이터가 결함(136 및 162)을 동일한 그룹으로 비닝하는데 충분히 유사한지의 여부는 전술한 바와 같이 수행할 수 있다.In such a different example, the design data in the source quadrant 140, 142, 144 and 146 is compared to the portion 160 of the design data (not shown) close to the location of the defect 162 in the design data space 138 . The design data in the source quadrant and portion 160 can be compared as described above. The method also includes determining whether the design data in each source quadrant is at least similar to the design data in portion 160, which may be performed as described above. As shown in the overlay 164, two of the four quadrants (e.g., quadrants 142 and 144) are aligned with the portion 160 of the design data at the location of the source quadrant shown in overlay 164, It is determined to include similar design data. Thus, the method can determine if the design data near the location of defects 136 and 162 in the design data space is less similar than the design data near the location of defects 136 and 150 in the design data space. Whether or not the design data close to the location of the defects 136 and 162 in the design data space is sufficiently similar to the defects 136 and 162 to bin the same group can be performed as described above.

전술한 바와 같이 결정된 4분면 정보는 저장 및/또는 표시될 수 있다. 이 정보는 셋업, 입증, 및 오류의 수리 목적으로 사용할 수 있다.The quadrant information determined as described above can be stored and / or displayed. This information can be used for setup, verification, and repair of errors.

상기 방법은 설계 데이터 내의 특유 패턴의 테이블, 리스트 또는 다른 데이터 구조를 동적으로 컴파일링(compiling) 하고, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 테이블, 리스트 또는 다른 데이터 구조 내의 패턴과 비교함으로써, 체계적 결함 및 뉴슨스 결함(예컨대, 실제 결함이 아니거나 관심없는 결함인 경우)의 온-툴 분류를 포함한다. 동적으로 생성된 패턴 세트(또는 정적 패턴 세트)는 각각의 패턴과 연관된 설계 기반 분류(DBC)와 함께 라이브러리와 같은 데이터 구조에 저장될 수 있다. 이러한 방식에서, DBC는 결함이 비닝될 수 있는 그룹을 규정할 수 있고, 특유의 패턴은 POI 설계 예를 포함할 수 있다. 그와 같이, 설계 데이터 스페이스 결함 위치에 가까운 설계 데이터는 다른 설계 데이터 스페이스 결함 위치에 가까운 설계 데이터가 아니라, 동적으로 생성된 패턴 세트 내의 특유의 패턴에 비교된다. 예컨대, 그러한 데이터 구조(동적으로 생성되거나 생성되지 않을 수 있는)를 이용할 수 있는 일 실시예는 웨이퍼 상에서 검출된 결함에 범주를 할당하기 위한 컴퓨터-구현 방법이며, 이는 이하에 기술된다.The method may dynamically compile a table, list or other data structure of a specific pattern in the design data, and may compare portions of the design data near the location of the defects in the design data space to patterns in a table, Tool classification of systematic defects and Newson defects (e.g., in the case of non-real defects or uninteresting defects). The dynamically generated pattern set (or set of static patterns) may be stored in a data structure such as a library with a design-based classification (DBC) associated with each pattern. In this way, the DBC can define the group in which the defect can be binned, and the unique pattern can include POI design examples. As such, the design data close to the design data space defect location is compared with the specific pattern in the pattern set generated dynamically, not the design data close to other design data space defect locations. For example, one embodiment that may utilize such data structures (which may or may not be dynamically generated) is a computer-implemented method for assigning categories to defects detected on a wafer, as described below.

또한, 일부 실시예에서, 컴퓨터-구현 방법은 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 이러한 방식에서, 결함을 비닝하는 단계는 "온-툴"로 수행될 수 있다. 상기 방법의 하나의 이점은, 결과에 대한 시간이 빠를 수 있다는 것이다. 상기 방법은 결함이 검출된 후에 임의의 시간에서(예컨대, 다른 결함이 검출되고 있는 동안의 또는 그 후의 검사 동안, 검사 결과의 분석 동안, 리뷰 동안 등) 온-툴로 수행할 수 있다. 또한, 잠재적인 체계적 결함 또는 체계적 결함의 위치(핫 스팟)와, 비닝을 위해 사용한 데이터는 데이터 구조(예컨대, 핫 스팟 데이터베이스)에 저장되고, 검사 비교(모니터링)를 위해 사용될 수 있다. 따라서, 비닝은 더 나은 분류(탐색을 위한 비닝, 필터링 또는 모니터링)를 제공하기 위해 검사 동안에 수행될 수 있다.Further, in some embodiments, the computer-implemented method is performed by a testing system used to detect defects. In this way, the step of binning the defect can be performed as an "on-tool ". One advantage of the method is that the time to results can be fast. The method may be performed at any time after the defect is detected (e.g., during an inspection during or after another defect is being detected, during analysis of a test result, during review, etc.) on-tool. In addition, the location of potential systematic defects or systematic defects (hot spots) and the data used for binning may be stored in a data structure (e.g., a hot spot database) and used for inspection comparison (monitoring). Thus, the binning can be performed during the inspection to provide a better classification (binning, filtering or monitoring for the search).

대안적인 실시예에서, 컴퓨터 구현 방법은 결함을 검출하기 위해 사용된 검사 시스템 이외의 시스템에 의해 수행된다. 이러한 방식에서, 여기에 기술한 방법 실시예는 "오프-툴"로 수행될 수 있다. 상기 방법을 오프-툴로 수행하는 시스템은 예컨대, 현미경(광학 또는 전자 빔), 리뷰 시스템, 웨이퍼가 로드되지 않은 시스템(예컨대, 독립 컴퓨터 시스템), 또는 상기 방법을 수행하도록 구성될 수 있는 당업계에 알려진 임의의 다른 적합한 시스템을 포함할 수 있다. 예컨대, 상기 방법은 검출된 결함의 적어도 일부의 이미지를 취득하는데 현미경이 사용되는 웨이퍼의 제 2 패스 동안에 결함 검출 후에 수행될 수 있다. 그러한 이미지 취득은, 전자 빔 현미경이 일부 결함(예컨대, 웨이퍼의 상면 아래에 위치한 결함과 같이 전자 빔 현미경에는 가시적이지 않는 결함)을 촬상할 수 없기 때문에, 광학 현미경을 사용하여 수행할 수 있다. 이미지 취득은 오프-라인으로 수행되고, 리뷰를 위해 결함의 더 나은 샘플링을 제공하는데 사용될 수 있다. 결함의 비닝은 여기에 추가로 기술하는 바와 같이 결함을 분석 및 샘플링하는데 사용할 수 있다.In an alternative embodiment, the computer implemented method is performed by a system other than the inspection system used to detect defects. In this manner, the method embodiments described herein can be performed "off-tool ". Systems that perform this method with an off-tool are well known in the art such as, for example, a microscope (optical or electron beam), a review system, a system without a wafer loaded (e.g., an independent computer system) And any other suitable system known. For example, the method may be performed after defect detection during a second pass of a wafer in which a microscope is used to obtain an image of at least a portion of the detected defect. Such image acquisition can be performed using an optical microscope since the electron beam microscope can not capture some defects (e.g., defects that are not visible to the electron beam microscope such as defects located under the top surface of the wafer). Image acquisition is performed off-line and can be used to provide better sampling of defects for review. The binning of defects can be used to analyze and sample defects as further described herein.

일부 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 설계 데이터 내의 핫 스팟을 식별하는 단계를 포함한다. 이러한 방식에서, 설계 기반 비닝은 핫 스팟의 탐색을 위해 사용될 수 있다. 또한, 핫 스팟의 탐색은 온-툴로 수행할 수 있다. 상기 방법은 탐색된 핫 스팟과, 위치, 핫 스팟의 위치에 가까운 설계 데이터 등과 같은 핫 스팟의 1 이상의 속성을 포함하는 데이터 구조를 생성하는 단계를 포함할 수 있다. 데이터 구조는 리스트, 데이터베이스, 파일 등을 포함할 수 있다. 핫 스팟은 핫 스팟 관리(가능하게는 온-툴)를 위해 사용될 수 있다. 핫 스팟 관리는 핫 스팟을 탐색하는 단계를 포함할 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 설계 기반 비닝에 의해 탐색된 핫 스팟은 디자인스캔, PWQ, DOE, 및 리뷰를 위한 입력으로 사용될 수 있다. 대안적으로, 여기에 기술한 방법에 사용된 핫 스팟은 레티클 검사 시스템과 같이 당업계에 알려진 임의의 다른 방법 및 시스템을 사용하여 탐색될 수 있다.In some embodiments, the method includes identifying a hot spot in the design data based on a result of the binning step. In this way, design-based binning can be used for navigation of hot spots. In addition, the search of hot spots can be performed with an on-tool. The method may include generating a data structure that includes one or more attributes of the hotspot, such as the hotspot, location, design data near the location of the hotspot, and the like. The data structure may include lists, databases, files, and the like. Hot spots can be used for hot spot management (possibly on-tool). Hot spot management may include searching for hot spots, which may be performed as further described herein. In addition, hotspots discovered by design-based binning can be used as inputs for design scans, PWQs, DOEs, and reviews. Alternatively, the hot spots used in the methods described herein may be explored using any other method and system known in the art, such as a reticle inspection system.

도 20은 여기에 기술한 실시예에 따라 웨이퍼 상에서 검출된 결함을 비닝하기 위한 컴퓨터-구현 방법을 수행하도록 구성된 모듈(166)에의 입력 및 그것으로부터의 출력의 일 실시예를 도시한다. 모듈(166)은 GDS 패턴 체커(임의의 두 결함의 설계 데이터 스페이스 위치에 가까운 설계 데이터의 위치 또는 설계 데이터의 정확성 체커) 및/또는 유사성 체커(비-정확성 체커)로서 기능하도록 구성될 수 있다. 모듈은 여기에 기술한 1 이상의 단계를 온-툴 또는 오프-툴로 수행하도록 구성된다. 예컨대, 모듈은 여기에 기술한 1 이상의 단계를 온-툴 포스트-프로세스(예컨대, 온-툴, 포스트-결함 검출)로 수행하도록 구성될 수 있다. 또한, 모듈은 결함 검출 동안에 여기에 기술한 1 이상의 단계를 수행하도록 구성될 수 있다. 여기에 기술한 1 이상의 단계를 온-툴로 수행하도록 모듈이 구성되면, 그 모듈은 결함 조직화와 같은 여기에 기술하는 다른 기능을 수행하도록 구성될 수 있다.FIG. 20 illustrates one embodiment of an input to and output from a module 166 configured to perform a computer-implemented method for binning a detected defect on a wafer in accordance with the embodiments described herein. Module 166 may be configured to function as a GDS pattern checker (the location of design data near the design data space location of any two defects or the accuracy checker of the design data) and / or the similarity checker (non-accuracy checker). The module is configured to perform one or more of the steps described herein with an on-tool or an off-tool. For example, a module may be configured to perform one or more of the steps described herein with an on-tool post-process (e.g., on-tool, post-defect detection). The module may also be configured to perform one or more of the steps described herein during defect detection. If a module is configured to perform one or more of the steps described herein with an on-tool, the module may be configured to perform other functions described herein, such as defect organization.

모듈(166)에의 입력은 결함 리스트(168)를 포함한다. 일 실시예에서, 결함 리스트(168)는 KLARF 파일 또는 검사 시스템에 의해 생성될 수 있는 다른 표준 파일로 포함되는 정보와 같은 결함 정보를 포함할 수 있다. 모듈에의 입력은, 전술한 바와 같이 결정될 수 있는 좌표 변환 정보와, 설계 데이터를 또한 포함할 수 있다. 그러한 실시예에서, 모듈(166)은 검사 시스템에 의해 보고된 바와 같은 결함 라스트(168) 내의 결함의 위치를 설계 데이터 스페이스 내의 결함의 위치로 변환하도록 구성될 수 있다.The input to module 166 includes a defect list 168. In one embodiment, the defect list 168 may include defect information, such as information contained in a KLARF file or other standard file that may be generated by the inspection system. The input to the module may also include coordinate conversion information, which may be determined as described above, and design data. In such an embodiment, the module 166 may be configured to convert the location of the defect in the defect last 168 as reported by the inspection system to the location of the defect in the design data space.

대안적으로, 모듈(166)은 다른 소프트웨어 모듈(변환 기능을 수행하도록 구성된 소프트웨어 모듈)을 통하여 제공된 변환된 설계 데이터 스페이스 좌표에의 접속에 의해 웨이퍼 스페이스 내에서 기능을 수행하도록 구성될 수 있다. 다른 대안에서, 결함 리스트(168)는 설계 데이터 스페이스 내의 결함의 위치를 포함할 수 있다. 그러한 실시예에서, 검사 시스템에 의해 보고된 결함 위치는 다른 소프트웨어 모듈에 의해 설계 데이터 스페이스 내의 결함 위치로 변환될 수 있다. 그러한 결함 정보는 동일한 연산 하드웨어 상에서 또는 네트워크 연결된 연산 하드웨어의 세트 사이에서, 프로세스내 또는 프로세스간 통신을 통하여 적합한 데이터 파일 포맷으로 또는 프로그램 수단을 통해 모듈(166)에 입력될 수 있다. 이러한 방식에서, 결함 정보는 모듈을 다른 시스템에 결합하는 전송 매체를 통해 다른 시스템에 의해 모듈(166)에 제공될 수 있다. 전송 매체는 당업계에 알려진 임의의 적합한 전송 매체를 포함할 수 있고, "유선" 또는 "무선" 전송 매체 또는 이들의 일부 조합을 포함할 수 있다.Alternatively, module 166 may be configured to perform functions within a wafer space by accessing transformed design data space coordinates provided through other software modules (software modules configured to perform translation functions). In another alternative, the defect list 168 may include the location of a defect in the design data space. In such an embodiment, the defect location reported by the inspection system can be translated by another software module into a defect location in the design data space. Such defect information may be entered into module 166 either on the same computing hardware or between sets of networked computing hardware, in a suitable data file format, or via programming means, either within the process or via inter-process communication. In this manner, the defect information may be provided to the module 166 by another system via a transmission medium that couples the module to another system. The transmission medium may comprise any suitable transmission medium known in the art, and may include "wired" or "wireless" transmission media, or some combination thereof.

여기에 기술한 1 이상 실시예의 1 이상의 단계를 수행하도록 모듈에 의해 사용될 수 있는 모듈(166)에 추가적인 입력(도 20에는 도시 생략)이 제공될 수 있다. 추가적인 입력은, 전기 검사 데이터, 1 이상의 웨이퍼에 대한 결함 정보, 핫 스팟 또는 위크(weak) 스폿 정보("위크 스폿"은 비한정적으로 포스트-OPC 입증 소프트웨어와 같은 모델 기반 시뮬레이션과, 비한정적으로 PWQ와 같은 실험적 방법에 의해 식별된 설계 내의 잠재적인 위크 지점의 위치로서 일반적으로 규정됨), 검색창 사이즈(예컨대, 전술한 바와 같은 설계 데이터 스페이스 내의 소스 및 타깃 결함의 위치에 가까운 설계 데이터 부분의 치수, 또는 소스 결함 확대 및 타깃 결함 확대 치수), 유사성에 대한 일부 소정의 기준(예컨대, 유사도 임계), 또는 이들의 일부 조합과 같이 이용가능한 임의의 다른 결함 및/또는 설계 데이터 정보를 포함할 수 있다.Additional inputs (not shown in FIG. 20) may be provided in module 166 that may be used by the module to perform one or more of the steps of one or more of the embodiments described herein. Additional inputs include electrical inspection data, defect information for one or more wafers, hot spot or weak spot information ("wickspots" are model-based simulations such as, without limitation, post-opc verification software, , A search window size (e.g., a dimension of the design data portion that is close to the location of the source and target defects in the design data space as described above) , Or some other criteria (e.g., similarity threshold) for similarity, or some combination thereof, and / or design data information that is available .

또한, 핫 스팟은 설계 데이터에 기초하여 미리 그룹화될 수 있다. 예컨대, 적어도 유사한 설계 데이터에 가까이 위치한 핫 스팟은 서로 연관 지어지고, 여기에 기술한 방법 및 시스템 실시예는 핫 스팟의 그러한 상호연관을 수행할 수 있다. 상호 연관지어진 핫 스팟은 여기에 추가로 기술하는 바와 같이 결함을 비닝하는데 사용될 수 있다. 그러한 일 실시예에서, 모듈(166)은 각 그룹 내의 결함이 서로 상호 연관지어진 핫 스팟의 위치에만 적어도 유사한 설계 데이터 스페이스 내의 위치를 갖도록 결함을 그룹으로 비닝하도록 구성될 수 있다. 이러한 방식에서, 상기 모듈은 설계 데이터를 사용하지 않고 결함을 비닝하도록 구성될 수 있다. 또한, 상호 연관지어진 핫 스팟의 1 이상의 속성이 나중의 분석에 사용하기 위해 결정될 수 있다(예컨대, KP와 같은 수율 정보가 상호 연관지어진 핫 스팟에 대해 결정될 수 있다). 이러한 방식에서, 결함이 상호 연관지어진 핫 스팟에 대응하는 그룹으로 비닝되는 경우, 상기 모듈은 결함 그룹에 대한 상호 연관지어진 핫 스팟에 대하여 결정된 기대되는 수율 영향을 보고할 수 있다.In addition, the hot spots can be grouped in advance based on the design data. For example, hot spots located at least close to similar design data are correlated, and the method and system embodiments described herein can perform such correlation of hot spots. The correlated hot spots can be used to bin defects as further described herein. In such an embodiment, module 166 may be configured to group defects into groups such that the defects in each group have positions in the design data space that are at least similar only to locations of hot spots that are correlated with each other. In this way, the module can be configured to bin defects without using design data. In addition, one or more attributes of the correlated hot spots may be determined for later analysis (e.g., yield information such as KP may be determined for correlated hot spots). In this way, when a defect is binned into a group corresponding to an interconnected hot spot, the module may report the expected yield impact determined for the correlated hot spot for the defect group.

모듈(166)은 설계 데이터 스페이스 내의 다른 결함의 위치에 가까운 설계 데이터가 매치하는지를 "체크"하여 결함 리스트(168) 내의 결함을 비닝함으로써 GDS 패턴 체커로서 기능하도록 구성될 수 있다. 이러한 방식에서, 모듈(166)은 각 그룹 내의 결함이 매칭 설계 데이터에 가까운 설계 데이터 스페이스 내에 위치되도록, 결함을 그룹으로 비닝하도록 구성될 수 있다. 또한(또는 대안적으로), 모듈(166)은 설계 데이터 스페이스 내의 다른 결함의 위치에 가까운 설계 데이터의 유사도를 체크하여, 결함 리스트(168) 내의 결함을 비닝함으로써 유사도 체커로서 기능하도록 구성될 수 있다.Module 166 may be configured to function as a GDS pattern checker by "checking" design data that is close to the location of another defect in the design data space and binning the defect in defect list 168. In this manner, the module 166 can be configured to group defects into groups such that the defects in each group are located in a design data space that is close to the matching design data. Module 166 may also be configured to function as a similarity checker by checking the similarity of the design data near the location of other defects in the design data space and binning the defects in the defect list 168 .

모듈(166)의 출력은 출력(170)을 포함할 수 있다. 출력(170)은, 검사 시스템에 의해 보고된 바와 같은 결함 위치의 x 및 y 좌표, 설계 데이터 스페이스 내의 결함 위치의 x 및 y 좌표, 결함이 동일한 그룹으로 비닝되는 그룹의 아이덴티티(예컨대, 1, 2, 3, a, b, c 등)(예컨대, 결함이 동일한 그룹으로 비닝되는 경우, 그것들의 아이덴티티는 동일할 수 있다), 및 타깃 부분의 중심과 소스 부분 내의 설계 데이터에 매치하거나 적어도 유사한 설계 데이터가 위치하는 타깃 부분 내의영역의 중심 사이의 x 및/또는 y 방향에서의 시프트 또는 오프셋을 비한정적으로 포함한다. 출력은 당업계에 알려진 임의의 적합한 포맷(예컨대, 간단한 텍스트 파일 포맷)을 갖는 1 이상의 데이터 구조를 포함할 수 있다. 또한, 출력은 그 출력이 나중에 액세스 및/또는 분석될 수 있도록 당업계에 알려진 임의의 적합한 저장 매체에 저장될 수 있다. 출력은 여기에 추가로 기술하는 바와 같이 저장 및 사용될 수 있다.The output of the module 166 may include an output 170. The output 170 may include x and y coordinates of the defect location as reported by the inspection system, x and y coordinates of the defect location in the design data space, identities of the group where the defect is binned into the same group (e.g., , 3, a, b, c, etc.) (e.g., if defects are binned in the same group, their identities may be the same) and match design data in the center and source portions of the target portion, Lt; / RTI > in the x and / or y direction between the centers of the regions in the target portion where the target portion is located. The output may include one or more data structures having any suitable format (e.g., a simple text file format) known in the art. The output may also be stored in any suitable storage medium known in the art such that its output can be accessed and / or analyzed at a later time. The outputs may be stored and used as further described herein.

또한(또는, 대안적으로), 도 21에 도시한 바와 같이, 모듈(166)의 출력은 설계 데이터 스페이스 내의 각 결함의 위치에 가까운 설계 데이터가 설계 데이터 스페이스 내의 각각의 다른 결함의 위치에 가까운 설계 데이터와 얼마나 유사한지(예컨대, % 유사)를 나타내는 테이블을 포함할 수 있다. 도 21에 도시한 예에서, 설계 데이터 스페이스 내의 결함(1 및 2)의 위치에 가까운 설계 데이터의 부분은 40% 유사하지만, 설계 데이터 스페이스 내의 결함(1 및 3)의 위치에 가까운 설계 데이터의 위치는 95% 유사하다. 이러한 방식에서, 상기 방법은 어느 결함을 동일한 그룹으로 비닝할지를 결정하기 위해 도 21에 도시한 출력을 사용할 수 있다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분이 90% 이상 유사한 경우, 결함은 동일한 그룹으로 비닝할 수 있다. 또한, 도 21에 도시한 바와 같이, 설계 데이터 스페이스 내의 결함(1)의 위치에 가까운 설계 데이터의 부분이 설계 데이터 스페이스 내의 양쪽의 결함(3 및 4)의 위치에 가까운 설계 데이터의 부분에 90% 이상 유사하다. 이러한 방식에서, 결함(1, 3 및 4)은 동일한 그룹으로 비닝될 수 있다.21, the output of the module 166 may be designed such that the design data near the location of each defect in the design data space is close to the location of each other defect in the design data space (e. ≪ RTI ID = 0.0 & And may include a table indicating how similar (e.g.,% similar) to the data. In the example shown in Fig. 21, the portion of the design data close to the positions of the defects 1 and 2 in the design data space is 40% similar, but the position of the design data near the positions of the defects 1 and 3 in the design data space Is 95% similar. In this way, the method can use the output shown in Figure 21 to determine which defects to bin into the same group. For example, if parts of the design data near the location of the defects in the design data space are more than 90% similar, the defects can be binned into the same group. 21, the portion of the design data close to the position of the defect 1 in the design data space is 90% or more of the portion of the design data close to the positions of both defects 3 and 4 in the design data space. The above is similar. In this way, defects 1, 3 and 4 can be binned into the same group.

다른 예에서, 도 22에 도시한 바와 같이, 모듈(166)의 출력은 상이한 그룹의 함수로서 결함의 수(예컨대, 결함 카운트 또는 빈도)를 나타내는 그래프(예컨대, 바 그래프)를 포함할 수 있다. 각각의 상이한 그룹은 전술한 바와 같이 동일하거나 적어도 유사한 설계 데이터에 가까운 설계 데이터 스페이스 위치에 위치한 결함을 포함한다. 이러한 방식에서, 도 22에 도시한 출력은 설계 내의 어느 패턴이 더욱 결함적인지에 관한 정보를 제공한다. 차트는 각종 설계 콘텍스트(예컨대, 기능 블록에 의한 백그라운드 패턴 콘텍스트)에 의해 오류 패턴 유형을 제공할 수 있다. 차드 내의 정보는, 공통 설계 패턴에 가까운 설계 데이터 스페이스 내에 위치한 결함의 공간적 분포에 관한 정보를 제공하기 위해서, 여기에 추가로 기술하는 바와 같이 환형 또는 각도 영역으로 추가로 분할될 수 있다. 이러한 정보 및 유사하거나 다른 정보는 여기에 기술한 방법의 1 이상의 단계를 수행하는데 사용할 수 있다(예컨대, 백그라운드 패턴 콘텍스트에 기초한 결함 샘플링). 각각의 그룹으로 비닝된 결함에 관한 추가적인 정보는 여기에 기술한 임의의 방법의 임의의 단계를 사용하여 결정될 수 있다.In another example, as shown in FIG. 22, the output of module 166 may include a graph (e.g., a bar graph) showing the number of defects (e.g., defect count or frequency) as a function of different groups. Each different group includes defects located at design data space locations that are close to the same or at least similar design data as described above. In this way, the output shown in Figure 22 provides information as to which pattern in the design is more defective. The chart may provide an error pattern type by various design contexts (e.g., background pattern context by function block). The information in the Chad can be further divided into annular or angular regions, as further described herein, to provide information on the spatial distribution of defects located within the design data space close to the common design pattern. This information and similar or other information may be used to perform one or more steps of the methods described herein (e.g., defect sampling based on background pattern context). Additional information regarding the defects binned into each group may be determined using any of the steps of any of the methods described herein.

모듈(166)은 도 20 내지 도 22에 도시한 단지 하나의 포맷의 출력을 제공할 수 있다. 하지만, 모듈은 도 20 내지 도 22에 도시한 포맷의 1 이상의 출력을 제공할 수 있다.Module 166 may provide output in only one format as shown in Figures 20-22. However, the module may provide more than one output of the format shown in Figures 20-22.

모듈(166)의 다른 입력 및 출력의 추가적인 예는 도 23에 도시된다. 도 23에 도시한 바와 같이, 모듈(166)에의 하나의 입력은 웨이퍼 상의 검출 결함의 위치를 나타내는 웨이퍼 맵(172)을 포함할 수 있다. 웨이퍼 맵은 검사 시스템에 의해 생성될 수 있다. 웨이퍼 맵은 웨이퍼 상의 결함의 위치를 나타낼 수 있지만, 결함에 관한 임의의 다른 정보는 나타내지 않는다. 예컨대, 웨이퍼 맵(172)에 대응하는 바 그래프(174)는 검사된 웨이퍼의 층에 대응하는 단일 그룹 내의 검출 결함의 모두를 나타낸다.Additional examples of other inputs and outputs of module 166 are shown in FIG. As shown in FIG. 23, one input to the module 166 may include a wafer map 172 indicating the location of detection defects on the wafer. The wafer map can be generated by the inspection system. The wafer map may indicate the location of defects on the wafer, but not any other information about defects. For example, the bar graph 174 corresponding to the wafer map 172 represents all of the detection defects in a single group corresponding to the layer of the tested wafer.

모듈(166)의 출력은 웨이퍼 상의 검출된 결함의 위치를 나타내는 웨이퍼 맵(176)을 포함할 수 있고, 동일한 그룹으로 비닝된 결함은 웨이퍼 맵 내에서 동일한 특징(예컨대, 다른 그룹에 대한 다른 컬러 또는 심볼)으로 지시된다. 결함은 여기에 추가로 기술하는 바와 같이 비닝될 수 있다(예컨대, 공통 GDS 레이아웃에 의한 결함의 자동 그룹화). 이러한 방식에서, 웨이퍼 맵(176)은 웨이퍼 상의 개별 결함의 위치와, 개별 결함이 비닝된 그룹을 지시한다. 출력은, 모니터링 및 근본 원인 결정을 강화하기 위하여, KLA-Tencor사로부터 상업적으로 입수가능한 KLARITY DEFECT SSA와 같은 공간적 시그네처 분석(SSA; spatial signature analysis) 툴에 의해 전송되고 사용될 수 있다.The output of the module 166 may include a wafer map 176 that indicates the location of detected defects on the wafer and defects that are binned into the same group may have the same characteristics (e.g., Symbol). Defects can be binned as further described herein (e.g., automatic grouping of defects by a common GDS layout). In this manner, the wafer map 176 indicates the location of the individual defects on the wafer and the group into which the individual defect is binned. The output can be transmitted and used by a spatial signature analysis (SSA) tool, such as the KLARITY DEFECT SSA, commercially available from KLA-Tencor, to enhance monitoring and root cause determination.

모듈의 출력은 적층된 다이 맵, 적층된 레티클 맵 또는 적층된 웨이퍼 맵을 포함할 수 있고, 그것에서 결함은 패턴 그룹을 나타내도록 표시된다. 적층 맵은 여러 다이, 레티클, 또는 웨이퍼에 걸쳐 체계적 결함이 통계적으로 일어날 경향이 있는 것을 설명하기 위해 사용할 수 있으며, 공간적 시그네처를 식별하는데 유용하다. 또한, 여기에 기술한 모듈의 임의의 출력은 1 이상의 GDS 클립, 1 이상의 SEM 이미지, 1 이상의 광학 이미지, 또는 이들의 일부 조합을 또한 포함할 수 있다. 모듈의 출력은 여기에 추가로 기술하는 유저 인터페이스 실시예에 같은 유저 인터페이스에 의해 표시될 수 있다.The output of the module may include a stacked die map, a stacked reticle map, or a stacked wafer map, where defects are marked to represent a pattern group. Lamination maps can be used to illustrate that systematic defects tend to occur statistically across multiple dies, reticles, or wafers, and are useful for identifying spatial signatures. In addition, any output of the modules described herein may also include one or more GDS clips, one or more SEM images, one or more optical images, or some combination thereof. The output of the module may be represented by the same user interface in a user interface embodiment further described herein.

웨이퍼 맵(176)에 대응하는 바 그래프(178)는 각 그룹으로 비닝된 결함의 수를 나타낸다. 또한, 결함의 각 그룹에 대응하는 레이아웃 패턴 시그네처는 바 그래프와 동일하다. 이러한 방식에서, 바 그래프는 가장 많은 결함을 보이는(또는 야기하는) 설계 내의 패턴을 도시한다. 예컨대, 레이아웃 패턴 시그네처(2) 그룹으로 비닝된 비교적 많은 수의 결함은 레이아웃 패턴 시그네처에 대응하는 잠재적 패턴 의존적 오류 메커니즘을 지시한다. 이러한 정보는 여기에 기술한 방법의 1 이상의 단계를 수행하는데 사용될 수 있다(예컨대, 설계 백그라운드 콘텍스트에 기초한 결함 샘플링). 그룹으로 비닝된 결함에 관한 추가적 정보는 여기에 기술한 임의의 방법의 임의의 단계를 사용하여 결정할 수 있다. 모듈(166)은 웨이퍼 맵(176) 및 바 그래프(178)를 포함하는 출력을 생성할 수 있다. 모듈의 출력은 여기에 추가로 기술하는 유저 인터페이스 실시예의 하나와 같은 유저 인터페이스에 의해 표시할 수 있다.The bar graph 178 corresponding to the wafer map 176 represents the number of defects binned in each group. Also, the layout pattern signature corresponding to each group of defects is the same as the bar graph. In this way, the bar graph shows the pattern in the design that shows (or causes) the most defects. For example, a relatively large number of defects binned in a group of layout pattern signatures (2) indicate a potential pattern dependent error mechanism corresponding to the layout pattern signature. This information can be used to perform one or more steps of the method described herein (e.g., defect sampling based on design background context). Additional information regarding defects that have been grouped may be determined using any of the steps of any of the methods described herein. Module 166 may generate an output that includes wafer map 176 and bar graph 178. The output of the module may be represented by a user interface such as one of the user interface embodiments described further herein.

여기에 기술한 방법에 모듈(166)의 출력이 어떻게 사용될 수 있는지의 일 예는 장치 레이아웃 내의 상이한 밀도 영역과 상이한 결함의 상호 연관이다. 예컨대, 장치 레이아웃은 상이한 영역으로 구획될 수 있다. 상이한 영역은 도 24에 도시한 바와 같이, 장치의 상이한 영역의 설계 패턴 밀도에 기초하여 결정할 수 있다. 일 예에서, 장치 내의 주요 셀 블록은 상이한 영역으로 구획될 수 있다. 다른 예에서, 장치 레이아웃은 장치 레이아웃에 걸친 각종 장치 구조(예컨대, 콘택, 비어, 금속 라인 등)의 밀도에 기초하여 자동으로 구획될 수 있다. 일 실시예에서, 여기에 기술한 방법 실시예는 설계 데이터의 다른 부분에 대한 결함 밀도를 결정하는 단계를 포함한다. 예컨대, 여기에 기술한 방법은 설계 데이터 내의 셀의 다른 부분의 결함 밀도를 결정하기 위해 장치 레이아웃의 구획화에 관한 정보를 사용할 수 있다. 그러한 일 예에서, 설계 데이터 내의 각 영역에서 검출된 결함의 수가 결정될 수 있다. 그러한 정보는 바 그래프 또는 임의의 다른 적합한 출력 포맷으로 플롯(plot)될 수 있다.One example of how the output of module 166 can be used in the method described herein is the correlation of different defects with different density regions in the device layout. For example, the device layout may be partitioned into different areas. The different areas can be determined based on the design pattern density of different areas of the device, as shown in Fig. In one example, the main cell block in the device may be partitioned into different areas. In another example, the device layout can be automatically partitioned based on the density of various device structures (e.g., contacts, vias, metal lines, etc.) across the device layout. In one embodiment, the method embodiment described herein includes determining a defect density for another portion of the design data. For example, the method described herein may use information about the compartmentalization of the device layout to determine the defect density of other portions of the cell in the design data. In such an example, the number of defects detected in each area in the design data can be determined. Such information may be plotted into a bar graph or any other suitable output format.

다른 예에서, 모듈(166)은 설계 데이터는 "기능 블록" 또는 "셀 블록"으로 분할한다. 셀 블록은 설계 데이터 내에서 규정되고, 입력/출력(I/O) 블록, 디지털 신호 프로세서(DSP) 블록 등과 같은 설계의 주요 및 덜 주요 서브-셀의 경계를 식별한다. 모듈은 각 셀 블록 내의 결함의 빈도를 결정한다. 이러한 방식에서, 설계 내의 주요 또는 덜 주요 셀이 수율 이슈에 다소 민감한지를 결정할 수 있다.In another example, the module 166 divides the design data into "functional blocks" or "cell blocks. &Quot; Cell blocks are defined within the design data and identify the boundaries of the main and lesser major sub-cells of the design such as input / output (I / O) blocks, digital signal processor (DSP) The module determines the frequency of defects in each cell block. In this way, it can be determined whether the major or less major cells in the design are more or less sensitive to yield issues.

여기에 기술한 실시예는 결함이 위치되는 설계 셀을 결정하기 위해 통계적 접근법을 사용할 수 있다. 예컨대, 일부 실시예에서, 상기 방법은 결함이 체계적 결함인지를 결정하는 단계, 2 이상의 체계적 결함이 설계 데이터의 1 이상의 부분에 위치되는지를 결정하는 단계, 및 체계적 결함과 가능성 사이에 상관관계가 존재하는지를 결정하는 단계를 포함할 수 있다. 특히, 여기에 추가로 기술하는 바와 같이, 설계 데이터(즉, 계층적 설계 데이터)는 설계 데이터 내의 셀과 같은 설계 데이터 내의 결함의 계층을 결정하기 위해 설계 데이터 스페이스 내의 결함의 위치와 조합하여 사용될 수 있다. 여기에 추가로 기술하는 바와 같이, 설계 데이터 내의 계층은 설계 데이터의 어느 부분이 수율 향상을 위해 사용될 수 있거나 사용되어야 하는지를 결정하는데 사용될 수 있다. 결함의 계층 결정에 있어서의 하나의 어려움은 셀이 작아질수록, 셀의 사이즈가 검사 시스템의 좌표 정확도보다 작아지고, 이에 의해, 결함이 위치되는 셀이 결정될 수 있는 정확도를 저하한다는 것이다. 이러한 어려움을 극복하기 위해, 설계 데이터의 각종 부분에 결함이 위치되는 확률(각각의 결함이 상이한 셀에 위치되는 확률)을 결정하는데 통계를 사용할 수 있다. 이러한 방식에서, 체계적 결함에 있어서, 통계는 설계 데이터의 각종 부분 내에 결함이 위치되는 확률과 체계적 결합 사이의 상관관계가 존재하는지를 결정하는데 사용할 수 있다.The embodiments described herein may use statistical approaches to determine the design cell in which the defect is located. For example, in some embodiments, the method may include determining if the defect is a systematic defect, determining if more than one systematic defect is located in at least one portion of the design data, and if there is a correlation between systematic defects and potential And determining if the received message is a response message. In particular, as described further herein, design data (i.e., hierarchical design data) can be used in combination with the location of defects in the design data space to determine the hierarchy of defects in the design data, such as cells in the design data have. As further described herein, the hierarchy in the design data can be used to determine which portions of the design data may or may not be used for yield improvement. One difficulty in determining the layer of faults is that the smaller the cell, the smaller the size of the cell is than the coordinate accuracy of the inspection system, thereby reducing the accuracy with which the cell in which the defect is located can be determined. To overcome this difficulty, statistics can be used to determine the probability that a defect is located in various parts of the design data (the probability that each defect is located in a different cell). In this way, for systematic defects, statistics can be used to determine if there is a correlation between the probability that a defect is located in various parts of the design data and a systematic combination.

다른 실시예에서, 모듈(166)에 제공된 입력은 설계 데이터(예컨대, GDS 레이아웃), 검사 데이터(예컨대, 물리적 결함 데이터), 및 선택적으로 메모리 비트맵 및/또는 로직 비트맵을 포함할 수 있다. 모듈은 비한정적으로 탐색, 특징부여, 모니터링 및 디스포지션(disposition)(예컨대, 1 이상의 실행가능한 결정을 함)과 같은 1 이상의 추가적인 단계를 수행하기 위해 입력의 일부 또는 전부를 사용할 수 있다. 모듈은 1 이상의 후술하는 단계에 부가하여 전술한 단계를 수행하도록 구성될 수 있다: 핫 스팟/위크 스폿 데이터 구조 생성, 설계 데이터를 사용하여 결함(예컨대, 광학 또는 전자 빔 검사 시스템에 의해 검출한 결함 및/또는 비트맵으로 표시되는 전기 검사에 의해 검출되는 결함)의 그룹화, 리뷰 샘플 플랜(plan)을 생성, 검사 레시피의 최적화, 리뷰 레시피의 변경(예컨대, 리뷰할 것을 결정), 리뷰 레시피의 최적화, 결함 분석 레시피(예컨대, 인-라인 FIB 프로세스 및/또는 FA 프로세스 동안에 분석할 곳을 가능하게는 여기에 기술한 임의의 다른 정보와 조합하여 설계 콘텍스트에 의해)를 변경, 결함 분석 레시피를 최적화, FIB 프로세스에 대한 샘플링 레시피를 생성, EDX 프로세스, 또는 다른 결함 분석 프로세스, 계측 프로세스를 위한 샘플링 레시피 생성, 및 DOI 및 가능하게는 유형 및 위치와 같은 DOI의 1 이상의 속성. 또한, 전술한 임의의 샘플링 플랜 또는 샘플링 레시피는 비닝의 결과에 기초하여 동적으로 결정될 수 있다. 그러한 일 예에서, 모듈은 인라인 결함 데이터 및 비트맵 데이터에서 검출될 수 있는 잠재적 DOI를 예측하기 위해, 설계 데이터를 분석하거나, DRC로부터의 결과와 같은 설계 데이터의 분석 결과를 취득하도록 구성될 수 있다.In another embodiment, the input provided to module 166 may include design data (e.g., GDS layout), inspection data (e.g., physical defect data), and optionally memory bitmap and / or logic bitmap. A module may use some or all of its inputs to perform one or more additional steps such as, but not limited to, searching, characterizing, monitoring, and disposition (e.g., making one or more executable decisions). The module may be configured to perform the steps described above in addition to one or more of the following steps: generating a hotspot / weakspot data structure, using the design data to identify defects (e.g., defects detected by optical or electron beam inspection systems (E.g., a defect detected by an electrical inspection indicated by a bitmap), a review sample plan, optimization of an inspection recipe, modification of a review recipe (e.g., decision to review), optimization of review recipe , By changing the defect analysis recipe (e.g., by design context, in combination with the inline FIB process and / or any other information described herein as possibly to be analyzed during the FA process), optimizing the defect analysis recipe, Generating a sampling recipe for the FIB process, an EDX process, or other defect analysis process, generating a sampling recipe for the metrology process, DOI and possibly one or more attributes of the DOI such as type and location. In addition, any of the sampling plans or sampling recipes described above can be dynamically determined based on the results of the binning. In such an example, the module may be configured to analyze design data or to obtain analysis results of design data, such as results from DRC, to predict potential DOIs that may be detected in inline defect data and bitmap data .

전술한 바와 같이, 모듈(166)은 데이터베이스와 같은 데이터 구조를 생성하도록 구성될 수 있다. 예컨대, 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 체계적 결함과 잠재적 체계적 결함의 위치와, 체계적 결함 및 잠재적 체계적 결함의 1 이상의 속성을 포함하는 데이터 구조를 생성하는 단계를 포함한다. 그러한 데이터베이스는 일반적으로 "핫 스팟" 데이터베이스라 칭할 수 있다. 데이터베이스는 위크 스폿, 조정적인 핫 스팟, 및 콜드 스폿(수율 영향이 없거나 작은 체계적 결함을 낳는 설계 데이터의 비-중요 영역(예컨대, 더미 구조, 더미 충전 영역 등))에 관한 정보를 포함할 수 있다. 데이터베이스는 잠재적 결함 및 실제 체계적 결함의 위치 및 다른 속성(예컨대, 설계 콘텍스트, KP, 다른 수율 특성 등)를 포함할 수 있다.As described above, the module 166 may be configured to generate a data structure, such as a database. For example, in some embodiments, the method includes generating a data structure comprising a location of systematic defects and potential systematic defects within a design data space, and one or more attributes of systematic defects and potential systematic defects. Such a database is generally referred to as a "hot spot" database. The database may include information about a week spot, a coordinating hot spot, and a cold spot (non-critical areas of the design data that have little or no yield impact, such as a dummy structure, a dummy fill area, etc.) . The database may include locations of potential defects and actual systematic defects and other attributes (e.g., design context, KP, other yield characteristics, etc.).

핫 스팟 데이터베이스 내의 데이터는 각종 소스로부터 취득할 수 있다. 예컨대, 데이터베이스는 모든(또는 적어도 일부) 가능한 소스로부터의 체계적 이슈에 관한 데이터를 포함하는 유연한 데이터베이스로서 구성될 수 있다. 예컨대, 모듈에의 입력의 일부는 데이터베이스에 포함될 수 있다. 그러한 일 예에서, 검사 결과(예컨대, PWQ 결과, BF 및/또는 DF 검사에 의해 검출된 결함, 메모리 비트맵, 로직 비트맵 등)가 데이터베이스에 포함될 수 있다. 일부 실시예에서, 데이터베이스는 리소그라피 및 CMP와 같은 1 이상의 반도체 제조 프로세스에 대한 설계 룰을 포함할 수 있다. 다른 실시예에서, 데이터베이스는 OPC 시뮬레이션의 결과와 같은 설계 데이터에 대해 수행된 시뮬레이션을 포함할 수 있다. 이러한 방식에서, 다중-소스 상호연관은 핫 스팟과 체계적 결함을 식별하기 위해 사용될 수 있다.The data in the hot spot database can be acquired from various sources. For example, the database may be configured as a flexible database that contains data about systematic issues from all (or at least some) possible sources. For example, some of the inputs to the module may be included in the database. In such an example, the inspection results (e.g., PWQ results, defects detected by BF and / or DF inspection, memory bitmaps, logic bitmaps, etc.) may be included in the database. In some embodiments, the database may include design rules for one or more semiconductor manufacturing processes, such as lithography and CMP. In another embodiment, the database may include simulations performed on design data such as the results of an OPC simulation. In this manner, multi-source correlations can be used to identify hot spots and systematic defects.

전술한 바와 같이, 상기 방법은 설계 데이터에 기초하여 결함을 비닝하는 단계를 포함한다. 그러한 일 실시예에서, 여기에 기술한 방법은 설계 데이터의 1 이상의 속성에 기초하여 결함이 뉴슨스 결함인지를 결정하는 단계를 포함한다. 이러한 방식에서, 뉴슨스 결함은 콘텍스트 정보에 기초하여 식별될 수 있다. 일부 실시예에서, 상기 방법은 검사 프로세스 결과의 S/N을 증가시키기 위해, 결함의 위치에 가까운 설계 데이터에 기초하여, 결함이 검출된 검사 프로세스의 결과로부터 결함의 일부를 제거하는 단계를 포함한다. 이러한 방식에서, 설계 데이터 스페이스 내의 결함의 위치에 가깝게 위치한 설계에 관한 정보는 검사 결과에서의 노이즈를 줄여서, 검사 결과의 S/N을 증가시키도록 사용될 수 있다. 예컨대, 설계의 비-기능 영역에서의 결함은 검사 결과가 후속 분석에 사용되기 이전에, 그룹으로 비닝되고 그 검사 결과로부터 뉴슨스로서 필터링될 수 있다. 다른 예에서, 웨이퍼의 주의 영역 또는 비-주의 영역에 결함이 위치되는지를 기초하여 결함을 분리할 수 있다. 추가적인 예에서, 체계적이지만 뉴슨스 결함(예컨대, 비-DOI)이 발생하는 것으로 알려진 설계의 일부에 위치된 결함은 DOI에 대한 결과의 S/N을 증가시키기 위해 검사 결과로부터 제거될 수 있다. 뉴슨스 결함이 발생하는 것으로 알려진 설계의 1 이상의 부분은 유저에 의해 결정되고 설계 라이브러리와 같은 데이터 구조에 저장될 수 있다. 예컨대, 뉴슨스 결함이 발생하는 것으로 알려진 설계의 부분은 특히 유저가 감독된 비닝을 위해 사용하도록 선택한 다각형을 포함할 수 있다. 또한, POI가 비닝 방법을 수행하기 이전에 규정되면, 비닝 방법은 규정된 POI를 사용하여 감독된 비닝을 수행할 수 있다. 대안적으로, POI는 여기에 추가로 기술하는 바와 같은 방법에 의해 결정할 수 있다. 여기에 기술한 방법은 검사 시스템상에서의 감독된 비닝을 수행하는 단계와, 검사 결과로부터 뉴슨스 결함을 배제시키는 단계를 포함할 수 있다.As described above, the method includes binning a defect based on design data. In one such embodiment, the method described herein includes determining if the defect is a Newson defect based on one or more attributes of the design data. In this way, Newson's defects can be identified based on the context information. In some embodiments, the method includes removing a portion of the defect from the result of the inspection process in which the defect was detected, based on design data close to the location of the defect, to increase the S / N of the inspection process result . In this way, information about the design located close to the location of the defects in the design data space can be used to reduce the noise in the inspection results, thereby increasing the S / N of the inspection results. For example, a defect in a non-functional area of a design may be binned into a group and filtered as a newsworth from the inspection results before the inspection results are used for subsequent analysis. In another example, the defects can be separated based on whether the defect is located in the region of interest or the non-region of the wafer. In a further example, a defect located in a part of the design that is systematic but known to cause Newson's defect (e.g., non-DOI) may be removed from the test results to increase the S / N of the result for the DOI. One or more portions of the design known to cause Newson defects can be determined by the user and stored in a data structure such as a design library. For example, portions of the design known to cause Newson's defects may include polygons that the user has chosen to use for supervised binning. Also, if the POI is defined prior to performing the binning method, the binning method may perform the supervised binning using the specified POI. Alternatively, the POI may be determined by a method as further described herein. The method described herein may include performing supervised binning on the inspection system and excluding the Newson defect from the inspection results.

전술한 바와 같이 결함의 일부를 제거하여, 검사 결과의 S/N을 증가시키는 것은 검사 결과의 포스트-처리에 대해 유리할 수 있다. 예컨대, 결함의 일부(예컨대, 수율에 영향을 미치지 않는 결함)를 제거하는 단계는 결함의 비닝 이전에 수행할 수 있고, 관심 있는 유형의 결함에 대한 비닝 결과의 S/N을 증가시킬 수 있다. 또한, 결과의 S/N이 높고 노이즈를 적게 포함하는 경우, 여기에 기술한 방법의 검사 결과의 분석은 신속하고 더욱 정확해질 수 있다. 하나의 특히 이로운 예에서, PWQ 방법에서, 노이즈의 주요 소스는 결함으로서 검출되는 라인 단부 단축화(LES; line end shortening)이다. 하지만, LES는 일반적으로 수율에 현저한 영향을 미치지 않는다. 따라서, 유저는 LES에 대하여 주의를 기울이지 않으며, LES가 비교적 큰 수로 나타날 수 있기 때문에, 검출된 LES는 수율에 더 관련 있는 다른 결함을 압도할 수 있다. 그와 같이, 여기에 기술하는 바와 같이 검사 결과로부터 검출된 LES를 제거하는 것은 검사 결과의 추가의 처리에 대하여 특히 이롭다. 결함은 광학적 또는 전자 빔 검사 시스템에 의해 검출되는 결함을 포함할 수 있다. 또한, 여기에 추가로 기술하는 바와 같이, 검사 레시피는 검사 동안에 이들 결함을 구별하기 위해 설계 콘텍스트에 기초하여 생성될 수 있다. 이러한 방식에서, 여기에 기술한 방법 및 시스템은 많은 DOI를 검출하고, 많은 뉴슨스 결함을 억제하고, 체계적 및 랜덤 결함과 패턴을 체계적 결함의 비닝에 기초하여 분류할 수 있는 검사 레시피를 생성하는데 사용할 수 있다.Increasing the S / N of the test results by removing a part of the defects as described above may be advantageous for the post-treatment of test results. For example, removing a portion of the defect (e.g., a defect that does not affect the yield) may be performed prior to the binning of the defect and may increase the S / N of the binning result for a defect of the type of interest. In addition, when the S / N of the result is high and contains a small amount of noise, the analysis of the test result of the method described herein can be quick and more accurate. In one particularly advantageous example, in the PWQ method, the main source of noise is the line end shortening (LES) detected as a defect. However, LES generally does not have a significant effect on yield. Thus, the user does not pay attention to the LES and since the LES may appear as a relatively large number, the detected LES may overwhelm other defects more relevant to the yield. As such, removing the detected LES from the test results, as described herein, is particularly advantageous for further processing of the test results. Defects may include defects detected by optical or electron beam inspection systems. Further, as further described herein, an inspection recipe may be generated based on a design context to distinguish these defects during inspection. In this way, the methods and systems described herein can be used to detect many DOIs, suppress many Newson defects, and generate inspection recipes that can classify systematic and random defects and patterns based on binning of systematic defects .

다른 실시예에서, 상기 방법은 1 이상의 그룹의 결함의 적어도 일부를 리뷰하고, 검사 프로세스 결과의 S/N을 증가시키기 위해 결함이 검출된 검사 프로세스의 결과로부터 뉴슨스 결함에 대응하는 1 이상의 그룹을 제거하여, 1 이상의 결함이 뉴슨스 결함에 대응하는지를 결정하는 단계를 포함한다. 결함의 적어도 일부를 리뷰하는 단계는 여기에 기술하는 바와 같이 또는 당업계에 알려진 임의의 다른 적합한 방식으로 수행할 수 있다. 1 이상이 결함 그룹이 뉴슨스 결함에 대응하는지의 결정은 임의의 적합한 방식으로 임의의 리뷰 결과를 사용하여 수행할 수 있다. 1 이상의 결함 그룹이 뉴슨스 결함에 대응하는 경우, 1 이상의 그룹은 검사 결과 내의 DOI의 S/N을 증가시키기 위해 검사 결과로부터 제거(필터링)될 수 있다.In another embodiment, the method includes reviewing at least a portion of a defect of one or more groups and determining one or more groups corresponding to the Newson defect from the result of the inspection process in which the defect was detected to increase the S / And determining if the one or more defects correspond to Newson's defects. The step of reviewing at least a portion of the defects may be performed as described herein or in any other suitable manner known in the art. The determination of whether one or more defect groups correspond to Newson's defects can be performed using any review results in any suitable manner. If one or more of the defect groups corresponds to a Newson defect, the one or more groups may be removed (filtered) from the test results to increase the S / N of the DOIs in the test results.

전술한 바와 같이, 여기에 기술한 실시예는 웨이퍼 상에 인쇄된 바와 같은 백그라운드 정보 및/또는 결함 정보와 대조적으로 결함을 비닝하기 위해 설계 데이터 및 설계 데이터 내의 결함 위치를 이롭게 사용할 수 있다. 하지만, 설계 데이터 스페이스 내의 설계 데이터는 결함을 비닝하기 위해(예컨대, 다른 그룹으로 비닝된 결함 사이의 더 우수한 분리를 위해) 다른 정보와 조합하여 사용될 수 있다. 예컨대, 일 실시예에서, 결함을 비닝하는 단계는, 각 그룹의 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 위치가 적어도 유사하고, 각 그룹의 결함의 1 이상의 속성이 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 결함의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 또한, 결함 속성은 검사 결과로부터 결정될 수 있는 임의의 결함 속성을 포함할 수 있다. 그와 같이, 비닝 단계는 설계와 1 이상의 속성의 조합을 사용하여 수행할 수 있다. 이러한 방식에서, 상기 방법은 설계 데이터 및 결함 속성에 기초하여 결함을 그룹으로 분리할 수 있다. 따라서, 적어도 부분적으로 유사한 설계 데이터의 부분 내의 설계 데이터 스페이스에 위치한 상이한 유형의 결함을 분리할 수 있다. 그러한 비닝 단계는 상이한 결함 메커니즘이 발생하는 속도 및 설계 데이터 영역 내의 상이한 결함 메커니즘을 식별하는데 이롭게 사용할 수 있다. 다른 실시예에서, 결함의 위치에 가까운 설계 데이터의 부분은 결함이 위치하는 설계 데이터를 포함할 수 있다. 즉, 비닝을 위해 비교된 설계 데이터의 부분은 결함 "후방의" 설계 데이터를 포함할 수 있다. 이러한 방식에서, 비닝은 결함이 위치하는 설계 데이터에서의 구조 사용에 의한 구조 비닝을 포함할 수 있다. 그러한 비닝은, 비닝에 대해 정확한 구조가 사용되는 확률이 비교적 높도록, 결함 위치가 비교적 높은 좌표 정밀도로 보고되는 결함에 대하여 비닝을 수행할 수 있다. 본 실시예에서 사용된 설계 데이터가 웨이퍼 상에 인쇄되는 바와 같은 설계 데이터가 아니기 때문에, 결함 "후방의" 설계 데이터를 사용하는 것은 여기에 기술한 실시예에서 가능하다. 대조적으로, 웨이퍼 상의 결함은 웨이퍼 상의 동일한 위치 또는 결함 주위의 영역에 인쇄되는 설계 데이터를 가릴 수 있고, 이는 웨이퍼 상에 인쇄된 바와 같은 설계 데이터에 기초하는 결함 비닝을 위한 방법의 정확도를 추가로 저하할 수 있다. 다른 실시예에서, 여기에 기술한 실시예에 사용된 결함의 위치에 가까운 설계 데이터의 부분은 결함의 위치 주위의 설계 데이터를 포함한다. 또한, 비닝은 결함이 위치된 구조 및 설계 데이터 내의 결함의 위치 주위 또는 그것에 가까운 구조를 사용하여 수행될 수 있다.As described above, the embodiments described herein can advantageously use defect locations in design data and design data to bin defects as opposed to background information and / or defect information as printed on the wafer. However, design data in the design data space may be used in combination with other information to bin the defect (e.g., for better separation between defects that have been binned into different groups). For example, in one embodiment, the step of binning defects may be performed in a manner such that the locations of design data that are close to the locations of defects in the design data space of each group are at least similar and one or more attributes of defects in each group are at least similar, Group into a group. The attribute of the defect may include any of the attributes described herein. In addition, the defect attribute may include any defect attribute that can be determined from the inspection result. As such, the binning step may be performed using a combination of design and one or more attributes. In this way, the method can separate defects into groups based on design data and defect attributes. Thus, different types of defects located in the design data space within portions of at least partially similar design data can be isolated. Such a binning step can be advantageously used to identify the rate at which different defect mechanisms occur and the different defect mechanisms within the design data area. In another embodiment, a portion of the design data that is close to the location of the defect may include design data where the defect is located. That is, portions of the design data compared for the binning may contain defective "rear" design data. In this manner, the binning may include structure binning by use of the structure in the design data where the defect is located. Such binning can perform binning for defects where the defect location is reported with a relatively high coordinate accuracy, such that the probability that the correct structure is used for binning is relatively high. Since the design data used in this embodiment is not the design data as printed on the wafer, it is possible in the embodiments described herein to use the defect "rear" design data. In contrast, defects on the wafer can obscure the design data printed on the same location on the wafer or in areas around the defect, which further degrades the accuracy of the method for defect binning based on design data as printed on the wafer can do. In another embodiment, the portion of the design data near the location of the defect used in the embodiments described herein includes design data around the location of the defect. In addition, the binning can be performed using a structure in which the defect is located and a structure around or close to the position of the defect in the design data.

전술한 바와 같이, 비닝은 설계 데이터의 부분 내의 결함의 위치에 대한 고려 없이 수행할 수 있다. 그러한 비닝은 비교적 낮은 정확도로 결함 위치를 보고하는 검사 시스템에 의해 검출되는 결함에 대해 특히 이롭다. 또한, 그러한 비닝은 설계 데이터의 어느 부분이 특히 높은 결함 및/또는 특히 높은 결함률을 나타내는지와 같은 중요한 정보를 제공하면서 실질적으로 높은 정확도의 비닝 결과를 생성할 수 있다. 하지만, 추가적인 실시예에서, 결함의 비닝은 각 그룹에서의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 부분 내의 다각형에 대한 각 그룹 내의 결함의 위치가 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 비닝은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분과 설계 데이터의 부분에서의 결함의 위치의 조합을 사용하여 수행할 수 있다. 그와 같이, 비닝은 구조 내에 결함이 위치하는 장소에 부분적으로 기초하여 수행될 수 있다. 즉, 비닝은 부분간 위치에 가까운 설계 데이터와 조합하여 결함의 부분간 위치에 기초하여 수행할 수 있다. 그러한 비닝은 결함의 실질적으로 정확한 부분간 위치가 비닝을 위해 사용되도록 위치가 비교적 높은 좌표 정확도로 보고된 결함에 대해 바람직하게 수행된다. 이러한 방식에서, 설계 데이터의 동일한 부분에 위치하지만, 상이한 부분간 위치로 인하여 장치에는 다른 방식으로 영향을 미치는 결함이 분리될 수 있다. 예컨대, 그러한 비닝을 사용하여, 설계 데이터의 일 부분에서의 두 특징 사이에 위치하고, 장치에서 오픈(open)을 야기할 확률이 비교적 높은 결함은 두 특징 중 하나의 내부에 전체적으로 위치하고 그리하여 장치에서 오픈을 야기할 확률이 훨씬 낮은 결함으로부터 분리될 수 있다. 따라서, 그러한 비닝은 상이한 수율 영향 결함이 발생하는 비율과, 설계 데이터의 일 영역에 대한 수율 영향이 상이한 결함을 식별하는데 이롭게 사용할 수 있다.As described above, the binning can be performed without considering the position of the defect in the portion of the design data. Such binning is particularly beneficial for defects detected by inspection systems that report defect locations with relatively low accuracy. In addition, such binning can produce a binning result of substantially high accuracy while providing important information such as which portions of the design data exhibit particularly high defects and / or particularly high defect rates. However, in a further embodiment, the binning of the defects is such that the portions of the design data that are close to the location of the defects in each group are at least similar and the defects in each group to the polygons within the portion are at least similar, . In this manner, the binning can be performed using a combination of a portion of the design data near the location of the defect in the design data space and a location of the defect in the portion of the design data. As such, the binning may be performed based in part on the location of the defect in the structure. In other words, the binning can be performed based on the position of the part of the defect in combination with the design data close to the inter-part position. Such binning is preferably performed for defects where the position is reported with a relatively high coordinate accuracy such that a substantially correct inter-part position of the defect is used for the binning. In this manner, defects that affect the device in different ways due to different inter-part positions can be separated, although they are located in the same part of the design data. For example, using such a binning, a defect located between two features in one portion of the design data and having a relatively high probability of causing an open in the device is located entirely within one of the two features, Can be separated from defects that are much less likely to cause it. Thus, such binning can be advantageously used to identify defects in which different yield impact defects occur and yield impacts on one area of the design data are different.

일부 실시예에서, 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분에 대한 핫 스팟 정보가 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 포함한다. 핫 스팟 정보는 여기에 기술한 임의의 핫 스팟 정보 또는 당업계에 알려진 임의의 다른 핫 스팟 정보를 포함할 수 있다. 핫 스팟 정보는 여기에 추가로 기술하는 바와 같이 설계 데이터의 상이한 부분에 대하여 결정할 수 있다. 이러한 방식에서, 상기 방법은 설계 데이터와 핫 스팟 정보의 조합을 사용하여 비닝을 수행할 수 있다. 그러한 일 예에서, 상기 방법이 수행되기 이전에, 수율에 대한 영향이 유사한 설계 데이터 내의 핫 스팟은 전술한 바와 같이 비닝할 수 있다. 따라서, 결함은 설계 데이터 유사도에 기초하여 비닝할 수 있고, 이 비닝으로부터의 결과적인 결함 그룹은 수율 영향이 유사한 결함의 서브-그룹으로 분리할 수 있다. 그러한 일 예에서, 예컨대, 위치의 일부가 유사하지 않은 설계 데이터의 위 또는 아래에 위치되는 경우, 적어도 유사한 설계 데이터의 모든 부분이 동일한 핫 스팟 정보와 연관되지 않을 수 있다. 그와 같이, 설계 데이터의 적어도 유사한 부분에 가깝게 위치한 결함은 설계 데이터의 각 부분에 대한 핫 스팟 정보에 기초하여 분리될 수 있다. 이러한 방식에서, 웨이퍼를 제조하기 위해 사용된 프로세스의 전체 수율이 신속하고 정확히 평가될 수 있다. 또한, 핫 스팟 정보는 설계 데이터의 부분의 유사도가 정확히 결정되었는지를 체크 또는 입증하기 위하여 비닝에 대하여 사용될 수 있다. 예컨대, 적어도 유사하다고 결정된 설계 데이터의 부분이 적어도 유사한 핫 스팟 정보와 연관되지 않는 경우, 설계 데이터의 부분에 대응하는 결함은 동일한 그룹으로 비닝되지 않을 수 있다.In some embodiments, binning the defects so that the portions of the design data that are close to the location of the defects in each group are at least similar and the hotspot information about the portion of the design data that is close to the location of the defects in each group is at least similar. . Hotspot information may include any hotspot information described herein or any other hotspot information known in the art. Hotspot information may be determined for different parts of the design data as further described herein. In this way, the method can perform binning using a combination of design data and hotspot information. In such an example, before the method is performed, the hotspots in the design data with similar effects on yield can be binned as described above. Thus, defects can be binned based on design data similarity, and the resulting defect groups from this binning can be separated into sub-groups of similar defects in yield impact. In such an example, for example, if a portion of a location is located above or below non-similar design data, then at least portions of similar design data may not be associated with the same hotspot information. As such, defects located close to at least similar portions of the design data can be separated based on hot spot information for each portion of the design data. In this way, the overall yield of the process used to make the wafer can be quickly and accurately evaluated. Hotspot information can also be used for binning to check or verify whether the similarity of portions of the design data has been accurately determined. For example, if at least a portion of the design data determined to be similar is not associated with at least similar hotspot information, defects corresponding to portions of the design data may not be binned into the same group.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성 또는 이들의 일부 조합에 기초하여, 1 이상의 그룹 내의 결함이 체계적 결함인지 또는 랜덤 결함인지를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함을 그룹으로 집합적으로 분류하는 단계를 포함할 수 있다. 예컨대, 체계적 결함은 뉴슨스 결함 또는 관심없는 결함으로서 일 그룹으로 분류될 수 있다. 하지만, 그러한 분류는 개별적인 결함에 대하여 수행할 수 있다. 결함이 체계적 결함인지 또는 랜덤 결함인지를 결정하는데 사용될 수 있는 결함의 속성은, 예컨대, 결함이 1 이상의 다이 내의 거의 동일한 위치에 존재하는지, 1 이상의 다이 내의 결함이 거의 동일한 속성을 갖는지, 및 다이 내의 1 이상의 결함의 분포가 순서적 및/또는 밀집적인지를 포함할 수 있다. 일 예에서, 웨이퍼 상의 하나의 다이에서만 출현하는 결함은 랜덤 결함으로 분류할 수 있고, 거의 동일한 위치에서 복수 다이에서 출현하는 결함은 체계적 결함으로 분류할 수 있다. 따라서, 여기에 기술한 방법은 결함에 대한 정보를 사용하여 검사 프로세스(인라인 검사 프로세스 및/또는 전기 검사 프로세스)에 의해 웨이퍼 상에서 검출된 결함의 원인을 결정하는데 사용할 수 있다.In another embodiment, the method is based on at least one attribute of the design data near the location of the defect in the design data space, one or more attributes of the defect, or a combination of some of them, whether the defects in one or more groups are systematic defects or random defects And determining whether or not there is a difference. In this manner, the method may include collectively grouping defects into groups. For example, systematic defects can be grouped into Newson's defects or defects of interest. However, such classifications can be performed on individual defects. The nature of defects that can be used to determine whether a defect is a systematic defect or a random defect can be determined, for example, if the defect is at approximately the same location in the die in one or more of the dies, The distribution of one or more defects may be sequential and / or dense. In one example, defects that appear only on one die on the wafer can be classified as random defects, and defects that appear on multiple dies at nearly the same location can be classified as systematic defects. Thus, the method described herein can be used to determine the cause of defects detected on the wafer by the inspection process (in-line inspection process and / or electrical inspection process) using information about the defect.

일부 실시예에서, 상기 방법은 1 이상의 그룹 내의 적어도 일부 결함의 리뷰 결과, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 1 이상의 그룹의 결함을 분류하는 단계를 포함한다. 1 이상의 그룹 내의 결함의 적어도 일부의 리뷰는 여기에 기술하는 바와 같이 또는 당업계에 알려진 임의의 적합한 방식으로 수행할 수 있다. 설계 데이터의 1 이상의 속성 또는 결함의 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 이러한 방식에서, 결함은 실질적인 정보량에 기초하여 그룹으로서 집합적으로 분류할 수 있고, 이에 의해 비교적 빠르고 비교적 정확한 결함 분류를 제공한다.In some embodiments, the method comprises classifying defects of one or more groups based on review results of at least some defects in the one or more groups, one or more attributes of design data, one or more attributes of defects, or some combination thereof . Reviews of at least some of the defects in the one or more groups may be performed as described herein or in any suitable manner known in the art. One or more attributes of the design data or one or more attributes of the defects may include any of the attributes described herein. In this manner, defects can be grouped collectively as a group based on the amount of substantial information, thereby providing a relatively fast and relatively accurate defect classification.

다른 실시예에서, 상기 방법은 여기에 기술하는 바와 같이 결함이 비닝되는 그룹은 체계적 결함 또는 잠재적인 체계적 결함을 포함하는지를 결정하는 단계를 포함한다. 이러한 방식에서, 결함은 체계적 결함 또는 잠재적인 체계적 결함으로서 집합적으로 그룹으로 분류할 수 있다. 하지만, 결함은 체계적 결함 또는 잠재적인 체계적 결함으로서 개별적으로 분류할 수 있다. 예컨대, 결함은 설계 내의 다각형에 대한 결함의 위치 및 핫 스팟, 콜드 스폿 등이 거의 동일한 위치에 위치하는지에 기초하여 본 실시예에서 분류할 수 있다. 따라서, 여기에 기술한 방법은 그러한 설계 데이터와 같은 정보를 사용하여 검사 프로세스(인라인 검사 프로세스 및/또는 전기 검사 프로세스)에 의해 웨이퍼 상에서 검출한 결함의 원인을 결정하는데 사용할 수 있다.In another embodiment, the method includes determining whether a group to which the defect is benigned includes a systematic defect or a potential systematic defect, as described herein. In this way, defects can be grouped collectively as systematic defects or potential systematic defects. However, defects can be classified individually as systematic defects or potential systematic defects. For example, a defect can be classified in this embodiment based on the position of a defect with respect to a polygon in the design and whether the hot spot, the cold spot, and the like are located at substantially the same position. Thus, the method described herein can be used to determine the cause of defects detected on the wafer by the inspection process (in-line inspection process and / or electrical inspection process) using such information as design data.

일부 실시예에서, 상기 방법은 비닝 단계의 결과를 사용하여 시간에 걸쳐 체계적 결함, 잠재적인 체계적 결함, 또는 이들의 일부 조합을 모니터링하는 단계를 포함한다. 예컨대, 비닝 단계의 결과는 설계 데이터 내의 체계적 이슈를 식별하는데 사용할 수 있고, 식별된 체계적 이슈는 웨이퍼에 걸친 및/또는 시간에 걸친 재출현에 대하여 모니터링될 수 있다. 체계적 결함 및/또는 잠재적인 체계적 결함을 모니터링하는 단계는 여기에 기술한 임의의 방법의 임의의 결과를 사용하여 수행할 수 있다.In some embodiments, the method includes monitoring systematic defects, potential systematic defects, or some combination thereof over time using the results of the binning step. For example, the results of the binning phase may be used to identify systematic issues in the design data, and the identified systemic issues may be monitored for re-emergence across the wafer and / or over time. Monitoring systematic defects and / or potential systematic defects may be performed using any result of any of the methods described herein.

또한, 체계적 결함 및/또는 잠재적인 체계적 결함을 모니터링하는 단계는 통계적 프로세스 제어(SPC) 방법과 유사한 방식으로 수행할 수 있다. 예컨대, 체계적 결함, 잠재적인 체계적 결함, 랜덤 결함, 또는 이들의 일부 조합을 모니터링하는 단계는, 상이한 SPC 방법 및/또는 알고리즘이 상이한 유형의 결함에 대해 사용되는 수율-기반 SPC를 위해 사용할 수 있다. 그러한 일 예에서, SPC 매개변수는 상이한 유형의 결함을 모니터링하는데 사용할 수 있고, SPC 매개변수는 여기에 기술하는 바와 같이 결정될 수 있는 상이한 유형의 결함의 잠재적 수율 영향에 기초하여 결정 또는 선택할 수 있다. 이러한 방식에서, 상이한 유형의 결함은 상이한 SPC 매개변수에서, SPC를 위해 동시에 모니터링된다. 다른 실시예에서, 검사에 의해 검출된 결함의 서브세트만이 SPC를 위해 사용할 수 있다. 예컨대, 프로세스가 설계 기반 프로세스 마진을 위해 모니터링될 수 있도록, 비-뉴슨스 체계적 결함 및/또는 잠재적인 체계적 결함만이 SPC 목적으로 모니터링될 수 있다. 추가적인 예에서, 결함에서의 변화에 의해 야기된 제조 프로세스의 수율에서의 변화가 비교적 초기에 정확히 검출될 수 있도록, 수율에 대하여 잠재적으로 큰 영향을 갖는 것으로 결정된 체계적 결함만이 SPC를 위해 모니터링될 수 있다. 또한, 체계적 결함 그룹 및 랜덤 결함의 수율 영향을 추정하기 위해 다른 방법을 사용하는 것은 더욱 정확한 예측, 모니터링, 및 수율 관련 이슈의 제어를 이롭게 제공할 수 있다. 이러한 방식에서, 상기 방법은 제조 수율을 모니터링 및 향상하기 위해 사용될 수 있는 장치의 제조에 관한 정보(예컨대, 시간에 걸친 체계적 결함에서의 증가, 시간에 걸친 체계적 결함에서의 감소, 시간에 걸친 체계적 결함에서의 변화 등)를 제공할 수 있다.In addition, monitoring systematic defects and / or potential systematic defects may be performed in a manner similar to the statistical process control (SPC) method. For example, monitoring the systematic defects, potential systematic defects, random defects, or some combination thereof can be used for yield-based SPCs where different SPC methods and / or algorithms are used for different types of defects. In such an example, the SPC parameters can be used to monitor different types of defects and the SPC parameters can be determined or selected based on the potential yield impact of different types of defects that can be determined as described herein. In this way, different types of defects are monitored simultaneously for SPC, at different SPC parameters. In another embodiment, only a subset of defects detected by inspection can be used for the SPC. For example, only non-Newson systematic defects and / or potential systematic defects can be monitored for SPC purposes so that the process can be monitored for design-based process margins. In a further example, only systematic defects determined to have a potentially large impact on yield can be monitored for SPC so that changes in the yield of the manufacturing process caused by changes in defects can be detected relatively early on have. In addition, using other methods to estimate the yield impact of systematic defect groups and random defects can advantageously provide more accurate prediction, monitoring, and control of yield related issues. In this manner, the method can be used to provide information about the manufacture of a device that can be used to monitor and improve manufacturing yields (e.g., an increase in systematic defects over time, a decrease in systematic defects over time, And the like) can be provided.

일 실시예에서, 상기 방법은 패턴 기반 결함(예컨대, 체계적 결함)의 원인을 결정하는 단계를 포함할 수 있다. 예컨대, 1 이상의 패턴 기반 결함 그룹이 지배적이면, 상기 방법은 동일한 층 및 동일한 장치에 대해 다수의 다른 웨이퍼에 대하여 인라인 검사 데이터 및/또는 전기 검사 데이터를 취득하는 단계를 포함할 수 있다. 예컨대, 인라인 검사 데이터 및/또는 전기 검사 데이터는 약 100 내지 약 1000개의 다른 웨이퍼에 대하여 취득될 수 있다. 이러한 데이터는 결함 데이터베이스 또는 팹 데이터베이스와 같은 저장 매체로부터 취득할 수 있다. 그러한 데이터가 이용 불가능한 경우, 상기 방법은 체계적 결함이 검출된 웨이퍼에 대하여 수행되는 프로세스에서 이미 처리된 웨이퍼를 검사하여 그러한 정보를 생성한 후 웨이퍼를 검사하는 단계를 포함할 수 있다.In one embodiment, the method may include determining the cause of a pattern-based defect (e.g., a systematic defect). For example, if one or more pattern-based defect groups are dominant, the method may include obtaining in-line inspection data and / or electrical inspection data for a plurality of different wafers for the same layer and the same device. For example, inline inspection data and / or electrical inspection data may be obtained for about 100 to about 1000 different wafers. Such data may be obtained from a storage medium such as a defect database or a fab database. If such data is not available, the method may include inspecting a wafer that has already been processed in a process performed on a wafer for which systematic defects have been detected, and then generating such information and then inspecting the wafer.

상기 방법은 추가적인 웨이퍼 상에서 검출된 결함의 패턴-기반 비닝을 수행하는 단계를 포함할 수 있으며, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 상기 방법은 1 이상의 패턴 기반 결함 그룹이 추가적인 웨이퍼에 대해 지배적인지를 결정하는 단계를 포함할 수 있다. 추가적인 웨이퍼가 지배적 패턴 기반 결함의 공통성을 나타내는 경우, 그러한 방법은 웨이퍼가 공통 장비(또는 프로세스 툴)을 통하여 처리되었는지를 결정하는 단계를 포함할 수 있다. 이러한 방식에서, 상기 방법은 장비 공통성 분석을 수행할 수 있다. 상기 방법은 지배적 패턴 기반 결함 그룹이 특정 장비, 특정 챔버(예컨대, 매개변수가 일부 이유로 인하여 드리프트한 장비 또는 챔버). 또는 특정 루트-단계(예컨대, 장비와 2 이상의 단계 사이의 통합 이슈)와 관련되는지를 결정하는 단계를 포함할 수 있다. 지배적 패턴 기반 결함 그룹이 특정 장비 또는 특정 챔버와 관련되는 경우, 패턴 기반 결함 그룹의 원인은 고립되고 가능하게는 식별된다. 상기 방법은 관심 있는 그룹에 대한 공간적 시그네처가 존재하는지를 결정하기 위해 데이터를 스택(stack)하는 단계를 포함할 수 있다. 공간적 시그네처는 프로세스 이슈, OPC 이슈, 또는 설계 관련 체계적 이슈, 또는 그것의 조합의 원인을 국한하거나 결정하는데 유용할 수 있다.The method may include performing pattern-based binning of detected defects on additional wafers, which may be performed as described herein. The method may include determining if at least one pattern-based defect group is dominant for an additional wafer. If additional wafers exhibit commonality of dominant pattern-based defects, such a method may include determining whether the wafers have been processed through common equipment (or process tools). In this way, the method can perform equipment commonality analysis. The method is based on the assumption that the dominant pattern-based defect group is a specific equipment, a particular chamber (e.g., equipment or chamber whose parameters drift for some reason). Or a particular root-step (e.g., an integrated issue between equipment and two or more steps). If a dominant pattern-based defect group is associated with a particular device or a particular chamber, the cause of the pattern-based defect group is isolated and possibly identified. The method may include stacking data to determine if there is a spatial signature for the group of interest. Spatial signatures can be useful in locating or determining the cause of process issues, OPC issues, or design related systemic issues, or combinations thereof.

지배적 패턴 기판 결함 그룹이 특정 장비 또는 특정 챔버와 연관될 수 없는 경우, 상기 방법은 결함을 다른 프로세스 인자와 상호 연관짓도록 시도하기 위해 데이터 마이닝(data mining)을 수행하는 단계를 포함할 수 있다. 데이터 마이닝은 당업계에 결함 및 설계 데이터에 관한 임의의 정보, 및 팹 데이터베이스와 같은 1 이상의 저장 매체에 저장될 수 있는, 장치 제조 동안 생성된 임의의 정보에 기초하여 알려진 임의의 적합한 방식으로 수행할 수 있다. 1 이상의 다른 프로세스 인자와 결함 사이에 비교적 강한 상관관계가 식별되는 경우, 결함에 연관된 프로세스 인자는 결함의 원인으로서 식별될 수 있다. 1 이상의 프로세스 인자와 결함 사이의 비교적 강한 연관을 식별할 수 없는 경우, 상기 방법은 잠재적 POI에 대한 설계의 임의적인 패턴 검색을 수행하는 단계와, 패턴 의존적 결함의 원인이 결정될 수 있도록 새로운 인라인 핫 스팟 모니터를 세업하는 단계를 포함할 수 있다. 하지만, 프로세스 조건이 배제되면, 프로세스 자체 또는 설계 자체가 평가되어야 하고, 필요한 경우, 문제점을 줄이거나 제거하기 위해 조정될 것이다. 또한, 프로세스 창 매핑의 결과에 대해 체계적 결함의 속성을 비교함으로써, 가능한 소스 및/또는 근본 원인에 대하여 추단할 수 있다.If a dominant pattern substrate defect group can not be associated with a particular equipment or a particular chamber, the method may include performing data mining to attempt to correlate defects with other process factors. Data mining may be performed in any suitable manner known in the art based on any information regarding defects and design data, and any information generated during device fabrication, which may be stored on one or more storage media, such as a fab database . If a relatively strong correlation is identified between one or more other process factors and the defect, the process factor associated with the defect can be identified as the cause of the defect. If the relatively strong association between the one or more process factors and the defect can not be identified, the method may include performing an arbitrary pattern search of the design for the potential POI, determining a new inline hot spot And building up the monitor. However, when process conditions are excluded, the process itself or the design itself must be evaluated and, if necessary, adjusted to reduce or eliminate the problem. Also, by comparing the attributes of a systematic defect to the outcome of the process window mapping, it is possible to refer to possible sources and / or root causes.

상기 방법은 데이터 정리를 수행하기 위해 체계적 결함 및/또는 잠재적인 체계적 결함에 관한 정보를 사용할 수 있다. 예컨대, 단일 POI에 대한 전체-다이 패턴 기반 검색에 의해 또는 전기 기능 검사 및 리소그라피 PWQ 결과와 같은 실험적 기술로부터 생성된 50,000 내지 200,000 이상 핫 스팟이 존재할 수 있다. 따라서, 이 데이터를 의미 있고 시기적절한 방식으로 처리 및 분석하기 위해서, 그 데이터에 대해 데이터 처리 기술이 수행될 수 있다. 그러한 일 예에서, 패턴 기반 핫 스팟에 대해, 상기 방법은 핫 스팟을 "유사" 그룹으로 비닝하는 단계를 포함할 수 있다. 예컨대, 각 그룹은 설계 데이터 내의 적어도 유사한 패턴에 가깝게 위치한 및/또는 적어도 유사한 1 이상의 속성을 갖는 설계 데이터에 가깝게 위치한 핫 스팟을 포함할 수 있다(예컨대, 설계의 비교적 낮은 패턴 밀도 영역에 위치한 핫 스팟이 하나의 그룹으로 비닝될 수 있다). 그와 같이, 상기 방법은 설계 콘텍스트 및/또는 설계 속성에 기초하여 핫 스팟을 비닝하는 단계를 포함할 수 있다. 추가적인 예에서, PWQ와 같은 실험적 기술에 있어서, 상기 방법은 리뷰 샘플링이 수행된 결함 모집단으로부터, 수율 영향이 적거나 없는 설계의 위치(콜드 스폿)에 가까운 결함을 제거하는 단계를 포함할 수 있다. 전술한 바와 같은 데이터 정리를 수행함으로써, 여기에 추가로 기술하는 바와 같이 정리된 데이터를 사용하여 더 나은(예컨대, 더욱 수율 관련적인) 리뷰 샘플을 생성할 수 있다.The method may use information on systematic defects and / or potential systematic defects to perform data cleanup. For example, there may be 50,000 to 200,000 or more hot spots generated by a full-die pattern based search for a single POI or from experimental techniques such as electrical function tests and lithography PWQ results. Thus, in order to process and analyze this data in a meaningful and timely manner, a data processing technique may be performed on the data. In such an example, for pattern-based hotspots, the method may include binning the hotspots into a "similar" group. For example, each group may include hotspots located close to at least similar patterns in the design data and / or close to design data having at least one similar property (e.g., hot spots located in a relatively low pattern density region of the design Can be binned into one group). As such, the method may include binning a hot spot based on design context and / or design attributes. In a further example, in an empirical technique such as PWQ, the method may include removing defects near the location of the design (cold spot) with little or no yield impact from the defect population from which review sampling is performed. By performing the data cleanup as described above, it is possible to generate better (e.g., more yield related) review samples using the summarized data as further described herein.

여기에 기술한 상기 방법 및 시스템은 설계 기반 및 수율 기반 포스트 처리(온-툴 또는 오프-툴로 수행되는)와 조합하여 CBI를 포함할 수 있다. 예컨대, 뉴슨스 결함, 체계적 결함 및 랜덤 결함이 식별된 후, 그 결함은 일부 방식으로 조직화될 수 있다(예컨대, 결함 오거나이저(organizer)(DO) 또는 인라인 결함 오거나이저(iDO)를 사용하여). 일 예에서, 그 결과는 데이터베이스와 같은 데이터 구조에 저장된다. 다른 예에서, 전술한 바와 같이, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 위치에 기초하여 결함이 비닝된 후, 그룹 내의 결함은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 추가로 분리될 수 있다. 결함은 iDO를 사용하여 설계 데이터의 1 이상의 속성 및/또는 결함의 1 이상의 속성에 기초하여 분리될 수 있다. 이러한 방식에서, 설계 기반 비닝은 여기에 기술한 실시예에서의 iDO와 조합하여 사용될 수 있다. 특히, 설계 기반 비닝의 출력이 iDO에 입력될 수 있다.The methods and systems described herein may include CBI in combination with design-based and yield-based post-processing (performed with on-tool or off-tool). For example, after Newson's defects, systematic defects and random defects are identified, the defects can be organized in some way (e.g., using a defective organizer (DO) or an inline defective organizer (iDO)). In one example, the results are stored in a data structure such as a database. In another example, as described above, after a defect is binned based on the position of the design data near the position of the defect in the design data space, the defect in the group is classified into one or more attributes of the design data close to the position of the defect in the design data space , One or more attributes of the defect, or some combination thereof. Defects can be separated based on one or more attributes of design data and / or one or more attributes of defects using iDO. In this way, design-based binning can be used in combination with the iDO in the embodiments described herein. In particular, the output of the design-based binning can be input to the iDO.

설계 데이터에 기초하여 결함을 그룹으로 비닝된 결함을 추가로 분리하는데 사용되는, 설계 데이터의 1 이상의 속성은, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터 내의 패턴 또는 구조의 1 이상의 속성, 설계 데이터 스페이스 내의 결함의 위치에 가까운 패턴 밀도, 결함이 위치되는 기능 블록, 및 장치(예컨대, n-MOS 또는 p-MOS)의 1 이상의 속성을 비한정적으로 포함한다. 비닝된 결함을 추가로 분리하는데 사용되는 결함의 1 이상의 속성은 사이즈, 형태, 휘도, 콘트라스트, 극성 및 텍스처(texture)를 비한정적으로 포함한다.One or more attributes of the design data, which are used to further separate the defects into grouped defects based on the design data, may include one or more attributes of the pattern or structure in the design data near the location of the defects in the design data space, A pattern density close to the location of the defect in the space, a functional block in which the defect is located, and one or more attributes of the device (e.g., n-MOS or p-MOS). One or more attributes of a defect used to further separate the binned defect include, but are not limited to, size, shape, brightness, contrast, polarity, and texture.

설계 기반 비닝 및 iDO의 결과는 바 그래프로 도시할 수 있다. 바 그래프는 결함의 전체 수 대 결함이 검출된 설계 데이터 내의 패턴 및 패턴의 함수로서 서브-그룹 내의 결함의 수를 도시할 수 있다. 전술한 바와 같이 iDO와 조합하여 설계 기반 비닝을 사용하는 단계는, 랜덤 및 체계적 결함을 분리하고, 결함이 비닝된 그룹을 우선순위화 하고, 및/또는 설계 데이터에 이루어져야 하는 변화를 식별하고 가능하게는 우선순위화 하기 위해(예컨대, 여기에 추가로 기술하는 바와 같이 결정할 수 있는, 결함 그룹의 잠재적 수율 영향을 이용하여) 사용할 수 있다. 특히, 체계적 결함 및 랜덤 결함에 대한 분리를 위해 설계 기반 비닝이 제공하는 값은 체계적(및 가능하게는 랜덤) 결함의 추가의 분리를 위해 iDO를 사용하여 증가할 수 있다. 또한, 설계 기반 비닝이 체계적 결함 및 랜덤 결함의 분리를 위해 제공하는 값은 수율 관련성을, 가능하게는 체계적(및 가능하게는 랜덤) 결함의 분리를 위해 iDO와 조합하여 사용함으로써 증가할 수 있다.The results of design-based binning and iDO can be shown in a bar graph. The bar graph may show the number of defects in the sub-group as a function of the pattern and pattern in the design data in which the total number of defects versus defects was detected. Using design-based binning in combination with iDO, as described above, can be used to separate random and systematic defects, prioritize defective groups, and / or identify and possibly make changes that must be made to the design data May be used to prioritize (e.g., using the potential yield impact of the defect group, which may be determined as described further herein). In particular, the values provided by design-based binning for separation of systematic and random defects can be increased using iDO for further separation of systematic (and possibly random) defects. In addition, the values that design-based binning provides for the separation of systematic defects and random defects can be increased by using yield associations, possibly in combination with iDO, for the separation of systematic (and possibly random) defects.

이러한 방식에서, 체계적 결함 모집단 및 랜덤 결함 모집단은 독립적으로 처리할 수 있다(예컨대, 체계적 결함 모집단 및 랜덤 결함 모집단은 개별적으로 샘플링될 수 있다). 체계적 결함 및 랜덤 결함에 대한 개별적인 결과를 생성하기 위해, 체계적 및 랜덤 결함에 대한 다른 모집단 또는 다른 정보가 사용될 수 있다. 예컨대, 체계적 결함 및 랜덤 결함은 유저에 의해 자동적으로 처리 및/또는 사용될 수 있는 상이한 바 그래프, 또는 상이한 그래프 또는 텍스트 표현으로 도시할 수 있다. 리뷰를 위한 결함 샘플링 후에, 체계적 결함, 및 선택적으로는 랜덤 결함의 일부는 적합한 리뷰 시스템(예컨대, 비교적 고 배율 광학 리뷰 시스템 또는 SEM)을 사용하여 리뷰될 수 있다. 결함 리뷰 결과는 체계적 결함 및 랜덤 결함 양쪽의 결함 밀도를 정상화하기 위해 사용될 수 있다. 여기에 기술한 방법 및 시스템은 유저에게 여러 이점을 제공한다. 예컨대, 상기 방법 및 시스템은 충분한 베이스라인 수율 향상과, 더 나은 익스커션(excursion) 검출, 향상된 리뷰 시스템 효율, 더욱 효과적인 근원 원인 검출, 및 개선된 지식 보유를 제공한다. 또한, 여기에 기술한 실시예의 결과는 그 결과의 소비자(예컨대, 장치 제조자의 고객)에게 유용한 각종의 다른 유형의 정보를 포함할 수 있다. 그러한 다른 유형의 정보는 프로세스 툴 소유자, 설계자, 통합 엔지니어 등과 같은 정보를 포함할 수 있다.In this manner, the systematic defect population and the random defect population can be handled independently (e.g., the systematic defect population and the random defect population can be sampled separately). Different populations or other information about systematic and random defects may be used to produce individual results for systematic defects and random defects. For example, systematic defects and random defects can be shown in different bar graphs, or different graphs or textual representations, that can be automatically processed and / or used by the user. After defect sampling for review, some of the systematic defects, and optionally random defects, can be reviewed using a suitable review system (e.g., a relatively high magnification optical review system or SEM). Defect review results can be used to normalize the defect density of both systematic and random defects. The methods and systems described herein provide several advantages to the user. For example, the method and system provide sufficient baseline yield enhancement, better excursion detection, improved review system efficiency, more effective root cause detection, and improved knowledge retention. In addition, the results of the embodiments described herein may include various other types of information useful to the resulting consumer (e.g., a customer of the device manufacturer). Such other types of information may include information such as process tool owners, designers, integration engineers, and the like.

또한, 90nm 설계 룰에서 수율 손실의 50% 이상이 체계적 이슈에 의해 야기되는 것으로 추정되고 있다. 그와 같이, 체계적 수율 이슈는 90nm 설계 룰에서 현저하고, 90nm 이하의 설계 룰에서는 지배적이다. 따라서, 여기에 기술한 바와 같이 체계적 결함을 뉴슨스 결함 및 랜덤 결함으로부터 분리하는 것은 체계적 이슈의 더 나은 평가, 분석, 및 제어를 허용한다. 또한, 체계적 결함의 위치는 설계 데이터 내의 기능 블록의 위치에 비교될 수 있다. 이러한 방식에서, 체계적 결함은 1 이상의 기능 블록에 상호 연관지어지고, 이 정보는 S/N을 향상하는데 사용할 수 있다. 특히, 상기 방법은 S/N을 향상하기 위해 결함이 위치되는 기능 블록에 기초하여 결함을 분리하는 단계를 포함할 수 있다. 유사한 방식에서, 상기 방법은 설계 데이터가 설계에 의해 조직화되는 계층적 셀에 기초하여 결함을 분리하는 단계를 포함할 수 있다. 따라서, S/N을 향상시키기 위해, 그룹으로 비닝된 결함 및/또는 DBC가 할당된 결함은 결함이 위치하는(예컨대, 메모리 또는 로직) 기능 블록(또는 임의의 계층 레벨)에 기초하여 분리될 수 있다. 여기에 기술한 실시예에서 사용된 설계 데이터의 부분은 임의의 셀 구조 또는 셀의 계층에 대응할 수 있다.It is also estimated that more than 50% of the yield loss in the 90nm design rule is caused by systematic issues. Likewise, systematic yield issues are significant in the 90nm design rule, and dominant in the 90nm design rule. Thus, separating systematic defects from Newson defects and random defects as described herein allows for better evaluation, analysis, and control of systematic issues. In addition, the location of systematic defects can be compared to the location of functional blocks in the design data. In this way, systematic defects are correlated to one or more functional blocks, and this information can be used to improve S / N. In particular, the method may include separating defects based on functional blocks in which defects are located to improve S / N. In a similar manner, the method may include isolating defects based on hierarchical cells in which the design data is organized by design. Thus, in order to improve the S / N, defects that are grouped and / or DBC allocated can be separated based on functional blocks (or any hierarchical level) where the defects are located (e.g., memory or logic) have. The portion of the design data used in the embodiments described herein may correspond to any cell structure or hierarchy of cells.

기능 블록당 결함의 퍼센티지는 여기에 기술한 방법에 의해 결정할 수 있다. 이러한 방식에서, 설계 이슈를 포함하는 기능 블록은 각각의 기능 블록에서 검출된 및/또는 기능 블록에 대응하는 그룹으로 비닝된 결함의 퍼센티지에 기초하여 식별될 수 있다. 기능 블록에 위치하는 결함에 대한 추가적인 정보는 각 블록 내의 설계 이슈를 식별하기 위해 사용할 수 있다. 상기 정보는 보정에 의해 얼마나 많은 결함이 제거될 수 있는지에 기초하여 보정을 위한 설계 이슈를 선택 및/또는 우선순위화 하는데 사용될 수 있다. 예컨대, 결함의 약 70%가 설계의 4개의 상이한 기능 블록 내의 4개의 설계 이슈에 의해 야기된다고 결정되면, 보정을 위해 이들 4개의 설계 이슈만이 선택될 수 있거나, 이들 4개의 설계 이슈는 임의의 다른 것이 보정(예컨대, 설계 이슈에 의해 야기된 결함의 수 또는 퍼센티지에 기초하여 설계 이슈를 우선순위화 하고)되기 전에 보정을 위해 선택될 수 있다. 유저(예컨대, 칩 설계자)는 사용할 셀 설계를 선택할 수 있고, 이력적으로 더 적은 체계적 결함을 보이는 셀 설계를 선택할 수 있으며, 셀 설계에 관한 그러한 정보는 여기에 기술한 실시예를 사용하여 생성할 수 있다.The percentage of defects per functional block can be determined by the method described herein. In this way, functional blocks including design issues can be identified based on the percentage of defects detected in each functional block and / or grouped into groups corresponding to the functional blocks. Additional information about the defects located in the functional blocks can be used to identify design issues within each block. The information can be used to select and / or prioritize design issues for correction based on how many defects can be eliminated by correction. For example, if it is determined that about 70% of the defects are caused by four design issues in four different functional blocks of the design, only these four design issues may be selected for correction, or these four design issues may be arbitrary The others may be selected for correction before being corrected (e.g., prioritizing design issues based on the number or percentage of defects caused by design issues). A user (e.g., a chip designer) can select a cell design to use, select a cell design that exhibits historically less systematic defects, and such information about the cell design can be generated using the embodiments described herein .

다른 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화 하는 단계와, 그 우선순위화 단계의 결과에 기초하여 1 이상의 POI 중의 적어도 하나를 최적화하는 단계를 포함한다. 그러한 일 실시예에서, POI는 POI에서 검출된 결함의 수에 기초하여 우선순위화될 수 있다. 각 POI에서 검출된 결함의 수는 예컨대, POI 또는 POI의 1 이상의 속성을 그룹에 대응하는 설계 데이터 부분에 비교하고, POI(또는 POI의 속성)에 적어도 유사한 설계 데이터의 부분(또는 설계 데이터의 부분의 1 이상의 속성)에 대응하는 그룹 내의 결함의 수를 POI에 할당함으로써, 비닝 단계의 결과로부터 결정할 수 있다. 이러한 방식에서, 가장 많은 수의 결함이 검출된 POI에는 가장 높은 우선순위가 할당되고, 다음으로 가장 많은 수의 결함이 검출된 POI에는 다음으로 높은 우선순위가 할당된다.In another embodiment, the method includes prioritizing one or more POIs in the design data and optimizing at least one of the one or more POIs based on the results of the prioritizing step. In one such embodiment, the POI may be prioritized based on the number of defects detected in the POI. The number of defects detected in each POI can be determined, for example, by comparing at least one attribute of the POI or POI to a design data portion corresponding to the group and comparing at least a portion of the design data (or a portion of the design data By assigning to the POI the number of defects in the group corresponding to the number of defects in the group corresponding to the number of defects in the group. In this way, the POI with the largest number of defects detected is assigned the highest priority, and the POI with the next largest number of defects detected is assigned the next highest priority.

다른 실시예에서, 상기 방법은 수율 최적화를 위해 1 이상의 체계적 결함 유형을 우선순위화 하는 단계를 포함할 수 있다(예컨대, 프로세스 매개변수, 설계, OPC 등, 또는 그것의 조합을 변경함으로써). 그러한 일 실시예에서, 체계적 결함 유형은 POI 또는 POI 그룹으로서 분류할 수 있고, POI는 POI 상에서 또는 그 근방에서 검출된 결함의 수에 기초하여 우선순위화될 수 있다. 우선순위는 체계적 결함을 우선순위화 하기 위해, POI에서 검출된 체계적 결함의 임계, 설계 내의 POI의 빈도, 및 프로세스 변동에 대한 POI의 감지도를 사용하여 더욱 강화될 수 있다.In another embodiment, the method may include prioritizing one or more systematic fault types (e.g., by changing process parameters, design, OPC, etc., or a combination thereof) for yield optimization. In one such embodiment, the systematic fault type can be classified as a POI or POI group, and the POI can be prioritized based on the number of defects detected on or near the POI. The priorities can be further enhanced using the threshold of systematic faults detected at the POI, the frequency of the POIs in the design, and the degree of detection of the POIs for process variations, in order to prioritize systematic faults.

또한(또는, 대안적으로), POI는 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과 또는 그것의 임의의 조합에 기초하여 우선순위화될 수 있다. 예컨대, POI의 우선순위화 하는 단계는 POI에서 검출된 1 이상의 결함에 대한 결함 임계 인덱스(DCI)를 결정하는 단계와, 1 이상의 결함에 대한 DCI에 기초하여 POI를 우선화하는 단계를 포함할 수 있다. DCI는 여기에 추가로 기술하는 바와 같이 본 실시예에서 결정할 수 있다. 다른 예에서, POI를 우선순위화 하는 단계는 POI 내에서 검출된 1 이상의 결함에 대한 KP 값을 결정하는 단계와, 1 이상의 결함에 대한 KP 값에 기초하여 POI를 우선순위화 하는 단계를 포함한다. 또 다른 예에서, POI는 POI 내 또는 그것 가까이서 검출된 결함의 수와 POI 내 또는 그것 가까이서 검출된 1 이상의 결함에 대한 DCI에 기초하여 우선순위화될 수 있다. 이러한 방식에서, POI의 우선순위화는 높은 결함을 갖는 POI에 높은 우선순위가 할당되도록 POI에 의해 나타내진 결함에 기초하여 POI를 우선순위화 하는 단계를 포함할 수 있다.In addition (or alternatively), the POI may be prioritized based on any other result of any of the steps described herein, or any combination thereof. For example, prioritizing the POI may include determining a defect threshold index (DCI) for one or more defects detected in the POI and prioritizing the POI based on the DCI for the one or more defects have. The DCI may be determined in this embodiment as further described herein. In another example, prioritizing the POI includes determining a KP value for one or more defects detected in the POI and prioritizing the POI based on the KP value for the one or more defects . In another example, the POI may be prioritized based on the number of defects detected in or near the POI and the DCI for one or more defects detected in or near the POI. In this manner, prioritization of the POI may include prioritizing the POI based on the defect indicated by the POI so that a high priority POI is assigned a higher priority.

또한, POI는 가능하게는 여기에 기술한 다른 결과와 조합하여 POI의 1 이상의 속성에 기초하여 식별 및/또는 우선순위화될 수 있다. POI의 1 이상의 속성은 예컨대, POI 내의 특징의 치수, POI 내의 특징의 밀도, POI에 포함된 특징의 유형, 설계 내의 POI의 위치, 결함에 대한 POI의 수율 영향의 민감성 등, 또는 이들의 일부 조합을 포함할 수 있다. 그러한 일 예에서, 결함에 의한 수율 영향에 더욱 민감한 POI에는 수율에 대한 결함의 영향에 덜 민감한 POI보다 더 높은 우선순위가 할당될 수 있다.In addition, the POI may be identified and / or prioritized based on one or more attributes of the POI, possibly in combination with other results described herein. The one or more attributes of the POI may include, for example, the dimensions of the features in the POI, the density of the features in the POI, the type of feature contained in the POI, the location of the POI in the design, the sensitivity of the yield impact of the POI to the defect, . ≪ / RTI > In such an example, a POI that is more sensitive to yield impact due to defects may be assigned a higher priority than a POI that is less sensitive to the impact of defects on yield.

또한, POI는 가능하게는 POI의 1 이상의 속성 및/또는 여기에 기술한 다른 결과와 조합하여 설계의 1 이상의 어트리뷰티에 기초하여 우선순위화될 수 있다. 설계의 1 이상의 속성은 용장도, 전기 접속성, 전기 속성 등, 또는 이들의 일부 조합을 포함할 수 있다. 특히, 설계 데이터 내의 셀은 셀 내에 포함된 패턴 이상의 콘텍스트를 가질 수 있다. 그러한 콘텍스트는 예컨대, 셀의 계층, 용장도 등을 포함할 수 있다. 따라서, 여기에 기술한 실시예에 사용된 1 이상의 속성은, 설계 데이터 스페이스 내의 POI의 위치 및/또는 POI의 설계 데이터에 기초하여 결정할 수 있는, POI가 위치되는 셀의 콘텍스트를 포함할 수 있다(설계 데이터가 설계 데이터 내의 셀에 특정적인 경우). 그러한 일 예에서, 설계 데이터 내에서 용장적이지 않은 POI(비-어레이)에는 용장적인 POI(예컨대, 어레이)보다 높은 우선순위가 할당될 수 있다. POI는 셀(예컨대, 라우팅 또는 용장 비어) 사이의 연결 용장도에 기초하여 우선순위화될 수 있다. 설계의 그러한 콘텍스트는 당업계에 알려진 임의의 방식으로 취득 및/또는 결정될 수 있다.In addition, the POI may be prioritized based on one or more attributes of the design, possibly in combination with one or more attributes of the POI and / or other results described herein. One or more attributes of the design may include redundancy, electrical connectivity, electrical properties, etc., or some combination thereof. In particular, a cell in the design data may have a context that is more than a pattern contained within the cell. Such a context may include, for example, a hierarchy of cells, redundancy, and the like. Thus, the one or more attributes used in the embodiments described herein may include the context of the cell in which the POI is located, which may be determined based on the location of the POI in the design data space and / or the design data of the POI If the design data is specific to a cell in the design data). In such an example, a non-redundant POI (non-array) in the design data may be assigned a higher priority than a redundant POI (e.g., array). The POI may be prioritized based on the link redundancy between cells (e.g., routing or redundancy vias). Such a context of the design may be obtained and / or determined in any manner known in the art.

상기 우선순위화 단계의 결과에 기초하여 POI의 적어도 하나를 최적화하는 단계는 POI의 특징의 치수, POI의 특징의 밀도 등, 또는 그것의 임의의 조합과 같은 임의의 1 이상의 속성을 변경하는 단계를 포함할 수 있다. POI의 1 이상의 속성은 POI에 대응하는 설계 데이터를 변경함으로써 변경할 수 있다. 바람직하게는, POI는 POI의 결함(예컨대, POI 내에서 검출된 결함의 수)을 감소시키기 위해, POI 내에서 검출된 결함의 1 이상의 속성(예컨대, DCI, KP 등)를 변경하기 위해, 및/또는 POI가 포함되는 장치의 수율을 증가시키기 위해 변경된다. 또한, 우선순위화 단계에 의해 결정된 바와 같이 낮은 우선순위를 갖는 POI이전에, 우선순위화 단계에 의해 결정되는 바와 같이 더 높은 우선순위를 갖는 POI가 변경 및 최적화될 수 있다. 이러한 방식에서, 가장 높은 결함 및/또는 수율에 대한 영향이 가장 큰 결함은 낮은 결함 및/또는 수율에 대한 영향이 작은 결함 이전에, 변경 및/또는 최적화될 수 있다. 그와 같이, 최적화 단계의 결과는 수율에 가장 큰 개선을 나타내기 위해서 어느 POI가 변경 및/또는 최적화될 수 있는지를 지시하고, POI는 다른 POI 이전에 변경 및/또는 최적화될 수 있다.Wherein optimizing at least one of the POI based on the result of the prioritizing step includes altering any one or more of the attributes, such as the dimensions of the features of the POI, the density of the features of the POI, or any combination thereof . One or more attributes of the POI may be changed by changing the design data corresponding to the POI. Preferably, the POI is used to alter one or more attributes (e.g., DCI, KP, etc.) of the detected defect in the POI to reduce POI defects (e.g., the number of defects detected in the POI) / RTI > and / or < RTI ID = 0.0 > POI. ≪ / RTI > In addition, prior to the POI having a lower priority as determined by the prioritizing step, the POI having a higher priority as determined by the prioritizing step can be changed and optimized. In this way, the defects with the greatest impact on defects and / or yields can be altered and / or optimized before defects with low defects and / or yields are small. As such, the result of the optimization step indicates which POI can be changed and / or optimized to show the greatest improvement in yield, and the POI can be changed and / or optimized prior to another POI.

따라서, 어느 POI가 수율에 대하여 가장 큰 영향을 갖는지에 관한 시기적절한 안내 없이, 설계 데이터 및/또는 제조 프로세스에 이루어진 변경이 지연되어, 수율에 있어서의 개선이 느리고 시장 출하시간이 증가하는, 이전에 사용된 방법 및 시스템보다 본 실시예가 유리하다. 또한, 이러한 단계에서 변경된 POI가 여기에 기술한 실시예에서 비닝된 결함의 검출 이전에 웨이퍼 상에 인쇄된 설계 내에 포함된 POI만을 포함할지라도, POI를 최적화하기 위해 변경된 POI는 1 이상의 설계에 포함된 POI를 포함할 수 있다. 예컨대, 1 이상의 설계 데이터가 우선순위화 및/또는 여기에 기술한 방법의 임의의 다른 결과에 기초하여 POI를 포함하는 경우, 다른 설계 내의 POI가 변경되고 최적화될 수 있어서, 각각의 상이한 설계로 제조된 장치의 수율을 증가시킨다.Thus, without timely guidance as to which POI has the greatest effect on yield, the design data and / or changes made to the manufacturing process are delayed, leading to slower improvements in yield and increased time to market The present embodiment is advantageous over the methods and systems used. In addition, although the POI changed at this stage includes only the POIs contained within the design printed on the wafer prior to the detection of the binned defect in the embodiments described herein, the modified POI is included in one or more designs to optimize the POI Gt; POI < / RTI > For example, if one or more design data includes a POI based on prioritization and / or any other result of the methods described herein, then the POI in the other design can be modified and optimized so that each different design Thereby increasing the yield of the device.

추가의 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여 1 이상의 POI의 1 이상의 RET 특징을 최적화하는 단계를 포함한다. 본 실시예에서의 POI 우선순위화 단계는 전술한 바와 같이 수행될 수 있다. 이러한 단계에서 최적화된 RET 특징은 설계에 포함된 임의의 RET 특징(예컨대, OPC 특징)을 포함할 수 있다. 우선순위화 단계의 결과에 기초하여 1 이상의 POI의 1 이상의 RET 특징을 최적화하는 단계는 RET의 1 이상의 속성(예컨대, RET 특징의 치수, RET 특징의 형상, POI 내의 특징에 대한 RET 특징의 위치 등)를 변경하는 단계를 포함할 수 있다. 이러한 단계에서 변경되는 RET 특징의 1 이상의 속성은 POI 내의 결함을 감소시키고 및/또는 수율을 증가시킬 RET 특징의 임의의 속성을 바람직하게 포함한다.In a further embodiment, the method includes prioritizing one or more POIs in the design data and optimizing one or more RET features of the one or more POIs based on the results of the prioritizing step. The POI prioritizing step in this embodiment can be performed as described above. The optimized RET feature at this stage may include any RET feature (e.g., OPC feature) included in the design. The step of optimizing one or more RET features of the one or more POIs based on the results of the prioritizing step may include optimizing one or more RET features of the one or more POIs based on one or more attributes of the RET (e.g., dimensions of the RET feature, shape of the RET feature, ). ≪ / RTI > One or more attributes of the RET feature that are modified at this stage preferably include any attributes of the RET feature that will reduce defects in the POI and / or increase the yield.

또한, 본 실시예에서의 우선순위화 단계의 결과에 기초하여 1 이상의 RET 특징을 최적화하는 단계는 다른 POI에 대한 RET 특징을 최적화하기 이전에, 가장 높은 우선순위를 갖도록 결정된 POI에 대한 RET 특징을 최적화하는 단계를 포함할 수 있다. 이러한 방식에서, 가장 높은 우선순위를 갖는 POI의 RET 특징은 낮은 우선순위를 갖는 POI의 RET 특징이 변경되기 이전에 변경될 수 있다. 이러한 방식에서, 가장 큰 결함 및/또는 수율에 영향이 가장 큰 결함을 보이는 POI의 RET 특징은 낮은 결함 및/또는 수율에 대한 영향이 작은 결함을 보이는 POI의 RET 특징이전에 변경 및/또는 최적화될 수 있다. 그와 같이, 우선순위화 단계의 결과는 수율에 가장 큰 개선을 나타내도록 어느 POI가 변경 및/또는 최적화될 수 있는지를 지시하며, POI의 RET 특징은 다른 POI의 RET 특징 이전에 변경 및/또는 최적화될 수 있다.In addition, optimizing the one or more RET features based on the results of the prioritizing step in the present embodiment may further include, prior to optimizing the RET feature for the other POI, the RET feature for the POI determined to have the highest priority And < / RTI > In this way, the RET feature of the POI with the highest priority can be changed before the RET feature of the POI with the lower priority is changed. In this manner, the RET feature of the POI that exhibits the greatest defect and / or the largest defect impact on the yield is modified and / or optimized prior to the RET feature of the POI where the defect and / . As such, the result of the prioritization step indicates which POI can be changed and / or optimized to exhibit the greatest improvement in yield, and the RET feature of the POI may be changed and / or modified prior to the RET feature of the other POI Can be optimized.

따라서, 어느 POI가 수율에 대하여 가장 큰 영향을 갖는지에 관한 시기적절한 안내 없이, 설계에 대한 변경이 지연되어, 수율에 있어서의 개선이 느리고 시장 출하시간이 증가하는, 이전에 사용된 방법 및 시스템보다 본 실시예가 유리하다. 또한, 이러한 단계에서 변경된 POI의 RET 특징이 여기에 기술한 실시예에서 비닝된 결함의 검출 이전에 웨이퍼 상에 인쇄된 설계 내에 포함된 POI의 RET 특징만을 포함할지라도, 변경 및/또는 최적화된 POI의 RET 특징은 1 이상의 설계에 포함된 POI의 RET 특징을 포함할 수 있다. 예컨대, 1 이상의 설계 데이터가 우선순위화 및/또는 여기에 기술한 방법의 임의의 다른 결과에 기초하여 동일한 RET 특징을 갖는 POI를 포함하는 경우, 다른 설계 내의 POI의 RET 특징이 변경되고 최적화될 수 있어서, 각각의 상이한 설계로 제조된 장치의 수율을 증가시킨다.Thus, without timely guidance as to which POI has the greatest effect on the yield, the change to the design is delayed, the improvement in yield is slower and the time to market is increased, This embodiment is advantageous. Also, even though the RET feature of the modified POI at this stage includes only the RET feature of the POI included in the design printed on the wafer prior to the detection of the binned defect in the embodiments described herein, the modified and / or optimized POI The RET feature of the POI may include the RET feature of the POI included in one or more designs. For example, if one or more design data includes a POI having the same RET feature based on prioritization and / or any other result of the method described herein, the RET feature of the POI in the other design may be changed and optimized Thereby increasing the yield of the device manufactured with each of the different designs.

일부 실시예에서, 상기 방법은 결함 위치에 관한 설계 데이터를 사용하여 제조되는 장치의 전기적 특징을 모델링하는 단계와, 그 모델링 결과에 기초하여 결함 위치에서의 결함의 매개변수 관련성을 결정하는 단계를 포함한다. 이러한 방식에서, 모델링 단계의 결과는 결함의 매개변수 관련성을 결정하는데 사용할 수 있다. 예컨대, 모델링 단계의 결과는 설계를 사용하여 제조되고 있는 장치의 1 이상의 전기적 매개변수를 결함이 어떻게 변경시키는지를 결정하는데 사용될 수 있다. 전술한 바와 같이 매개변수 관련성이 결정된 결함은 체계적 결함일 수 있다. 매개변수 관련성은 여기에 기술한 방법의 임의의 단계에서 사용될 수 있다. 예컨대, 가능하게는 여기에 기술한 다른 정보(예컨대, 1 이상의 결함 속성, 설계 데이터의 1 이상의 속성 등)와 조합하여, 매개변수 관련성은 전술한 바와 같이 결함의 DCI를 결정하고, POI를 우선순위화하는 등에 사용할 수 있다.In some embodiments, the method includes modeling the electrical characteristics of the device fabricated using design data regarding the defective location, and determining the parameter relevance of the defects at the defective location based on the modeling result do. In this way, the result of the modeling step can be used to determine the parameter relevance of the defect. For example, the result of the modeling step can be used to determine how a defect changes one or more electrical parameters of a device being manufactured using the design. The defects for which the parameter relevance is determined as described above may be systematic defects. The parameter relevance can be used at any stage of the method described herein. For example, in combination with possibly other information described herein (e.g., one or more defect attributes, one or more attributes of design data, etc.), the parameter relevance may be determined by determining the DCI of the defect as described above, It is possible to use it for making.

본 실시예에서 장치의 전기적 특징을 모델링하는 단계는 당업계에서 알려진 임의의 적합한 방법을 사용하여 수행할 수 있다. 모델링된 장치의 전기적 특징은 장치의 임의의 1 이상의 전기적 특징을 포함할 수 있다. 결함의 매개변수 관련성은 모델링된 전기적 특징 및 설계된 전기적 특징을 사용하여 결정할 수 있다. 예컨대, 결함이 전기적 특징을 변경하는 정도를 결정하기 위해 모델링된 전기적 특징은 설계된 전기적 특징과 비교될 수 있다. 매개변수 관련성은 결함이 전기적 특징을 변경하는 정도에 기초하여 결정될 수 있다(예컨대, 전기적 특징을 큰 정도로 변경하는 결함은 전기적 특성을 적은 정도로 변경하는 결함보다 더욱 매개변수적으로 관련적이다). 매개변수 관련성은 모델링된 전기적 특징 및 장치의 적합한 전기적 특징의 범위를 사용하여 유사한 방식으로 결정할 수 있다. 예컨대, 모델링된 전기적 특징은 그 범위와 비교될 수 있고, 모델링된 전기적 특징이 그 범위 내에 있거나 그 범위 밖에 있는지가 매개변수 관련성을 결정하는데 사용될 수 있다. 그러한 일 예에서, 모델링된 전기적 특징이 허용가능한 범위 근처 또는 그 범위 밖에 있는 경우, 모델링된 특징이 허용가능한 범위 내에 있는 경우보다 결함이 더욱 매개변수적으로 관련적이다고 판정될 수 있다. 매개변수 관련성은 시뮬레이션, 광학적 검사 결사, 결함 리뷰 결과, 전기 테스트 결과, 또는 이들의 일부 조합을 비한정적으로 포함하는 복수의 상이한 소스로부터의 정보에 적어도 부분적으로 기초하여 결정될 수 있다.In this embodiment, the step of modeling the electrical characteristics of the device may be performed using any suitable method known in the art. The electrical characteristics of the modeled device may include any one or more electrical characteristics of the device. The parameter relevance of defects can be determined using modeled electrical characteristics and designed electrical characteristics. For example, an electrical feature modeled to determine the degree to which a defect modifies an electrical feature may be compared to a designed electrical feature. The parameter relevance can be determined based on the degree to which the defect alters the electrical characteristic (e.g., a defect that alters the electrical characteristic to a large extent is more parametrically relevant than a defect that alters the electrical characteristic to a minor extent). The parameter relevance can be determined in a similar manner using the modeled electrical characteristics and the range of suitable electrical characteristics of the device. For example, the modeled electrical characteristic can be compared to its range, and whether the modeled electrical characteristic is within or outside the range can be used to determine parameter relevance. In such an example, if the modeled electrical characteristic is near or within an acceptable range, it can be determined that the defect is more parametrically relevant than if the modeled feature is within an acceptable range. The parameter relevance may be determined based at least in part on information from a plurality of different sources, including, but not limited to, simulations, optical inspection associations, defect review results, electrical test results, or some combination thereof.

일 실시예에서, 상기 방법은 체계적 결함 및 잠재적인 체계적 결함에 대해 결정되거나 그것과 관련된 매개변수 관련성에 기초하여 체계적인 결함 또는 잠재적인 체계적 결함에 우선순위를 할당하는 단계를 포함한다. 예컨대, 핫 스팟의 우선순위 또는 중점화는 매개변수 관련성에 기초하여 랭크(rank)될 수 있다. 매개변수 관련성은 핫 스팟에서의 결함이 어떻게 또는 얼마나 많은 결함이 장치의 전기적 매개변수에 영향을 미칠 것인지를 규정할 수 있다.In one embodiment, the method includes assigning priorities to systematic defects or potential systematic defects based on systematic defects and parameter relevance determined or related to potential systematic defects. For example, the priority or focus of the hot spot may be ranked based on the parameter relevance. The parameter relevance can dictate how defects in a hot spot will affect the electrical parameters of the device, or how many defects.

매개변수 관련성은 장치에 대하여 매개변수 이슈(예컨대, 수율 손실)를 더욱 야기할 것 같은 결함을 분리하거나 우선순위화하는데 사용될 수 있다. 예컨대, 전기 테스트 결과 또는 저항, 커패시턴스, 타이밍 등과 같은 장치의 전기적 특성에 관한 다른 정보를, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성 및/또는 결함의 1 이상의 속성과 조합하여 사용하여, 어느 결함이 장치의 전기적 특성에 영향을 미치고 어느 결함이 영향을 미치지 않을지를 결정할 수 있다. 전기 테스트 결과 또는 전기적 특성에 관한 다른 정보는 상기 방법을 사용하여 결정되거나(예컨대, 시뮬레이션을 사용하여), 다른 소스(예컨대, 네트 리스트 정보)로부터 취득될 수 있다. 이러한 방식에서, 매개변수 이슈를 더욱 야기할 것 같은 결함은 매개변수 이슈를 덜 야기할 것 같은 또는 야기하지 않을 것 같은 결함으로부터 분리할 수 있다. 그와 같이, 장치의 구조 구성 또는 재료 속성에만 영향을 미치는 결함은, 그 장치가 의도한 목적에 따라 작동할 수 있는지에 영향을 미치는 결함으로부터 분리될 수 있다. 또한, 설계 데이터의 1 이상의 속성 및/또는 결함의 1 이상의 속성과 조합하여, 전기 테스트 결과 또는 장치의 전기적 특성에 관한 다른 정보는, 전기적 결함을 주요한 매개변수 결함(예컨대, 장치의 전기적 특성에 현저하게 영향을 줄 수 있는 전기적 결함)과 주요하지 않은 매개변수 결함(예컨대, 장치의 전기적 특성에 현저하게 영향을 주지 않는 전기적 결함)으로 분리하는데 사용할 수 있다.The parameter relevance can be used to isolate or prioritize defects that may further cause parameter issues (e.g., yield loss) for the device. For example, other information about the electrical characteristics of the device, such as electrical test results or resistance, capacitance, timing, etc., may be combined with one or more attributes of the design data near the location of the defects in the design data space and / So that it is possible to determine which defects affect the electrical characteristics of the device and which defects do not. Other information regarding electrical test results or electrical characteristics may be determined using the method (e.g., using simulations) or may be obtained from other sources (e.g., netlist information). In this way, defects that are more likely to cause parameter issues can be separated from defects that are less likely to cause or will not cause parameter issues. As such, defects that affect only the structural configuration or material properties of the device can be separated from defects that affect whether the device can operate according to its intended purpose. Further, in combination with one or more attributes of the design data and / or one or more attributes of the defects, the electrical test results or other information relating to the electrical characteristics of the device may be used to identify electrical defects as major parameter defects (e.g., (E. G., Electrical defects that can affect the electrical characteristics of the device) and non-critical parameter defects (e. G., Electrical defects that do not significantly affect the electrical characteristics of the device).

일부 실시예에서, 본 방법은 결함(예컨대, 1 이상의 결함)에 대해 DCI를 결정하는 단계를 포함한다. DCI는 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 결정할 수 있다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 이들의 일부 조합은 설계 기반 잠재적 수율 영향을 결정하는데 사용될 수 있고, 이에 의해 결함 데이터의 값을 증가시킬 수 있다. 특정 일 예에서, DCI는 결함이 전기적 오류를 야기할 것 같은 가능성을 결정하기 위해 설계 데이터 내의 결함의 위치 및 결함 사이즈를 사용하여 결정할 수 있다. DCI는 결함의 수율 관련성을 지시하는데 사용할 수 있다. 특히, 결함 사이즈는 결함이 다이를 파손(kill)하거나 웨이퍼 상에서 제조되고 있는 장치의 1 이상의 속성을 변경할 가능성을 결정하는데 사용할 수 있다. 예컨대, 결함 사이즈 및 패턴 복잡성이 증가함에 따라, 결함이 다이를 파손하거나 장치의 1 이상의 전기적 속성을 변경할 가능성 또한 증가한다. 따라서, 결함이 다이를 파손하거나 장치의 1 이상의 전기적 속성을 변경할 가능성을 결함 사이즈 및 패턴 복잡성으로 기술하는 관계는 웨이퍼 상에서의 각 결함의 상대적 리스크를 결정하는데 사용할 수 있다. 각 결함의 상대적 리스크는 검사 직후에 결정될 수 있어서, 상대적인 리스크에 기초하여 더 낳을 결정이 이루어지게 허용한다.In some embodiments, the method includes determining a DCI for a defect (e.g., one or more defects). The DCI may be determined based on one or more attributes of the design data near the location of the defects in the design data space, one or more attributes of the defects, or some combination thereof. For example, one or more attributes of the design data near the location of the defects in the design data space, one or more attributes of the defects, or some combination thereof may be used to determine the design-based potential yield impact, thereby increasing the value of the defect data . In a particular example, the DCI can be determined using the location and defect size of a defect in the design data to determine the likelihood that the defect will cause an electrical error. DCI can be used to indicate the yield relevance of defects. In particular, the defect size can be used to determine the probability that a defect will kill the die or alter one or more attributes of the device being fabricated on the wafer. For example, as the defect size and pattern complexity increase, the likelihood of a defect breaking the die or changing one or more electrical properties of the device also increases. Thus, the relationship describing the probability of a defect breaking a die or changing the one or more electrical properties of the device in terms of defect size and pattern complexity can be used to determine the relative risk of each defect on the wafer. The relative risk of each defect can be determined immediately after the test, allowing a better decision to be made based on the relative risk.

대안적으로, DCI는 상이한 결함 사이즈 및 가능하게는, 결함에 대해서 DCI를 결정하는데 사용될 수 있는, 결함의 상이한 유형(가능하게는 전체 다이에 걸쳐)에 대하여 결함이 다이를 파손하거나, 1 이상의 전기적 속성을 변경할 가능성을 결정하는 단계를 포함하는 통계적 방법을 사용하여 결정할 수 있다. 예컨대, 일 실시예에서, 상기 방법은, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성(예컨대, 결함 사이즈), 결함을 검출하는데 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 비정확성, 또는 이들의 일부 조합에 기초하여, 설계 데이터에 대해 제조된 장치에서 1 이상의 결함이 1 이상의 전기적 오류를 야기할(또는 장치의 1 이상의 전기적 속성을 변경하여 매개변수적 전기적 이슈) 확률을 결정하는 단계와, 그 확률에 기초하여 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 그 확률은 당업계에 알려진 임의의 적합한 통계적 방법을 사용하여 이러한 방식으로 결정할 수 있다.Alternatively, the DCI may have a defect for the different types of defects and possibly different types of defects (possibly across the entire die), which may be used to determine the DCI for the defect, And determining the likelihood of changing the attribute. For example, in one embodiment, the method may include one or more attributes of the design data near the location of the defect in the design data space, one or more attributes of the defect (e.g., defect size) Based on the location of the defects detected, the co-ordination inaccuracies of the inspection system, or some combination thereof, one or more defects in the fabricated device may cause one or more electrical failures (or alter one or more electrical properties of the device) Determining a DCI for one or more defects based on the probability; and determining a DCI for one or more defects based on the probability. The probability can be determined in this manner using any suitable statistical method known in the art.

결함에 대한 DCI는, 리뷰를 위해 결함을 선택하는 샘플링과 같이, 여기에 기술한 실시예에서 다수의 방식으로 결정할 수 있다. 특히, 각각의 결함 범주 또는 결함의 그룹에 대해, DCI는 공통적으로 분류된 결함 또는 공통적으로 비닝된 결함의 랜덤 샘플링을 수행하는 대신에, 동일한 범주를 갖거나 동일한 그룹으로 비닝된 결함을 샘플링하기 위해 사용할 수 있다. 샘플링을 위해 DCI를 사용함으로써, DCI의 분포는 어느 결함이 다이를 파손하거나 1 이상의 전기적 특성을 변경할 확률이 높은지를 결정하는데 사용할 수 있으며, 다이를 파손하거나 1 이상의 전기적 속성을 변경할 확률이 높은 결함을 더 중점적으로 샘플링할 수 있다. 그와 같이, 수율에 영향을 더 미칠 것 같은 결함은 리뷰를 위해 더욱 중점적으로 샘플링될 수 있고, 따라서, 이는 수율에 더욱 영향을 미칠 것 같은 결함을 식별 및 분류하는데 특히 유용한 결함 리뷰 결과를 생성할 수 있다. DCI는 잠재적으로 체계적이고 체계적인 결함뿐만 아니라, 랜덤 결함을 샘플링하는데 사용할 수 있다.The DCI for defects can be determined in a number of ways in the embodiments described herein, such as sampling to select defects for review. Specifically, for each defect category or group of defects, instead of performing random sampling of commonly classified defects or commonly-borne defects, the DCI may be used to sample defects that have the same category or are grouped into the same group Can be used. By using DCI for sampling, the distribution of DCI can be used to determine which defect is most likely to break a die or change one or more electrical properties, and can be used to determine whether a defect that is likely to break a die or alter one or more electrical properties You can sample more intensively. As such, defects that are more likely to affect the yield can be sampled more intensively for review, and thus generate defect review results that are particularly useful for identifying and classifying defects that are more likely to affect yield . DCI can be used to sample random faults as well as potentially systematic and systematic faults.

일부 실시예에서, 상기 방법은 전기적 오류 밀도 맵 상의 고밀도 영역을 결정하는 단계를 포함한다. 오류 밀도 맵은 오류 테스트 체인 또는 오류 플립-플롭(스캔 기반 테스트 유형인 구조적 테스트에 의해 검출됨)의 "로직 비트맵" 또는 물리적 변환을 생성함으로써 생성할 수 있다. 스캔 기반 테스트에 의해 발견된 모든 오류 라인 또는 영역은 DUT(die under test)의 그래픽 렌더링에서와 같이 도시된다. 용어 "로직 비트맵" 및 "비트맵"은 여기에서 교환가능하게 사용된다. 동일한 층 및 설계의 상이한 다이에 대한 로직 비트맵은 적층(즉, 오버레이)되어 다이의 각 지점에서의 오류의 수를 나타내고, 이에 의해 오류 밀도 맵을 생성한다. 소정 값보다 큰 빈도로 오류 밀도 맵에 출현하는 결함은 체계적 결함이라 간주할 수 있다. 다이 좌표 스페이스 내의 핫 스팟에 가깝게 발견된 결함은 수율 영향 체계적 결함 또는 체계적 후보라고 간주할 수 있다.In some embodiments, the method includes determining a high density area on the electrical error density map. The error density map can be generated by creating a "logical bitmap" or physical translation of an error test chain or an error flip-flop (detected by a structural test that is a scan-based test type). All error lines or areas found by scan-based testing are shown as in the graphic rendering of a die under test (DUT). The terms "logic bitmap" and "bitmap" are used interchangeably herein. Logic bitmaps for different die of the same layer and design are stacked (i.e., overlaid) to indicate the number of errors at each point of the die, thereby creating an error density map. A defect appearing in the error density map with a frequency greater than a predetermined value can be regarded as a systematic defect. Defects found close to hot spots within the die coordinate space can be viewed as yield impact systematic defects or systematic candidates.

일부 실시예에서, 전기 검사 프로세스의 결과(예컨대, 비트맵)를 인라인 검사 결과로부터의 정보를 사용하여 분석하여, 전기적 결함의 원인이 인라인 검사 결과로부터 판정될 수 있는지를 결정할 수 있다. 인라인 검사 결과와 전기 검사 결과를 상호 연관짓기 위해, 상이한 검사 결과가 여기에 기술한 바와 같이 서로 정렬될 수 있다. 또한, 상이한 검사 결과를 설계 데이터에 먼저 정렬한 후, 검사 결과를 서로 정렬할 수 있다. 어느 경우든, 비트맵 결과는 인라인 검사 결과에 오버레이될 수 있다.In some embodiments, the result of the electrical inspection process (e.g., bitmap) may be analyzed using information from the inline inspection results to determine if the cause of the electrical defect can be determined from the inline inspection results. In order to correlate the inline test results with the electrical test results, different test results may be aligned with one another as described herein. In addition, the different inspection results can be sorted first in the design data, and then the inspection results can be aligned with each other. In either case, the bitmap result can be overlaid on the inline check result.

상기 방법은 인라인 검사 데이터 및 설계 데이터에 기초하여 비트맵 내의 전기적 결함의 원인을 결정하는 단계를 포함할 수 있다. 또한, 얼마나 많은 전기적 오류가 물리적 결함에 중첩하는지를 결정하기 위해 다른 오류 유형 및 그것의 후보 위치 또는 패쓰(path)를 분석할 수 있다. 이러한 방식에서, 오류 유형에 대한 히트(hit) 비율은, 그 유형의 오류의 수로 나눈, 보고된 물리적 결함에 대응하는 유형의 오류 수로서 결정될 수 있다. 히트 비율은 오류 유형이 보고된 물리적 결함과 연관되는 경향이 있는지를 결정하기 위해 평가될 수 있다. 또한, 물리적 결함의 인라인 검사 결과 및 히트 비율은 얼마나 많은 동일한 유형의 결함이 전기적 오류에 의해 야기되는지를 결정하는데 사용될 수 있다. 이러한 방식에서, 전기적 오류를 야기한 동일한 유형의 결함의 수는 결함의 수율 중요성의 통계적 예측을 결정하는데 사용될 수 있다.The method may include determining the cause of the electrical defect in the bitmap based on the inline inspection data and the design data. In addition, other error types and their candidate locations or paths can be analyzed to determine how many electrical errors overlap with physical defects. In this manner, the hit ratio for the type of error can be determined as the number of errors of the type corresponding to the reported physical defect, divided by the number of errors of that type. The hit ratio can be evaluated to determine if the error type tends to be associated with the reported physical defect. In addition, the inline test results and hit ratio of physical defects can be used to determine how many of the same type of defects are caused by electrical errors. In this way, the number of defects of the same type that caused electrical errors can be used to determine the statistical prediction of the yield importance of defects.

물리적 결함에 대한 추가적인 정보는 비트 오류의 원인을 결정하는데 사용될 수 있다. 그러한 정보는 비트 오류의 위치에 대응하는 물리적 결함의 이미지, 물리적 결함의 분류 결과, 물리적 결함에 대한 비닝 결과, 또는 이들의 일부 조합을 비한정적으로 포함할 수 있고, 이는 비트 오류가 위치한 전체 다이의 비트맵 이미지, 복수 다이의 비트맵 이미지의 적층(즉, 오버레이)을 보여주는 이미지(예컨대, 다이에 걸친 전기적 오류의 반복성을 보여주기 위해), 비트맵 파레토 다이어그램, 및 비트맵 결과에 대한 상세한 정보(예컨대, 테이블 또는 리스트 내의 데이터)과 같은 비트맵 정보와 조합하여 사용할 수 있다.Additional information about physical faults can be used to determine the cause of bit errors. Such information may include, but is not limited to, images of physical defects corresponding to locations of bit errors, classification results of physical defects, binning results for physical defects, or some combination thereof, (E.g., to show the repeatability of electrical errors across the die), a bitmap Pareto diagram, and detailed information about the bitmap results (e.g., For example, data in a table or a list).

일부 실시예에서, 상기 방법은 결함이 검출되지 않거나, 비-파손적이거나 비-현저한 결함이 검출된 핫 스팟을 식별하기 위해 DTT(defect transition table) 방법을 사용하는 것을 포함할 수 있다. 일반적으로, DTT의 열(row)은 상이한 결함에 대한 검사 결과를 포함하고, DTT의 다른 행(column)은 다른 시간에 수행된 검사에 의해 생성된 검사 결과를 포함한다. 검사 결과는 행에 걸쳐 연대적 순서로 배열할 수 있다. 이러한 방식에서, 테이블은 반도체 제조 공정 중에 어느 결함이 상이한 층에서 재검출되는지를 나타낸다. 테이블은 상이한 층에서 검출된 결함에 관한 추가적 정보를 포함하거나 그것에의 액세스(예컨대, 링크)를 제공할 수 있다. 이러한 방식에서, 결함의 이미지와 같은 추가적 정보는 결함이 상이한 층에서 변경되는지 또는 어떻게 변경되는지를 판정하기 위해 사용될 수 있다.In some embodiments, the method may include using a defect transition table (DTT) method to identify hot spots where no defects are detected or non-breaking or non-significant defects are detected. In general, the rows of the DTT include the results of tests for different defects, and the other columns of the DTT include test results generated by tests performed at different times. Test results can be arranged in chronological order across the rows. In this way, the table shows which defects are redetected in different layers during the semiconductor manufacturing process. The table may contain additional information about defects detected in different layers or may provide access thereto (e.g., links). In this manner, additional information, such as an image of the defect, can be used to determine if the defect is changed in a different layer or how it is altered.

추가적인 실시예에서, 상기 방법은 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 유사한 방식에서, 상기 방법은 1 이상의 그룹에 대응하는 설계 데이터의 1 이상의 속성, 1 이상의 그룹 내의 결함의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 결함의 1 이상의 그룹의 결함에 대한 KP 값을 결정하는 단계를 포함할 수 있다. 체계적 결함에 대한 KP 값은 수율 비율과 같은 체계적 결함의 추가적인 속성을 결정하는데 사용할 수 있다. 또한, KP 값은 여기에 기술한 추가적인 단계를 수행하는데 사용할 수 있다. 예컨대, 체계적 결함에 대한 KP 값은 어느 결함이 리뷰를 위해 선택될지를 결정하기 위해 사용할 수 있다. 특히, 비교적 높은 KP 값을 갖는 체계적 결함이 리뷰를 위해 선택될 수 있다. 또한, 상기 방법은 체계적 결함에 대한 KP 값을 모니터링하는 단계와, KP 값이 소정의 KP 값을 초과하는 경우 출력 신호를 생성하는 단계를 포함할 수 있다. 출력 신호는 자동 리포트, 가시 출력 신호, 가청 출력 신호, 또는 프로세스에의 잠재적 문제를 유저에게 경고하는데 사용할 수 있는 일부 다른 출력 신호일 수 있다. 이러한 방식에서, 출력 신호는 경고 신호일 수 있다.In a further embodiment, the method includes determining a KP value for one or more defects based on one or more attributes of the design data, one or more attributes of the defects, or some combination thereof. In a similar manner, the method may include calculating a KP value for a defect of at least one group of defects based on at least one attribute of the design data corresponding to the at least one group, one or more attributes of the defects in the at least one group, And a step of determining the number The KP value for systematic defects can be used to determine additional attributes of systematic defects such as yield ratios. The KP value can also be used to perform the additional steps described herein. For example, the KP value for systematic defects can be used to determine which defects are to be selected for review. In particular, systematic defects with relatively high KP values can be selected for review. The method may also include monitoring a KP value for systematic defects and generating an output signal when the KP value exceeds a predetermined KP value. The output signal may be an automatic report, a visible output signal, an audible output signal, or some other output signal that can be used to alert the user of a potential problem with the process. In this manner, the output signal may be a warning signal.

여기에 추가로 기술하는 바와 같이, 여기에 기술한 방법 및 시스템의 하나의 이점은 복수의 상이한 소스로부터의 정보가 서로 상호 연관지어지고, 저장되고, 표시되고 및/또는 처리될 수 있다는 것이다. 그러한 정보는 GDS 파일 내의 정보, 웨이퍼에 대하여 수행된 프로세스에 관한 정보(통상적으로 WIP 데이터라 칭할 수 있고, 그것은 팹 제조 실행 시스템(MES) 데이터베이스와 같은 소스로부터 취득될 수 있다), 인라인 검사 결과, 인라인 계측 또는 측정 결과, 전기 테스트 결과, 및 엔드-오브-라인(end-of-line) 수율 정보를 비한정적으로 포함할 수 있다. 그러한 정보는 체계적 결함에 대한 수율 관련 정보를 결정하는데 사용할 수 있다. 또한, 수율 비율 또는 체계적 결함에 대하여 결정된 다른 수율 관련 정보는 수율 관련 콘텍스트를 체계적 결함에 할당하기 위해 사용할 수 있다. 수율 관련 콘텍스트 정보 및 설계 콘텍스트 정보의 양쪽은 체계적 결함에 할당될 수 있다. 일 실시예에서, 설계 콘텍스트에 기초하여 결함을 분류하는 대신에, 체계적 결함은 수율 한정 콘텍스트에 기초하여 분류할 수 있다.As further described herein, one advantage of the methods and systems described herein is that information from a plurality of different sources can be correlated, stored, displayed and / or processed with one another. Such information may include information in the GDS file, information about the process performed on the wafer (commonly referred to as WIP data, which may be obtained from a source such as a fab manufacturing execution system (MES) database) In-line metrology or measurement results, electrical test results, and end-of-line yield information. Such information can be used to determine yield related information on systematic defects. In addition, other yield related information determined for yield ratios or systematic defects can be used to assign yield related contexts to systematic defects. Both yield related context information and design context information can be assigned to systematic defects. In one embodiment, instead of classifying defects based on a design context, systematic defects can be classified based on yield-specific contexts.

여기에 추가로 기술하는 바와 같이, 체계적 결함에 대한 핫 스팟 기반 검사는 체계적 결함 및 그 체계적 결함에 대응하는 설계 콘텍스트를 포함하는 검사 결과를 생성할 수 있다. 이러한 방식에서, 설계 데이터 내의 마진 특징은 식별되고 SPC 애플리케이션을 위해 사용될 수 있다. 예컨대, SPC는 설계 데이터 내의 마진 특징의 위치를 모니터링함으로써 수행될 수 있으며, 이는 프로세스가 프로세스 한계로부터 드리프트 하는 경우 그 특징이 먼저 오류가 될 경향이 있기 때문이다. 따라서, SPC는 설계 내의 모든 특징 대신에 설계 내의 가장 중요한 특징을 포함하는 설계 내의 모든 특징의 서브세트를 모니터링함으로써 더 신속히 수행될 수 있고, 프로세스에서의 변화에 가장 민감한 설계 내의 특징이 SPC 동안에 모니터링되기 때문에 프로세스 내의 드리프트를 더욱 신속히 검출할 수 있다. 유사한 방식에서, 마진 특징 정보는 CD 측정 프로세스와 같은 계측 프로세스를 위한 레시피를 생성하는데 사용할 수 있다. CD 측정 프로세스는 당업계에 알려진 임의의 적합한 CD 측정 프로세스를 포함할 수 있다(예컨대, CDSEM, 스캐터로메트리(scatterometry) CD측정 등). CD 측정 프로세스에 대한 레시피를 생성하는 단계는 프로세스 동안에 CD 측정이 수행될 웨이퍼 상의 위치(예컨대, 마진 특징이 인쇄될)를 결정하는 단계를 포함할 수 있다. 또한, CD 측정이 수행될 웨이퍼 상의 위치에서 취득한 BF 이미지와 같은 웨이퍼의 검사 결과는 레시피에, 또는 측정을 위해 웨이퍼 상의 위치로 이동하는 계측 시스템에 의해 그 결과가 사용될 수 있도록 계측 시스템에 제공될 수 있다.As further described herein, a hot spot based inspection of systematic defects can produce a systematic defect and a test result that includes a design context corresponding to the systematic defect. In this manner, the margin characteristics in the design data can be identified and used for SPC applications. For example, the SPC can be performed by monitoring the position of the margin feature in the design data, as the feature tends to be error first if the process drifts from the process limit. Thus, an SPC can be performed more quickly by monitoring a subset of all the features in the design that include the most important features in the design, instead of every feature in the design, and features in the design that are most sensitive to changes in the process are monitored during the SPC Therefore, the drift in the process can be detected more quickly. In a similar manner, the margin feature information may be used to generate a recipe for the metrology process, such as a CD measurement process. The CD measurement process may include any suitable CD measurement process known in the art (e.g., CDSEM, scatterometry CD measurement, etc.). Creating a recipe for the CD measurement process may include determining a location on the wafer where the CD measurement is to be performed during the process (e.g., the margin feature is to be printed). In addition, the results of inspection of the wafer, such as the BF image acquired at the location on the wafer where the CD measurement is to be performed, can be provided to the metrology system such that the results can be used by the metrology system moving to a recipe or to a location on the wafer for measurement have.

하지만, 테스트 데이터의 부가와 함께, 체계적 결함에 대응하는 설계 부분은 반도체 제조 프로세스의 수율 확률과, 체계적 결함의 KP와 연관지어질 수 있다. 그러한 일 실시예에서, 검사 시스템 또는 여기에 기술한 임의의 다른 시스템은, 각각의 개별 다이가 산출하며, 어느 결함이 수율에 가장 영향을 미칠지의 확률과 같은 체계적 결함에 대한 수율 결과를 생성할 수 있다. 체계적 결함의 KP는 SPC 애플리케이션에 대하여 사용할 수 있다. 예컨대, 각각의 개별 다이가 산출하며, 어느 결함이 수율에 가장 영향을 미칠지의 확률은 SPC 모니터링 애플리케이션 및 리뷰 샘플링을 개선하는데 사용할 수 있다. 이러한 방식에서, SPC는 콘텍스트 기반 수율에 기초하여 수행할 수 있다. 또한, 개선된 SPC 모니터링 및 리뷰 샘플링은 근본 원인 분석 및 베이스라인 감소를 개선할 수 있다.However, with the addition of test data, the design portion corresponding to a systematic defect can be associated with the yield probability of the semiconductor manufacturing process and the KP of the systematic defect. In such an embodiment, the inspection system or any other system described herein can produce yield results for systematic defects, such as the probability of each defect having the greatest effect on the yield, have. A systematic defect KP can be used for SPC applications. For example, the probability of each defect having the greatest impact on yield may be used to improve SPC monitoring application and review sampling. In this manner, the SPC can be performed based on the context-based yield. In addition, improved SPC monitoring and review sampling can improve root cause analysis and baseline reduction.

추가의 실시예에서, 상기 방법은 시간에 걸쳐 결함의 그룹에 대한 KP 값을 모니터링하는 단계와, 그 검사의 결과에 기초하여 결함 그룹의 중요성을 결정하는 단계를 포함한다. 예컨대, 시간에 걸쳐, KP 값이 계속 갱신됨에 따라, 낮은 KP 값을 갖는 핫 스팟은 제거되거나, 조정적 핫 스팟, 위크 스폿, 또는 콜드 스폿에 대하여 다운드레이드될 수 있다. 이러한 방식에서, 식별된 잠재적 핫 스팟은 낮은 또는 제로 KP 값이 할당될 수 있다(즉, 콜드 스폿). 다른 실시예에서, 상기 방법은 설계 데이터와 연관된 전기적 오류 밀도에 기초하여 결함의 그룹에 대한 KP 값을 결정하는 단계를 포함한다. 이러한 방식에서, 전기적 오류 밀도 맵 상의 비교적 높은 오류 밀도 영역에 오버레이 하지 않는 것으로 결정된 핫 스팟은 KP에서 다운그레이드될 수 있고, 선택적으로는 핫 스팟 데이터베이스 및/또는 그것의 관련 검사 레시피로부터 제거될 수 있다.In a further embodiment, the method includes monitoring the KP value for a group of defects over time, and determining the importance of the defect group based on the result of the inspection. For example, over time, as KP values are continually updated, hot spots with low KP values may be removed or down-graded against a coordinating hot spot, weak spot, or cold spot. In this manner, the identified potential hotspots may be assigned a low or zero KP value (i. E., A cold spot). In another embodiment, the method includes determining a KP value for a group of defects based on an electrical error density associated with the design data. In this manner, a hot spot determined to not overlay a relatively high error density region on the electrical error density map can be downgraded at KP and optionally removed from the hot spot database and / or its associated inspection recipe .

일 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI에 대한 KP 값을 모니터링하는 단계와, 설계 데이터의 부분이 1 이상의 POI에 대응하는 1 이상의 그룹으로 비닝되는 결함의 위치에 가까운 경우, 1 이상의 POI에 대한 KP 값을 1 이상의 그룹에 할당하는 단계를 포함한다. 예컨대, 설계 데이터 내의 1 이상의 POI에 대한 KP 값을 모니터링하는 단계는, 시간에 걸쳐 1 이상의 POI에 대하여 결정된, 전기적 오류, 전기적 오류 밀도, 전기적 오류의 임의의 다른 속성, 또는 그것의 임의의 조합과, 시간에 걸쳐 1 이상의 POI에 대하여 취득한 검사 결과에 기초하여 수행할 수 있다. 전기적 오류, 전기적 오류 밀도 및 전기적 오류의 임의의 다른 속성은 당업계에 알려진 임의의 적합한 방법 또는 시스템을 사용하여 결정할 수 있다. 검사 결과는 여기에 기술하는 바와 같이 취득할 수 있다. KP 값의 검사가 본 실시예에서의 방법에 의해 수행될지라도, KP 값을 모니터링하는 단계는 다른 방법 또는 시스템에 의해 수행할 수 있으며, 전술한 할당 단계는 그 방법에 의해 수행할 수 있다. 또한, KP 값을 모니터링하는 단계는 비닝 단계를 수행하기 이전에 셋업 단계 동안에 수행할 수 있어서, 검사와 1 이상의 그룹의 결함에 KP 값을 할당하는 단계 사이의 시간을 저감할 수 있다. 1 이상의 POI에 대한 KP 값을 1 이상의 그룹에 대하여 할당하는 단계는, 1 이상의 그룹으로 비닝된 적어도 일부 결함의 위치에 가까운 설계 데이터의 부분을 1 이상의 POI에 대응하는 설계 데이터의 부분에 비교하는 단계를 포함할 수 있다. 그룹 내의 적어도 일부 결함의 위치에 가까운 설계 데이터의 부분이, 비교 단계의 결과에 기초하여 결정할 수 있는 POI에 대응하는 설계 데이터의 부분에 적어도 유사한 경우, POI에 대응하는 KP 값은 그룹 내의 결함(예컨대, 모든 결함)에 할당될 수 있다.In one embodiment, the method comprises the steps of monitoring a KP value for one or more POIs in the design data, and if the portion of the design data is close to the location of the defect being binned into one or more groups corresponding to one or more POIs, And assigning a KP value for the POI to one or more groups. For example, monitoring the KP value for one or more POIs in the design data may include monitoring the KP value for one or more POIs in the design data, such as electrical errors, electrical error density, any other attribute of the electrical error, , And based on the inspection results obtained for one or more POI over time. Any other attribute of electrical error, electrical error density, and electrical error may be determined using any suitable method or system known in the art. The test results can be obtained as described herein. Although the checking of the KP value is performed by the method in this embodiment, the step of monitoring the KP value can be performed by another method or system, and the above-described allocation step can be performed by that method. In addition, the step of monitoring the KP value can be performed during the setup step prior to performing the binning step, so that the time between the step of inspecting and assigning the KP value to the defect of one or more groups can be reduced. Assigning a KP value for one or more POIs to one or more groups may include comparing a portion of the design data near the location of at least some of the defects binned in the at least one group to a portion of the design data corresponding to the one or more POIs . ≪ / RTI > If the portion of the design data near the location of at least some of the defects in the group is at least similar to the portion of the design data corresponding to the POI that can be determined based on the result of the comparison step, then the KP value corresponding to the POI may be a defect , All defects).

여기에 기술한 방법은, 핫 스팟에 민감한(예컨대, 핫 스팟에 대하여 높은 신호와 낮은 노이즈를 갖는) 1 이상의 진단 또는 수리 프로세스에 대한 정보를 생성하는 단계를 포함할 수 있다. 그 정보는 핫 스팟에 대한 1 이상의 진단 또는 수리 프로세스를 자동화하거나 최적화하는데 사용될 수 있다. 1 이상의 프로세스가 핫 스팟 입증 및 분석, 새로운 학습의 포착, 비-주의 영역 및 뉴슨스 결함 필터링 최적화, 보고, 설계와 프로세스 마진 사이의 구별화를 위해 사용될 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 검사와, 레티클 검사와, 광학적 검사와, 매크로-결함 검사와, 전자 빔 검사와, 광학적 결함 리뷰와, SEM 결함 리뷰와, 엘립소메트리(ellipsometry) 및 CDSEM과 같은 계측 프로세스와, 결함 분석 프로세스와, FIB 및 다른 FA 프로세스와, 결함 수리 프로세스와 같은 진단 및 수리 프로세스를 위한 레시피를 생성하는데 사용할 수 있다.The methods described herein may include generating information for one or more diagnostic or repair processes that are hot spot sensitive (e.g., having a high signal and low noise for a hot spot). The information may be used to automate or optimize one or more diagnostic or repair processes for hot spots. One or more processes can be used to differentiate between hotspot demonstration and analysis, acquisition of new learning, non-attention area and Newson defect filtering optimization, reporting, design and process margins. In this way, the method can be applied to a variety of applications including wafer inspection, reticle inspection, optical inspection, macro-defect inspection, electron beam inspection, optical defect review, SEM defect review, ellipsometry and CDSEM Measurement processes, defect analysis processes, FIB and other FA processes, and recipe for diagnostic and repair processes such as defect repair processes.

일부 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화하는 단계와, 그 우선순위화 단계에 기초하여 설계 데이터가 인쇄될 웨이퍼에 대하여 수행되는 1 이상의 프로세스를 최적화하는 단계를 포함한다. 1 이상의 POI를 우선순위화하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 본 실시예에서의 1 이상의 프로세스를 최적화하는 단계는 초점, 조사량, 노광 툴, 레지시트, 포스트 노광 베이크(PEB; post expose bake) 시간, PEB 온도, 에치 시간, 에치 가스 조성, 에치 툴, 퇴적 툴, 퇴적 시간 등과 같은 1 이상의 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 바람직하게는, 프로세스의 매개변수는 POI의 결함(예컨대, POI 내에서 검출된 결함의 수)를 감소시키기 위해, POI 내에서 검출된 결함의 1 이상의 속성(예컨대, DCI, KP 등)를 변경하기 위해, 및/또는 POI가 포함되는 장치의 수율을 증가시키기 위해 변경된다.In some embodiments, the method includes prioritizing one or more POIs in the design data, and optimizing one or more processes to be performed on the wafer to which the design data is to be printed based on the prioritizing step . The step of prioritizing one or more POIs may be performed as described herein. Optimizing the one or more processes in this embodiment may include optimizing the focus, the exposure dose, the exposure tool, the regression sheet, the post exposure bake (PEB) time, the PEB temperature, the etch time, the etch gas composition, , Deposition time, and the like, of the at least one process. Preferably, the parameters of the process change the one or more attributes (e.g., DCI, KP, etc.) of defects detected in the POI to reduce POI defects (e.g., the number of defects detected in the POI) And / or to increase the yield of the device containing the POI.

또한, 1 이상의 프로세스의 1 이상의 매개변수는 우선순위화 단계에 의해 결정된 것과 같은 가장 높은 우선 순위를 갖는 POI 또는 우선순위화 단계에서 결정된 것과 같은 비교적 높은 우선 순위를 갖는 POI에 대해서만 최적화될 수 있다. 이러한 방식에서, 1 이상의 프로세스의 1 이상의 매개변수는 가장 큰 결함 및/또는 수율에 대한 영향이 가장 큰 결함을 보이는 POI에 기초하여 변경 및/또는 최적화될 수 있다. 그와 같이, 우선순위화 단계의 결과는 가장 큰 수율 개선을 나타내기 위해서 1 이상의 프로세스의 1 이상의 매개변수를 변경 및/또는 최적화하는데 어느 POI가 사용되어야 하는지를 지시한다.In addition, one or more parameters of the one or more processes may be optimized only for a POI with the highest priority as determined by the prioritization step or for a POI with a relatively higher priority as determined in the prioritization step. In this manner, one or more parameters of the one or more processes can be altered and / or optimized based on the POIs with the greatest defects and / or impacts on the yields showing the greatest defects. As such, the result of the prioritization step indicates which POI should be used to modify and / or optimize one or more parameters of the one or more processes to indicate the largest yield improvement.

따라서, 어느 POI가 수율에 대하여 가장 큰 영향을 갖는지에 관한 안내 없이, 수율 및 안정도에 대하여 프로세스를 최적화하는 이로운 기회가 시기적절하게 식별되거나 이루어지지 않을 수 있어서, 시장 출하시간을 증가시키고, 프로세스 최적화를 저감하는, 이전에 사용된 방법 및 시스템보다 본 실시예가 유리하다.Thus, without guidance as to which POI has the greatest impact on yield, beneficial opportunities to optimize the process for yield and stability may not be timely identified or achieved, thereby increasing market turnover time, The present embodiment is advantageous over previously used methods and systems.

또한, 이러한 단계에서 변경 및/또는 최적화된 프로세스가 여기에 기술한 실시예에서 비닝된 결함의 검출 이전에 웨이퍼 상에 설계 데이터 내의 POI를 인쇄하는데 사용되는 프로세스만을 포함할지라도, 변경 및/또는 최적화된 1 이상의 프로세스는 POI를 포함하는 다른 설계 데이터를 인쇄하는데 사용되는 임의의 프로세스를 포함할 수 있다. 예컨대, 1 이상의 설계 데이터가 우선순위화 및/또는 여기에 기술한 방법의 임의의 다른 결과에 기초하여 POI를 포함하는 경우, 1 이상의 설계를 인쇄하는데 사용된 1 이상의 프로세스가 변경 및 최적화될 수 있어서, 각각의 다른 설계로 제조된 장치의 수율을 증가시킨다.Further, even though the process modified and / or optimized at this stage includes only those processes used to print POIs in design data on the wafer prior to the detection of a binned defect in the embodiments described herein, One or more processes may include any process used to print other design data, including POIs. For example, if one or more design data includes a POI based on prioritization and / or any other result of the methods described herein, one or more processes used to print one or more designs may be modified and optimized , Increasing the yield of the device made with each different design.

다른 실시예에서, 상기 방법은 비닝 단계의 결과 및/또는 여기에 기술한 임의의 방법의 임의의 다른 단계의 임의의 다른 결과에 기초하여 웨이퍼 상에 수행되거나 웨이퍼 상에 수행될 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 그 프로세스는 CMP, 퇴적(전기-화학적 퇴적, 원자층 퇴적, 회학적 기상 퇴적, 물리적 기상 퇴적), 리소그라피, 에치, 이온 주입, 및 세정과 같이 당업계에서 알려진 임의의 프로세스를 포함할 수 있다. 1 이상의 매개변수는, 1 이상의 그룹으로 비닝된 결함이 웨이퍼의 후속 처리 후 웨이퍼에 대하여 저감하거나, 다른 웨이퍼의 처리 후 다른 웨이퍼에 대하여 저감할 수 있도록, 비닝의 결과에 기초하여 변경될 수 있다.In another embodiment, the method may be performed on a wafer based on the results of the binning step and / or any other result of any of the other steps of any of the methods described herein, or may be performed on one or more parameters of the process to be performed on the wafer And changing the variable. The process may include any process known in the art, such as CMP, deposition (electrochemical deposition, atomic layer deposition, sophisticated vapor deposition, physical vapor deposition), lithography, etch, ion implantation, and cleaning. The one or more parameters may be altered based on the results of the binning so that the defect binned in one or more groups can be reduced for subsequent wafers of the wafer or reduced for other wafers after processing of the other wafers.

예컨대, 검사 이전에 웨이퍼에 대하여 에치 프로세스가 수행되는 경우, 에치 프로세스의 1 이상의 매개변수는, 바람직하게는 변경된 매개변수에 의한 에치 프로세스에서 처리된 다른 웨이퍼가, 1 이상의 그룹에서의 더 적은 수의 결함, 비교적 높은 DCI를 갖는 더 적은 수의 결함, 비교적 높은 KP 값을 갖는 더 적은 수의 결함 등, 또는 이들의 일부 조합을 나타내도록 피드백 제어 기술을 사용하여 변경될 수 있다. 매개변수의 그러한 변경은 결함 그룹의 우선순위화 또는 DCI 및 KP 값과 같이 여기에 기술한 다른 정보에 기초하여 수행할 수 있다. 이러한 방식에서, 프로세스는 수율에 대한 영향이 가장 큰 결함의 그룹에 기초하여 변경될 수 있다.For example, where an etch process is performed on a wafer prior to inspection, one or more parameters of the etch process may be selected such that other wafers processed in the etch process, preferably with changed parameters, Defects, fewer defects with relatively high DCI, fewer defects with relatively high KP values, or the like, or some combination thereof. Such changes in parameters can be performed based on the prioritization of defect groups or other information described herein, such as DCI and KP values. In this way, the process can be changed based on the group of defects with the greatest impact on yield.

다른 예에서, 검사 이전에 웨이퍼에 대하여 에치 프로세스가 수행되는 경우, 웨이퍼에 대해 수행될 포스트-에치 프로세스의 1 이상의 매개변수는, 바람직하게는 변경된 매개변수로 웨이퍼에 대하여 포스트-에치 프로세스가 수행된 후, 그 웨이퍼가 1 이상의 그룹에서의 더 적은 수의 결함, 비교적 높은 DCI를 갖는 더 적은 수의 결함, 비교적 높은 KP 값을 갖는 더 적은 수의 결함 등, 또는 이들의 일부 조합을 나타내도록 피드 포워드 제어 기술을 사용하여 변경될 수 있다. 포스트-에치 프로세스 또는 다른 프로세스의 매개변수는 전술한 바와 같이 변경될 수 있다.In another example, when an etch process is performed on a wafer prior to inspection, one or more parameters of the post-etch process to be performed on the wafer are preferably determined based on whether the post-etch process is performed Then the wafer is subjected to a feedforward operation to exhibit fewer defects in one or more groups, fewer defects with a relatively high DCI, fewer defects with a relatively high KP value, and the like, or some combination thereof. Can be changed using control techniques. The parameters of the post-etch process or other process may be changed as described above.

전술한 바와 같이 프로세스의 1 이상의 매개변수를 변경하는 단계는, 1 이상의 매개변수가 어떻게 변경되어야 하는지를 결정하는 단계와, 프로세스를 수행하는데 사용될 레시피 내의 1 이상의 매개변수의 값을 변경하는 단계를 포함할 수 있다. 그러한 변경은 여기에 기술한 방법 및 시스템에 의해, 예컨대, 팹 데이터베이스 내 또는 프로세스를 수행할 프로세스 툴에 결합된 저장 매체 내의 레시피에 접속하고, 그 레시피에 대하여 직접적으로 변경함으로써 수행될 수 있다.Modifying one or more parameters of a process as described above includes determining how one or more parameters should be changed and changing the value of one or more parameters in the recipe to be used to perform the process . Such changes may be made by the methods and systems described herein, for example, by connecting to a recipe in a storage medium coupled to a process tool for performing the process, or in a fab database, and by directly changing the recipe.

대안적으로, 전술한 바와 같이 프로세스의 1 이상의 매개변수를 변경하는 단계는 1 이상의 매개변수가 어떻게 변경되어야 하는지를 결정하는 단계와, 프로세스를 수행하는데 사용될 레시피 내의 1 이상의 매개변수의 값을 변경하는데 사용될 수 있는 다른 방법 또는 시스템(예컨대, 프로세스를 수행할 프로세스 툴에 결합된 팹 데이터베이스 또는 프로세서)에 1 이상의 매개변수 값을 전송하는 단계를 포함할 수 있다. 프로세스가 다른 방법 또는 시스템에 의해 변경될 수 있도록, 변경될 1 이상의 매개변수 값은 레시피 엔티티, 프로세스 툴 아이덴티티, 1 이상의 매개변수를 변경하기 위한 명령어 등과 같은 다른 정보와 함께 전송될 수 있다.Alternatively, modifying one or more parameters of the process as described above may include determining how one or more of the parameters should be changed, and determining whether the one or more parameters in the recipe to be used to perform the process (E. G., A fab database or processor coupled to a process tool for performing the process). ≪ / RTI > The one or more parameter values to be modified may be transmitted with other information such as a recipe entity, a process tool identity, an instruction to change one or more parameters, etc. so that the process can be changed by other methods or systems.

일 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼를 검사용 프로세스를 변경하는 단계를 포함한다. 웨이퍼 검사용 프로세스는 여기에 기술한 임의의 비닝 결과에 기초하여 변경할 수 있다. 또한, 웨이퍼를 검사용 프로세스의 임의의 매개변수가 본 실시예에서 변경될 수 있다. 예컨대, 비닝 단계의 결과에 기초하여 변경될 수 있는 웨이퍼 검사용 프로세스의 1 이상의 매개변수는 주의 영역(또는 대안적으로 비 주의 영역), 감지도, 인-라인 비닝 프로세스, 검사 영역, 어느 웨이퍼가 검사되는지, 또는 이들의 일부 조합을 비한정적으로 포함할 수 있다. 일 특정 예에서, 비닝의 결과는 1 이상의 그룹 내에 포함되는 결함의 수를 포함할 수 있고, 주의 영역은 비교적 많은 수의 결함을 포함하는 그룹 내의 결함의 설계 데이터 스페이스 내의 위치에 대응하는 웨이퍼 상의 위치를 포함하도록 변경될 수 있다. 다른 예에서, 웨이퍼 검사용 프로세스는 비닝 단계의 결과에 기초하여 더 많이 또는 다르게 검사하도록 변경될 수 있다. 웨이퍼 검사용 프로세스는 여기에 기술한 방법의 임의의 단계의 임의의 결과에 기초하여 변경할 수 있다.In one embodiment, the method includes modifying a process for inspecting a wafer based on a result of the binning step. The process for wafer inspection can be changed based on any of the binning results described herein. In addition, any parameters of the process for inspecting the wafer can be changed in this embodiment. For example, one or more parameters of the process for wafer inspection that can be changed based on the results of the binning step may include one or more of the following: , Or some combination thereof. ≪ RTI ID = 0.0 > In one particular example, the result of the binning may include the number of defects contained within one or more groups, and the region of interest may be a location on the wafer corresponding to a location in the design data space of the defect in the group that includes a relatively large number of defects . ≪ / RTI > In another example, the process for inspecting the wafer may be changed to more or different inspections based on the results of the binning step. The process for wafer inspection may be modified based on any result of any of the steps described herein.

여기에 기술하는 바와 같이, 결함은 검사 프로세스에 의해 검출될 수 있다. 일 실시예에서, 그 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 그 리뷰 단계의 결과에 기초하여 1 이상의 POI의 위치에서 결함이 검사되었는지를 판정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다. 본 실시예에서의 위치 리뷰 단계는 당업계에 알려진 임의의 방법 또는 시스템을 사용하여 수행할 수 있다. 이러한 방식에서, 웨이퍼 상의 위치를 리뷰하는 단계는 POI의 위치에서 결함이 검출되었는지를 판정하기 위해 POI의 위치에서 수행될 수 있다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI의 위치를 식별하기 위한 임의의 패턴 검색 단계와, 설계 데이터 내의 1 이상의 POI의 위치로부터 1 이상의 POI의 위치를 결정하는 단계를 포함할 수 있다. 이러한 방식으로 POI의 위치를 결정하는 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있다.As described herein, a defect can be detected by an inspection process. In one embodiment, the method includes the steps of: reviewing a location on the wafer on which one or more POIs in the design data are printed; determining if a defect has been examined at a location of one or more POIs based on the result of the reviewing step; And modifying the inspection process to improve one or more defect capture rates. The location review step in this embodiment may be performed using any method or system known in the art. In this manner, reviewing the location on the wafer may be performed at the location of the POI to determine if a defect has been detected at the location of the POI. In one such embodiment, the method may comprise any pattern searching step for identifying the location of one or more POIs in the design data and determining the location of one or more POIs from the location of one or more POIs in the design data have. The step of determining the location of the POI in this manner can be performed as further described herein.

또한, 일부 실시예에서, 상기 방법은 리뷰를 보조하기 위해 리뷰 단계 동안 히트(hit)가 있는 POI 및 히트가 없는 POI의 위치를 표시하는 단계를 포함할 수 있다. 그와 같이, 리뷰의 결과는 결함이 발생하였지만 검사 시스템에 의해 포착되지 않은 곳을 판정하는데 사용될 수 있다. POI는 검사 프로세스의 변경 또는 최적화를 수행할 곳을 알기 위해 소실된 결함(또는 포착되지 않은 결함)을 찾기 위해 리뷰될 수 있다.In addition, in some embodiments, the method may include displaying POIs with hits and POIs without hits during the review step to aid review. As such, the results of the review can be used to determine where a defect has occurred but has not been captured by the inspection system. The POI can be reviewed to find defects that have been lost (or unacknowledged defects) to know where to make changes or optimizations to the inspection process.

리뷰 결과에 부가하여 그러한 정보에 기초하여(예컨대, 결함의 1 이상의 속성, 설계 데이터의 1 이상의 속성 등), 바람직하게는 후속 검사에서보다 더 높은 비율로 POI의 위치에서 포착되도록, 광학 모드, 수집 각도, 입사 각도 등과 같은 검사 프로세스의 1 이상의 매개변수가 변경될 수 있다. 이러한 방식에서, 상기 방법은 POI 내의 결함 포착률의 분석에 기초한 셋업 조정 단계를 포함할 수 있다. 변경되는 검사 프로세스의 1 이상의 매개변수는 룰 데이터베이스를 사용하는 것과 같은 임의의 적합한 방식으로 결정할 수 있다. 본 실시예에서 향상될 수 있는 1 이상의 결함 포착률은 1 이상의 POI 내의 1 이상의 결함 유형에 대한 결함 포착률을 포함한다. 유사한 방식에서, 1 이상의 결함 포착률을 향상시키기 위한 전술한 실시예는 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 대신에 설계 내의 1 이상의 핫 스팟의 위치에 대응하는 웨이퍼 상의 위치를 리뷰함으로써 수행할 수행될 수 있다.(E.g., one or more attributes of a defect, one or more attributes of design data, etc.) in addition to the review results, preferably at a higher rate than in a subsequent review, One or more parameters of the inspection process, such as angle, angle of incidence, etc., may be changed. In this manner, the method may include a setup adjustment step based on an analysis of defect coverage in the POI. One or more parameters of the inspection process to be changed may be determined in any suitable manner, such as using a rules database. One or more defect coverage rates that may be improved in this embodiment include defect coverage for one or more defect types in one or more POIs. In a similar manner, the above-described embodiments for improving one or more defect capture rates may be performed by reviewing the location on the wafer corresponding to the location of one or more hot spots in the design, instead of reviewing the location on the wafer on which one or more POIs are printed .

또한, 전술한 방법은 1 이상의 POI에 대하여 수행되며, POI는 여기에 추가로 기술하는 바와 같이 우선순위화될 수 있고, 검사 프로세스는 가장 높은 우선순위 또는 높은 우선순위를 갖는 POI에 대한 결함 포착률을 향상하도록 변경될 수 있다. 이러한 방식에서, 검사 프로세스는 가장 높은 우선순위의 POI 또는 높은 우선순위의 POI에 대해 최적화될 수 있다(그러한 최적화가 낮은 우선순위 POI에 대한 검사 프로세스의 최적화의 결과를 또한 낳을 수 있을지라도).Also, the above-described method is performed for one or more POIs, the POIs may be prioritized as further described herein, and the inspection process may determine the defect capture rate for POIs having the highest priority or higher priority Can be changed. In this manner, the inspection process can be optimized for the highest priority POI or higher priority POI (although such optimization may also result in optimization of the inspection process for a lower priority POI).

다른 실시예에서, 상기 방법은 검사 결과에 기초하여 검사 동안에 웨이퍼의 검사용 프로세스를 변경하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 인-시투 프로세스 제어 기술을 사용하여 검사 프로세스를 변경하는 단계를 포함할 수 있다. 검사 프로세스를 변경하기 위해 사용되는 검사 결과는 여기에 기술한 임의의 결과를 포함할 수 있다. 또한, 본 실시예에서의 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다.In another embodiment, the method includes modifying the process for inspection of the wafer during the inspection based on the inspection results. In this manner, the method may include modifying the inspection process using an in-situ process control technique. The test results used to modify the inspection process may include any of the results described herein. Further, the step of modifying the inspection process in this embodiment may include the step of modifying any one or more parameters of the inspection process.

위에서 추가로 기술한 바와 같이, 상기 방법은 검사 레시피를 최적화하는 단계를 포함할 수 있다. 최적화되는 검사 레시피는 인라인 검사 레시피 및/또는 전기 검사 레시피를 포함할 수 있다. 일 실시예에서, 상기 방법은 핫 스팟 정보에 기초하여 웨이퍼 검사용 프로세스를 변경하는 단계를 포함할 수 있다. 다른 실시예에서, 상기 방법은 핫 스팟 정보 및 설계 데이터에 기초하여 웨이퍼 검사용 프로세스를 생성하는 단계를 포함한다. 또한, 상기 방법은 핫 스팟 정보 및/또는 예측된 POI에 기초하여 웨이퍼 검사용 프로세스를 변경 또는 생성하는 단계를 포함할 수 있다. 예컨대, 검사 레시피는, 핫 스팟 및 POI의 위치만이 검사되고 및/또는 체계적인 뉴슨스 결함의 위치는 검사되지 않거나, 그러한 위치에서 취득한 데이터는 달리 억제되도록 구성될 수 있다. 다른 예에서, 전술한 바와 같이, 여기에 기술한 방법 실시예는 설계 내의 핫 스팟을 식별하는 단계(예컨대, 체계적 결함에 기초하여)를 포함할 수 있다. 이러한 방식에서, 상기 방법 실시예는 핫 스팟의 소스일 수 있고, 설계 내의 핫 스팟의 위치는 피드포워드 제어 기술을 사용하여 검사 프로세스를 변경하는데 사용될 수 있다.As further described above, the method may include optimizing the inspection recipe. The inspection recipes to be optimized may include inline inspection recipes and / or electrical inspection recipes. In one embodiment, the method may include modifying a process for wafer inspection based on hot spot information. In another embodiment, the method includes generating a process for wafer inspection based on hot spot information and design data. The method may also include modifying or creating a process for wafer inspection based on hot spot information and / or predicted POI. For example, the inspection recipe may be configured such that only the locations of hot spots and POIs are inspected and / or the location of systematic Newson defects are not inspected, or data acquired at such locations is otherwise suppressed. In another example, as described above, the method embodiments described herein may include identifying hot spots in the design (e.g., based on systematic defects). In this manner, the method embodiment can be a source of hotspots, and the location of the hotspots in the design can be used to modify the inspection process using feedforward control techniques.

상기 방법은 임의의 다른 가용 정보에 기초하여 웨이퍼 검사용 프로세스를 변경하는 단계를 포함할 수 있다. 그러한 일 예에서, 상기 방법은 설계 데이터, 검사 결과, 및 1 이상의 비트맵에 부가하여 핫 스팟 정보에 기초하여 검사 레시피를 변경하는 단계를 포함할 수 있다. 이러한 방식에서, 상기 방법에 가용적인 임의의 정보는 수율에 영향을 미치지 않은 결함을 검출하기 검사 레시피의 감지도를 저하시키면서, 수율에 영향을 미칠 수 있는 결함을 검출하기 위하여 검사 레시피의 감지도를 최적화할 수 있다. 검사 레시피의 생성 및 최적화는 여기에 추가로 기술하는 바와 같이 수행할 수 있다(예컨대, DOI에 대한 검사가능성에 기초하여).The method may include modifying the process for inspecting the wafer based on any other available information. In one such example, the method may include modifying the inspection recipe based on the hotspot information in addition to the design data, the inspection results, and the one or more bitmaps. In this manner, any information available to the method can be used to detect defects that do not affect the yield, reduce the sensitivity of the test recipe, and reduce the sensitivity of the test recipe to detect defects that may affect yield It can be optimized. The generation and optimization of the inspection recipe may be performed as further described herein (e.g., based on the probabilities for the DOI).

일부 실시예에서, 상기 방법은 설계 데이터에 기초하여 웨이퍼 상의 결함을 검출하기 위한 감지도를 결정하는 단계를 포함할 수 있다. 그러한 일부 실시예에서, 설계 데이터의 적어도 두 부분에 대응하는 웨이퍼 상의 상이한 적어도 두 부분에서 상이하다. 또한, 상기 방법은 웨이퍼 상의 "주의 영역"(또는, "검사할 영역")을 식별하는 단계를 포함할 수 있다. 검사 결과는 비 주의 영역에서 취득되지 않을 수 있으며, 결함 검출은 비 주의 영역에서 취득된 검사 결과에 대해서는 수행하지 않을 수 있다. 하지만, 비닝과 같은 검사 결과의 부가적인 처리가 수행되기 이전에, 데이터 취득 및 결함 검출이 비 주의 영역에서 수행되는 경우, 상기 방법은 검출된 결함이 주의 영역 또는 비 주의 영역에 존재하는지를 판정하는 단계를 포함할 수 있다. 결함이 비 주의 영역에 위치하는 경우, 그 결함에 대해서는 추가적인 처리가 수행되지 않을 수 있다. 이러한 방식에서, 패턴 기반 비닝은 비닝 처리의 처리량을 최적화하기 위해 설계 데이터 내의 민감 영역으로 제한될 수 있다. 다른 실시예에서, 결함이 공통 설계 데이터(예컨대, 패턴 그룹화 또는 다른 콘텍스트 데이터)에 의해 그룹화된 후, 그 그룹화 정보는 향상된 카운트, 비닝, 모니터링, 분석, 샘플링, 리뷰, 테스트 등을 위해 여기에 추가로 기술하는 바와 같이 사용될 수 있다.In some embodiments, the method may include determining a degree of sensitivity for detecting defects on the wafer based on design data. In some such embodiments, at least two portions differ on the wafer corresponding to at least two portions of the design data. The method may also include identifying a "region of interest" (or "region to be inspected") on the wafer. The inspection result may not be acquired in the non-attention area, and the defect detection may not be performed on the inspection result obtained in the non-attention area. However, if data acquisition and defect detection are performed in the non-critical area before additional processing of the inspection result, such as binning, is performed, the method includes determining whether the detected defect is present in the attention area or non-critical area . ≪ / RTI > If the defect is located in the non-critical area, no further processing may be performed on the defect. In this manner, pattern-based binning can be limited to sensitive areas within the design data to optimize the throughput of the binning process. In another embodiment, after defects are grouped by common design data (e.g., pattern grouping or other context data), the grouping information is added here for improved counting, binning, monitoring, analysis, sampling, review, As shown in FIG.

본 방법 실시예는 핫 스팟 정보를 활용하거나 활용하지 않을 수 있다. 예컨대, 설계 데이터에 관한 지식에 기초하여, 상기 방법은 수율에 더욱 주요하거나 및/또는 수율-저하 결함에 더욱 민감한 설계 데이터의 부분을 식별하는 단계를 포함할 수 있다. 이러한 방식에서, 설계 데이터의 그 부분에서의 결함을 검출하기 위한 감지도는 설계 데이터의 다른 부분에서의 결함을 검출하기 위한 감지도보다 더 높을 수 있다. 그와 같이, 검사 데이터 취득 동안에, 상기 방법은 검사 데이터를 설계 데이터에 정렬하는 단계를 포함할 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 검사 프로세스의 감지도는 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 변경할 수 있다. 그러한 실시예에서, 검사 프로세스의 감지도는 실시간으로 변경할 수 있다. 설계 기반 검사 또는 측정 레시피의 추가의 예는 미국 특허 6,886,153호(Bevis)와, Hamamatsu 등에 의해 미국 특허 출원 공개 번호 US2003/0022401호로 공개된 미국 특허 출원 일련번호 10/082,593호(2002년 2월 22일 출원)에 개시되며, 이들은 여기에 완전히 언급한 것과 같이 참고자료로 포함된다. 여기에 기술한 방법은 상기 특허 및 특허출원에 개시된 임의의 단계를 포함할 수 있다.The method embodiment may or may not utilize hotspot information. For example, based on knowledge of design data, the method may include identifying portions of design data that are more critical to yield and / or more sensitive to yield-lowering defects. In this manner, the sensitivity to detect defects in that portion of the design data may be higher than the sensitivity to detect defects in other portions of the design data. As such, during acquisition of inspection data, the method may include aligning inspection data to design data, which may be performed as further described herein. The sensitivity of the inspection process can be changed based on the location of the inspection data in the design data space. In such an embodiment, the sensitivity of the inspection process can be changed in real time. Additional examples of design-based tests or measurement recipes are described in U.S. Patent 6,886,153 (Bevis) and U.S. Patent Application Serial No. 10 / 082,593, filed on February 22, 2002, by Hamamatsu et al., U.S. Patent Application Publication No. US2003 / Quot; filed "), which are incorporated by reference as if fully set forth herein. The methods described herein may include any of the steps disclosed in the above patents and patent applications.

일 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 적어도 일부의 결함을 선택하는 단계를 포함한다. 예컨대, 비닝 단계의 결과는 여기에 기술한 바와 같이 어느 결함이 가장 중요한지를 결정하는데 사용될 수 있고(예컨대, 결함에 대한 DCI를 결정하여), 대부분의 중요한 결함은 리뷰를 위해 선택될 수 있다. 다른 예에서, 비닝 결과는 여기에 추가로 기술하는 바와 같이 어느 결함이 체계적 결함인지를 결정하기 위해 사용할 수 있다. 이러한 방식에서, 상기 방법은 DOI가 발생할 경향이 있는 설계 데이터의 부분으로부터의 리뷰 샘플링을 포함할 수 있다. 또한, 어느 결함이 체계적인지에 대한 정보뿐만 아니라, 체계적 결함이 SEM과 같은 리뷰 시스템에 가시적인지 및/또는 체계적 결함이 수율 관련적인지에 관한 정보는 리뷰를 위한 적어도 일부의 결함을 선택하는데 사용될 수 있다(예컨대, SEM에 가시적인 결함만이 리뷰를 위해 선택됨). 리뷰 동안에 결함의 재-위치화가 비교적 어렵고, 특히 리뷰 시스템이 그 리뷰 시스템에 실제 비가시적인 결함을 찾는데 많은 시간을 소비하는 경우 비교적 시간 소모적일 수 있기 때문에, 그러한 방식에서의 결함 선택이 특히 이롭다. 리뷰를 위한 결함 선택 결과는 웨이퍼 상의 선택 결함의 위치와, 여기에 기술한 방법의 임의의 단계의 다른 결과를 포함할 수 있다.In one embodiment, the method includes selecting at least some of the defects for review based on the results of the binning step. For example, the results of the binning step can be used to determine which defects are most important (e.g., by determining DCI for defects), as described herein, and most significant defects can be selected for review. In another example, the binning results can be used to determine which defect is a systematic defect, as further described herein. In this manner, the method may include review sampling from a portion of the design data that the DOI is likely to occur. In addition to information about which defects are systematic, information about whether systematic defects are visible and / or systematic defects are yield related to a review system such as SEM can be used to select at least some defects for review (E.g., only defects visible to the SEM are selected for review). Defect selection in such a manner is particularly advantageous, since re-localization of defects during review is relatively difficult and can be relatively time consuming, especially if the review system spends a lot of time looking for actual invisible defects in the review system. The defect selection result for the review may include the location of the selected defect on the wafer and other results of any of the steps described herein.

다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 결함을 샘플링하는 프로세스를 생성하는 단계를 포함한다. 따라서, 리뷰를 위한 결함의 선택 대신에 또는 그것에 부가하여, 상기 방법은 리뷰를 위한 결함을 샘플링하기 위하여 사용될 수 있는(예컨대, 그 방법, 다른 방법, 그 방법을 수행하도록 구성된 시스템, 또는 다른 시스템에 의해) 프로세스를 생성하는 단계를 포함할 수 있다. 그러한 프로세스는 리뷰를 위해 복수의 웨이퍼 상에서 검출된 결함의 샘플링 및/또는 복수의 리뷰 시스템에 의해 수행되는 리뷰를 위한 결함의 샘플링에 사용할 수 있다. 샘플링을 위한 프로세스는 비교적 큰 수의 결함을 포함하는 비닝된 결함 그룹에 대응하는 설계 데이터의 부분 내에서 검출된 결함이 비교적 작은 수의 결함을 포함하는 비닝된 결함의 그룹에 대응하는 설계 데이터의 부분 내에서 검출된 결함보다 더 중점적으로 샘플링될 수 있도록, 비닝 단계의 결과에 기초하여 생성될 수 있다. 리뷰를 위한 결함을 샘플링을 위한 프로세스는 결함에 대한 DCI, 결함에 대한 KP 값 등과 같이 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과와 조합하여 비닝 단계의 결과에 기초하여 생성할 수 있다.In another embodiment, the method includes generating a process for sampling a defect for review based on a result of the binning step. Thus, instead of or in addition to a choice of defects for review, the method can be used to sample a defect for review (e.g., by way of that method, another method, system configured to perform the method, To create a process). Such a process can be used for sampling of defects detected on a plurality of wafers for review and / or for defects for review performed by a plurality of review systems for review. The process for sampling is performed such that the detected defect in the portion of the design data corresponding to the vened defect group containing a relatively large number of defects is the portion of the design data corresponding to the group of the vened defect containing a relatively small number of defects Based on the results of the binning step, so that it can be sampled more intensively than the detected defects within the bin. The process for sampling the defect for review is based on the results of the binning step in combination with DCI for the defect, KP value for the defect, and any other result of any of the steps of any of the methods described herein .

다른 실시예에서, 상기 방법은 핫 스팟 정보에 기초하여 리뷰를 위한 결함을 선택하는 프로세스를 생성하는 단계를 포함한다. 리뷰를 위한 결함을 선택하는 프로세스는 핫 스팟 정보뿐만 아니라, 그 방법에 가용적인 임의의 다른 정보에 기초하여 생성될 수 있다. 예컨대, 리뷰를 위한 결함을 선택하는 프로세스는 설계 데이터, 결함의 1 이상의 속성, 1 이상의 비트맵, 및 핫 스팟 정보에 기초하여 생성될 수 있다. 바람직하게는, 리뷰를 위한 결함을 선택하는 프로세스는 핫 스팟에서 검출된 결함 또는 체계적 결함과 같은 특정 유형의 결함이 리뷰를 위해 선택되고, 콜드 스폿에서 검출된 결함 및 뉴슨스 결함은 리뷰를 위해 선택되지 않도록 생성된다. 이러한 방식에서, 여기에 기술한 상기 방법은, 수율에 영향을 미치지 않을 결함을 리뷰 샘플로부터 대부분 배제하여 리뷰 프로세스의 처리량을 증가시키면서, 수율에 영향을 미칠 수 있는 결함을 다수 포함하는 결함 샘플을 생성할 수 있다.In another embodiment, the method includes generating a process for selecting a defect for review based on hotspot information. The process of selecting defects for review can be generated based on hotspot information as well as any other information available to the method. For example, the process of selecting a defect for review may be generated based on design data, one or more attributes of a defect, one or more bitmaps, and hotspot information. Preferably, the process of selecting a defect for review is selected for review for a particular type of defect, such as a defect detected in a hot spot or a systematic defect, and a defect and a Newson defect detected in a cold spot are selected for review . In this manner, the method described herein generates defect samples that contain a large number of defects that can affect yield, while eliminating most of the defects that will not affect yield, from the review samples, increasing the throughput of the review process can do.

다른 실시예에서, 전술한 바와 같이 결함이 적어도 유사한 설계 데이터에 의해 비닝된 후에, 상기 방법은 CDSEM, 광학적 또는 다른 형태의 물리적 결함 리뷰 및 분류 또는 입증을 위한 1 이상의 ""리뷰 샘플을 생성할 목적으로 비닝 결과를 사용하는 단계를 포함할 수 있다. 그러한 일 실시예에서, 상기 방법은 패턴 그룹 아이덴티티를 x축에 나타내고, 각 패턴 그룹에서 검출된 결함의 수를 y축에 나타내는 전술한 바와 같은 패턴 그룹 파레토를 생성하는 단계를 포함한다. 이러한 방식에서, 차트는 다른 패턴에서 검출된 결함의 수를 도시한다. 하지만, 다른 패턴에서 검출된 결함의 수를 지시하는 다른 데이터는 여기에 기술한 방법 단계에서 사용할 수 있다. 여기에 기술한 실시예는 전기적, 체계적, 및/또는 랜덤 파레토 차트를 생성하는 단계를 포함할 수 있다.In another embodiment, after the defect has been binned by at least similar design data as described above, the method may be used to create CDSEM, optical or other types of physical defect review, and more than one " ≪ / RTI > In one such embodiment, the method includes generating a pattern group pareto as described above, wherein the pattern group identities are represented on the x-axis and the number of defects detected in each pattern group on the y-axis. In this way, the chart shows the number of defects detected in different patterns. However, other data indicating the number of defects detected in other patterns may be used in the method steps described herein. The embodiments described herein may include generating electrical, systematic, and / or random Pareto charts.

상기 방법은, 각각의 패턴 유형에서 검출된 1 이상의 물리적 결함 유형을 결정하기 위해, 본 차트에서 도시한 1 이상의 상이한 패턴에 대한 데이터를 분석하는 단계를 포함할 수 있다. 1 이상의 결함 유형이 일 패턴 그룹에서 검출될 수 있다. 상기 방법은 그러한 차트에 도시된 1 이상의 다른 공간적 시그네처에 대한 데이터를 분석하여, 1 이상의 상이한 시그네처에 대응하는 1 이상의 그룹으로 비닝된 결함의 1 이상의 속성을 결정하는 단계를 또한 포함할 수 있다. 결함 속성은 사이즈, 다이 위치(또는 다이 아이덴티티), 및 당업계에 알려진 임의의 다른 속성을 비한정적으로 포함할 수 있다. 다이 위치는 패턴이 웨이퍼의 특정 위치, 존(zone), 또는 영역, 예컨대, 에지, 중심, 3시 위치 등에서 높은 발생 빈도를 갖는지를 지시한다.The method may include analyzing data for one or more different patterns shown in the chart to determine one or more types of physical defects detected in each pattern type. One or more defect types can be detected in one pattern group. The method may also include analyzing data for one or more other spatial signatures shown in the chart to determine one or more attributes of a defect that has been binned into one or more groups corresponding to one or more different signatures . Defect attributes may include, but are not limited to, size, die position (or die identity), and any other attribute known in the art. The die position indicates whether the pattern has a high frequency of occurrence at a particular position, zone, or region of the wafer, e.g., edge, center, 3 o'clock position, and so on.

결함 샘플링 플랜은 전술한 분석 단계의 결과로부터 결정될 수 있다. 예컨대, 상기 방법은 강한 신호가 전술한 분석 단계로부터 발생하는지를 판정하는 단계를 포함할 수 있다. 이러한 강한 신호는 어느 결함(예컨대, 분석 단계에 의해 결정된 어느 패턴 및 어느 결함 유형 및/또는 속성)이 높은 비율 또는 낮은 비율로 샘플링되어야 하는지를 지시한다. 전술한 샘플링 플랜은 전자 빔 기반 리뷰 시스템 및 AFM(atomic force microscope) 또는 다른 스캐닝 프로브 마이크로스코프 기반 리뷰 시스템과 같은 비교적 느린 리뷰 시스템의 처리량을 증가시키는데 유용하다.The defect sampling plan can be determined from the results of the above analysis steps. For example, the method may include determining whether a strong signal occurs from the analysis step described above. This strong signal indicates which defect (e.g., which pattern determined by the analysis step and which defect type and / or attribute) should be sampled at a high or low rate. The above-described sampling plan is useful for increasing the throughput of a relatively slow review system such as an electron beam based review system and an atomic force microscope (AFM) or other scanning probe microscope based review system.

여기에 기술한 방법은 리뷰 레시피를 최적화하는데 사용할 수 있다. 예컨대, 일 실시예에서, 상기 방법은 핫 스팟 정보 및 선택적으로 그 방법에 가용적인 임의의 다른 정보에 기초하여 웨이퍼 상의 결함을 리뷰하는 프로세스를 변경하는 단계를 포함한다. 이러한 정보에 기초하여 변경되거나 선택된 리뷰 레시피의 매개변수는 임의의 데이터 취득 매개변수, 및 리뷰 프로세스의 임의의 데이터 처리 매개변수를 포함할 수 있다. 상기 방법은 결함을 리뷰하기 위해 사용할 리뷰 시스템의 유형(예컨대, 광학 또는 전자 빔)과, 결함을 리뷰하기 위해 사용된 리뷰 시스템의 제조 업체와 모델과 같은 리뷰 프로세스의 부가적인 매개변수를 선택하는 단계를 포함할 수 있다.The method described here can be used to optimize the review recipe. For example, in one embodiment, the method includes modifying a process for reviewing defects on a wafer based on hot spot information and optionally other information available in the method. The parameters of the review recipe changed or selected based on this information may include any data acquisition parameters and any data processing parameters of the review process. The method may include selecting a type of review system (e.g., optical or electron beam) to use to review the defect and additional parameters of the review process, such as the manufacturer and model of the review system used to review the defect . ≪ / RTI >

상기 방법은 리뷰가 수행되는 웨이퍼 상의 위치를 결정하는 단계를 지원하는데 사용할 수 있는 정보를 리뷰 시스템에 제공하는 단계를 포함할 수 있다. 예컨대, 리뷰될 결함의 위치는 리뷰 시스템에 설계 데이터 스페이스, 다이 스페이스, 및/또는 웨이퍼 스페이스로 보고될 수 있다. 또한, 결함 및/또는 결함 위치에 관한 다른 정보가 리뷰 시스템에 제공될 수 있다. 예컨대, 결함 위치에 대응하는 설계 데이터의 부분에 부가하여 인라인 검사에 의해 생성된 결함의 이미지 또는 오버레이가 리뷰 시스템에 제공될 수 있다. 이러한 방식에서, 리뷰 시스템은 리뷰 동안 웨이퍼 상의 선택된 결함의 위치를 찾기 위해 그 정보의 일부 또는 전부를 사용할 수 있다. 또한, 리뷰 시스템이 에지 배치 에러에 기초하여 ADL(automatic defect locating)을 수행하기 위해 결과를 사용할 수 있도록, 여기에 기술한 1 이상의 방법의 1 이상의 단계의 결과는 리뷰 시스템에 제공될 수 있다. 또한, 상기 방법은 검사 결과 및 체계적 아이덴티티(아마도 수율 관련성 및/또는 프로세스 창 매핑과 함께)에 기초하여 리뷰를 위해 측정 또는 테스트해야 할 곳을 결정하는 단계를 포함할 수 있다. 리뷰는 미국 특허 출원 공개 공보 제2006/0082763호(2006년 4월 20일)로서 공개된 공동 양도된 미국 특허 출원 일련번호 11/249,144호(2005년 10월 14일자 출원; Teh et al.)에 개시된 것과 같은 방법 및 시스템을 사용하여 수행될 수 있는 유저-보조 리뷰를 포함할 수 있으며, 상기 문헌은 여기에 완전히 언급된 것과 같이 참고자료로 포함된다. 따라서, 비닝 방법(및 여기에 추가로 기술된 결함에 범주를 할당하는 방법)에 대한 유저 케이스는 체계적 탐색 및 유저-보조 리뷰를 포함한다.The method may include providing the review system with information that can be used to assist in determining the location on the wafer on which the review is performed. For example, the location of the defect to be reviewed may be reported to the review system as a design data space, a die space, and / or a wafer space. In addition, other information regarding defects and / or defect locations may be provided to the review system. For example, an image or an overlay of defects generated by inline inspection may be provided to the review system in addition to portions of the design data corresponding to the defect locations. In this manner, the review system may use some or all of its information to locate the selected defects on the wafer during review. In addition, the results of one or more of the steps described herein may be provided to a review system so that the review system can use the results to perform automatic defect locating (ADL) based on edge placement errors. The method may also include determining where to measure or test for review based on test results and systematic identities (perhaps with yield relevance and / or process window mapping). The review is based on co-assigned U.S. Patent Application Serial No. 11 / 249,144 (filed October 14, 2005; Teh et al.), Published as U.S. Patent Application Publication No. 2006/0082763 (Apr. 20, 2006) And may include user-assisted reviews that may be performed using the same methods and systems as those disclosed, which are incorporated by reference as if fully set forth herein. Thus, the user case for the binning method (and how to assign the category to the defects described further herein) includes systematic exploration and user-assisted review.

일 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 변경하는 단계를 포함한다. 예컨대, 비닝 단계의 결과로부터 결정된 바와 같은 대부분의 주요 결함이 계측 프로세스 동안에 측정되도록 계측 프로세스는 변경될 수 있다. 따라서, 계측 프로세스를 변경하는 단계는, 계측 프로세스 동안에 측정이 수행되는 웨이퍼 상의 위치를 변경하는 단계를 포함할 수 있다. 또한, 측정을 위해 선택되는 결함의 BF 이미지 및/또는 SEM 이미지와 같은 검사 및/또는 리뷰의 결과가 계측 시스템에 제공되어, 그 결과는 측정이 수행될 장소를 결정하는데 사용될 수 있다. 예컨대, 계측 프로세스는 웨이퍼 상의 결함의 적절한 위치의 이미지를 생성하는 단계를 포함할 수 있고, 필요한 경우 측정이 정확한 웨이퍼 위치에서, 따라서 정확한 결함에 대하여 수행되게, 그 계측 시스템은 웨이퍼 상의 위치를 보정할 수 있도록, 그 이미지는 결함에 대한 검사 및/또는 리뷰의 결과와 비교될 수 있다. 이러한 방식에서, 측정은 웨이퍼 상의 실질적으로 정확한 위치에서 수행될 수 있다. 계측 프로세스를 변경하는 단계는 수행되는 측정의 유형, 측정이 수행되는 각도 등, 또는 이들의 일부 조합과 같은 계측 프로세스의 임의의 다른 1 이상의 매개변수를 변경하는 단계를 또한 포함할 수 있다. 계측 프로세스는 CD 측정 계측 프로세스와 같은 당업계에서 알려진 임의의 적합한 계측 프로세스를 포함할 수 있다.In one embodiment, the method includes modifying the metrology process for the wafer based on the result of the binning step. For example, the metrology process can be changed so that most major defects as determined from the results of the binning step are measured during the metrology process. Thus, modifying the metrology process may include changing the location on the wafer where measurements are performed during the metrology process. In addition, the results of the inspection and / or review, such as the BF image and / or the SEM image of the defect selected for measurement, are provided to the metrology system and the results can be used to determine where the measurement is to be performed. For example, the metrology process may include generating an image of the proper location of defects on the wafer, and if necessary, the metrology system corrects the position on the wafer so that the measurement is performed at the correct wafer location, The image may be compared to the results of the inspection and / or review of the defect. In this way, the measurement can be performed at a substantially precise location on the wafer. The step of modifying the metrology process may also include modifying any other one or more parameters of the metrology process, such as the type of measurement being performed, the angle at which the measurement is performed, etc., or some combination thereof. The metrology process may include any suitable metrology process known in the art, such as a CD metrology process.

다른 실시예에서, 상기 방법은 비닝 스텝의 결과에 기초하여 웨이퍼의 계측 프로세스에 대한 샘플링 플랜을 변경하는 단계를 포함한다. 따라서, 상기 방법은 적응형 샘플링(adaptive sampling)을 포함할 수 있다. 예컨대, 계측 프로세스에 대한 샘플링 플랜은 비닝 스텝의 결과로부터 결정된 바와 같은 가장 중요한 결함의 많은 수가 계측 프로세스 동안에 측정되도록 변경될 수 있다. 이러한 방식에서, 가장 중요한 결함은 계측 프로세스 동안에 더욱 중점적으로 샘플링될 수 있고, 이에 의해 가장 중요한 결함에 대한 다량의 정보를 이롭게 생성할 수 있다. 계측 프로세스는 당업계에 알려진 임의의 계측 프로세스를 포함할 수 있다. 또한, 계측 프로세스는 SEM과 같이 당업계에서 알려진 임의의 적합한 계측 시스템에 의해 수행할 수 있다. 또한, 계측 프로세스는 프로파일, 두께, CD 등과 같이 웨이퍼 상에 형성된 특징 또는 결함의 임의의 적합한 속성의 당업계에 알려진 임의의 적합한 측정을 수행하는 단계를 포함할 수 있다.In another embodiment, the method includes modifying a sampling plan for the metrology process of the wafer based on the result of the binning step. Thus, the method may include adaptive sampling. For example, the sampling plan for the metrology process can be varied such that a large number of the most significant defects as determined from the results of the binning step are measured during the metrology process. In this manner, the most critical defects can be sampled more intensively during the metrology process, thereby beneficially generating a large amount of information about the most critical defects. The metrology process may include any metrology process known in the art. In addition, the metrology process can be performed by any suitable metrology system known in the art, such as SEM. The metrology process may also include performing any suitable measurements known in the art for any suitable properties of features or defects formed on the wafer, such as profile, thickness, CD, and the like.

유사한 방식에서, 상기 방법은 핫 스팟 정보와 선택적으로는 그 방법에 가용적인 임의의 다른 정보에 기초하여 웨이퍼 상의 결함을 분석(예컨대, 계측 또는 구성 분석) 또는 수리하기 위한 프로세스를 변경하는 단계를 포함할 수 있다. 예컨대, 상기 방법은 결함의 조성을 분석하기 위한 전자 분산 x-선 분광학(EDS 또는 EDX) 또는 결함의 수리 또는 FA에 대한 FIB 프로세스와 같은 프로세스를 변경하는 단계를 포함할 수 있다. 결함을 분석 또는 수리하기 위한 프로세스는 다른 프로세스를 변경하는 것에 대해 여기에 기술한 바와 같이 변경될 수 있다. 예컨대, 결함 또는 수리 프로세스는, 분석 및/또는 수리가 선택된 결함의 위치에서만 수행되도록 변경될 수 있으며, 그러한 선택은 여기에 기술하는 바와 같이 이루어질 수 있다. 또한, 분석 또는 수리 프로세스의 1 이상의 매개변수는 여기에 기술한 방법의 임의의 단계의 결과에 기초하여 선택 및 변경될 수 있다. 그러한 결과는 예컨대, 결함 범주, 결함 근본 원인, 결함 사이즈, 결함 임계성(분석 및/또는 수리가 수행되어야 할 정확도를 지시할 수 있음), 수율 영향, 결함에 가까운 설계 데이터 1 이상의 속성(예컨대, 특징의 치수, 특징의 밀도, 계층, 용장도 등)(분석 및/또는 수리가 수행되어야 하는지, 그리고 분석 및/또는 수리가 수행되어야 할 정확도 등을 지시할 수 있음)를 포함할 수 있다. 계측 툴에 대한 레시피를 생성하기 위한 방법 및 시스템의 추가적인 예는 미국 특허 6,581,193호(MaGhee et al.)에 개시되며, 이는 여기에 완전히 언급한 것과 같이 참고자료로 포함된다. 여기에 기술한 방법 및 시스템은 상기 특허에 개시된 임의의 추가적인 단계를 수행하도록 구성될 수 있다.In a similar manner, the method includes modifying a process for analyzing (e.g., measuring or configuring) defects on a wafer based on hot spot information and optionally any other information available to the method can do. For example, the method may include altering a process, such as electronically dispersed x-ray spectroscopy (EDS or EDX) or repair of defects or FIB process for FA to analyze the composition of the defect. The process for analyzing or repairing defects may be changed as described herein for changing other processes. For example, the defect or repair process may be altered such that the analysis and / or repair is performed only at the location of the selected defect, and such a selection may be made as described herein. In addition, one or more parameters of the analysis or repair process may be selected and modified based on the results of any of the steps described herein. Such results may include, for example, a defect category, a defect root cause, a defect size, a defect criticality (which may indicate the accuracy with which analysis and / or repair should be performed), a yield effect, The density of features, the layer, the redundancy, etc.) (which may indicate whether analysis and / or repair should be performed and the accuracy with which analysis and / or repair should be performed, etc.). A further example of a method and system for generating a recipe for a metrology tool is disclosed in U.S. Patent No. 6,581,193 (MaGhee et al.), Which is incorporated by reference as fully described herein. The methods and systems described herein can be configured to perform any of the additional steps described in the patent.

일부 실시예에서, 상기 방법은 설계 데이터의 1 이상의 속성에 기초하여 결함의 근본 원인을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함이 비닝되는 1 이상의 그룹의 근본 원인을 결정하는 단계를 포함한다. 예컨대, 일 실시예에서, 상기 방법은 1 이상의 그룹 내의 적어도 일부의 결함의 결과, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 이들의 일부 조합에 기초하여 1 이상의 그룹의 결함의 근본 원인을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함의 근본 원인을 개별적으로 또는 집합적으로 그룹으로서 결정하는 단계를 포함할 수 있다. 결함 또는 그룹 결함의 근본 원인은 결함을 분석하는데 사용할 수 있는 EDS 시스템과 같은 진단 시스템으로부터의 분석 결과에 기초하여, 예컨대, 결함의 구성을 측정함으로써 결정할 수 있다. EDS 시스템의 일 예는 미국 특허 6,777,676호(Wang et al.)에 개시되며, 이는 여기에 완전히 언급한 것과 같이 참고자료로 포함된다.In some embodiments, the method includes determining a root cause of the defect based on one or more attributes of the design data. In another embodiment, the method includes determining the root cause of the one or more groups on which the defect is to be benigned. For example, in one embodiment, the method may be used to determine the root cause of a defect in one or more groups based on at least one result of a defect in one or more groups, one or more attributes of design data, one or more attributes of a defect, . In this manner, the method may include determining the root cause of the defects individually or collectively as a group. The root cause of a defect or group defect can be determined, for example, by measuring the composition of the defect, based on the analysis results from the diagnostic system, such as the EDS system, which can be used to analyze the defect. An example of an EDS system is disclosed in U.S. Patent 6,777,676 (Wang et al.), Which is incorporated by reference as fully described herein.

근본 원인 단계는 소스, 원인, 및/또는 체계적 결함에 대한 보정을 식별하는 단계를 포함할 수 있다. 근본 원인 단계는 임의의 설계, 웨이퍼, 레티클, 테스트 및 프로세스 스페이스 사이의 상관관계를 사용하여 다중 소스 스페이스에서 수행할 수 있다. 예컨대, 일 실시예에서, 상기 방법은 1 이상의 그룹 내의 적어도 일부의 결함을 실험적 프로세스 창 결과에 매핑함으로써 1 이상의 그룹 결함의 근본 원인을 결정하는 단계를 포함한다. 실험적 프로세스 창 결과는 상기 방법, 다른 방법, 상기 방법을 수행하도록 구성된 시스템, 또는 상기 방법을 수행하도록 구성된 시스템 이외의 다른 시스템에 의해서 생성될 수 있다. 또한, 실험적 프로세스 창 결과는 PWQ 방법 또는 임의의 다른 적합한 실험(예컨대, 1 이상의 상이한 매개변수에서 상이한 웨이퍼에 대해 에치 프로세스를 수행함으로써)을 사용하여, PWQ 방법 또는 다른 실험 이후의 웨이퍼 상의 결함을 검출함으로써 취득할 수 있다. 실험적 프로세스 창 결과는 검사 및/또는 웨이퍼 상에서 검출된 결함의 리뷰에 의해 취득된 임의의 결과를 포함할 수 있다. 예컨대, 실험적 프로세스 창 결과는 결함의 이미지, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분, 여기에 기술한 바와 같이 결정될 수 있는 설계 데이터 스페이스 내의 결함의 위치, 또는 여기에 기술한 임의의 다른 검사 및/또는 결함 리뷰 결과를 포함할 수 있다.The root cause step may include identifying a correction for source, cause, and / or systematic defects. The root cause step can be performed in multiple source spaces using correlation between any design, wafer, reticle, test and process space. For example, in one embodiment, the method includes determining a root cause of at least one group defect by mapping at least a portion of the defects in the at least one group to an experimental process window result. Experimental process window results may be generated by the above method, another method, a system configured to perform the method, or a system other than a system configured to perform the method. The experimental process window results may also be used to detect defects on the wafer after the PWQ method or other experiments using the PWQ method or any other suitable experiment (e.g., by performing an etch process on different wafers at one or more different parameters) . The experimental process window results may include any results obtained by inspection and / or review of defects detected on the wafer. For example, the empirical process window results may include an image of the defect, a portion of the design data that is close to the location of the defect in the design data space, a location of the defect in the design data space that can be determined as described herein, Inspection and / or defect review results.

적어도 일부의 결함을 실험적 프로세스 창 결과에 매핑하는 단계는 검사 프로세스의 결과를 사용하여 수행할 수 있다. 예컨대, 실험적 프로세스 창 결과가 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분과 웨이퍼 상의 결함의 이미지를 포함하는 경우, 실험적 프로세스 창 결과에 결함을 매핑하는 단계는, 1 이상의 그룹으로 비닝된 결함의 이미지를 설계 데이터 스페이스 내의 비닝된 결함의 위치에 가까운 설계 데이터에 적어도 유사한 설계 데이터 가까이서 검출된 결함에 대한 실험적 프로세스 창 결과 내의 이미지와 비교하는 단계를 포함할 수 있다. 다른 예에서, 실험적 프로세스 창 결과가 설계 데이터 스페이스 내의 결함의 위치를 포함하는 경우, 비닝된 결함을 본 실시예에서의 실험적 프로세스 창 결과에 매핑하는 단계는 실험적 프로세스 창 결과 내의 설계 데이터 스페이스 내의 결함의 위치를 설계 데이터 스페이스 내의 비닝된 결함의 위치에 비교하는 단계를 포함할 수 있다.The step of mapping at least some of the defects to the experimental process window results can be performed using the results of the inspection process. For example, if the experimental process window result includes a portion of the design data near the location of the defect in the design data space and an image of the defect on the wafer, then mapping the defect to the experimental process window result may include a defect To an image in the experimental process window result for the detected defect near at least similar design data to design data near the location of the vened defect in the design data space. In another example, if the empirical process window result includes the location of the defect in the design data space, mapping the binned defect to the empirical process window result in the present embodiment may be performed on the defect in the design data space And comparing the location to the location of the binned defect in the design data space.

이러한 방식에서, 매핑 단계의 결과는 프로세스 창 스페이스 내의 어디에서 결함 검출 이전에 웨이퍼 상에 수행되는 프로세스가 수행되는지를 지시할 수 있다. 특히, 실험적 프로세스 창 결과에 포함된 결함 및 바인딩된 결함이 적어도 유사한지와, 적어도 유사한 설계 데이터에 가깝게 위치하는지를 매핑 결과가 지시하는 경우, 실험적 프로세스 창 결과에 포함된 결함이 검출되는 프로세스 창에서의 1 이상의 매개변수 값은 비닝된 결함에 상호 연관지어지고, 비닝된 결함의 근본 원인으로서 결정될 수 있거나 비닝된 결함의 근본 원인을 결정하는데 사용할 수 있다.In this way, the result of the mapping step can indicate where in the process window space the process performed on the wafer is performed before defect detection. In particular, if the mapping results indicate that the defects contained in the experimental process window results and the bound defects are at least similar and at least close to similar design data, One or more parameter values may be correlated to the binned defect, determined as the root cause of the binned defect, or used to determine the root cause of the binned defect.

다른 실시예에서, 상기 방법은 1 이상의 그룹 내의 적어도 일부의 결함을 시뮬레이션된 프로세스 창 결과에 매핑함으로써 1 이상의 그룹 결함의 근본 원인을 결정하는 단계를 포함한다. 시뮬레이션된 프로세스 창 결과는 전술한 실험적 프로세스 창 결과에 유사한 결과를 포함할 수 있다. 하지만, 시뮬레이션된 프로세스 창 결과는 물리적 웨이퍼에 대한 실험을 수행하는 것에 의하지 않고, 프로세스의 1 이상의 각종의 매개변수의 값에서 설계 데이터가 웨이퍼 상에 어떻게 인쇄되는지를 설명하는 이미지를 시뮬레이션함으로써 취득된다. 프로세스는 설계 데이터에 대응하는 장치의 제조에 포함되는 임의의 프로세스를 포함할 수 있다. 예컨대, 본 실시예는 체계적 결함 위치에 관하여 패터닝 프로세스(예컨대, 리소그라피 또는 에치)를 모델링하는 단계를 포함할 수 있고, 그러한 모델링의 결과는 체계적 결함의 근본 원인을 결정하는데 사용할 수 있다. 시뮬레이션된 프로세스 창 결과는 당업계에 알려진 임의의 적합한 방법 또는 시스템에 의해 생성될 수 있다. 예컨대, 시물레이션된 프로세스 창 결과는 KLA-Tencor사로부터 상업적으로 입수가능한 PROLITH 소프트웨어에 의해 생성될 수 있다. 또한, 시뮬레이션된 프로세스 창 결과는 상기 방법, 다른 방법, 상기 방법을 수행하도록 구성된 시스템, 또는 상기 방법을 수행하도록 구성된 시스템 이외의 다른 시스템에 의해 생성될 수 있다. 본 실시예에서의 근본 원인을 결정하는 단계는 실험적 프로세스 창 결과에 대하여 전수한 바와 같이 수행할 수 있다.In another embodiment, the method includes determining a root cause of at least one group defect by mapping at least a portion of the defects in the at least one group to a simulated process window result. The simulated process window results may include similar results to the experimental process window results described above. However, the simulated process window results are obtained by simulating an image describing how the design data is printed on the wafer at the values of one or more various parameters of the process, rather than performing an experiment on the physical wafer. The process may include any process involved in the manufacture of the device corresponding to the design data. For example, the present embodiment may include modeling a patterning process (e.g., lithography or etch) with respect to a systematic defect location, and the results of such modeling may be used to determine the root cause of systematic defects. The simulated process window results may be generated by any suitable method or system known in the art. For example, the simulated process window results may be generated by PROLITH software commercially available from KLA-Tencor. In addition, the simulated process window results may be generated by the above method, another method, a system configured to perform the method, or a system other than a system configured to perform the method. The step of determining the root cause in this embodiment may be performed as given in the experimental process window results.

근본 원인 단계는 체계적 결함에 대한 소스 및/또는 보정을 결정하는 단계를 포함할 수 있다. 체계적 결함에 대한 하나의 가능한 소스는 프로세스 창 시프트(shift)이다. 또한, 핫 스팟 시그네처의 지식은 프로세스 창 내의 어디에서 프로세스가 작동하는지에 대한 정보를 제공할 수 있다. 근본 원인 단계는 프로세스 창을 확장하기 위해 프로세스 개선에 대한 가장 중요한 기회를 결정하는 단계를 또한 포함할 수 있다. 또한, 근본 원인 단계는 레티클 설계를 개선하기 위해 가장 중요한 체계적 이슈를 결정하는 단계를 포함할 수 있다. 근본 원인 단계는 차세대 기술을 개선 및/또는 구현하기 위해 가장 중요한 체계적 이슈를 결정하는 단계를 추가로 포함할 수 있다.The root cause step may include determining the source and / or correction for systematic defects. One possible source for systematic faults is the process window shift. In addition, knowledge of the hotspot signature can provide information about where in the process window the process is operating. The root cause step may also include a step of determining the most important opportunity for process improvement to extend the process window. In addition, the root cause step may include determining the most important systematic issues to improve the reticle design. The root cause step may further include a step of determining the most important systematic issue to improve and / or implement the next generation technology.

일부 실시예에서, 상기 방법은 1 이상의 그룹의 결함에 의해 영향받은 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 예컨대, 퍼센티지는 그룹 내의 결함이 적어도 한번 검출된 웨이퍼 상의 검사 다이의 수를 결정하고, 그룹 내의 결함이 적어도 한번 검출된 검사 다이의 수를 전체 검사 다이 수로 나누어서 결정할 수 있다. 그룹 내의 결함이 적어도 한번 검출된 웨이퍼 상의 검사 다이의 수는 결함의 설계 데이터 스페이스 위치, 웨이퍼 상에 인쇄된 다이의 설계 스페이스 위치, 및 결함을 검출하는데 사용된 검사 프로세스에 대한 정보에 기초하여 결정할 수 있다. 이들 단계의 결과에 100을 곱해서 퍼센티지에 이르게 한다. 일 특정 예에서, 하나의 그룹으로 비닝된 300개의 결함이 존재하고, 그 그룹 내의 결함은 웨이퍼 상에 5개의 다이에 위치되며, 웨이퍼 상에는 6,000개의 다이가 존재하는 경우, 퍼센티지는 [(5)(100)]/(6000) 또는 0.083%로서 결정될 수 있다. 따라서 그 퍼센티지는 결함의 그룹에 대한 다이 영향 마진을 반영한다. 그러한 퍼센티지는 1 이상의 그룹의 결함에 대해 결정될 수 있고, 각각(또는 적어도 일부)의 퍼센티지는 상기 방법에 의해 생성될 수 있는 바 차트와 같은 차트로 표시될 수 있다. 따라서, 그 차트는 결함이 비닝되는 그룹의 함수로서 다이 영향 마진을 나타낸다. 그러한 차트는 여기에 추가로 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에서 나타내질 수 있다. 상기 방법은 본 실시예에서 결정된 퍼센티지에 기초하여 1 이상의 그룹의 결함을 우선순위화하는 단계를 또한 포함할 수 있다. 그러한 우선순위화의 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있으며, 그러한 우선순위화의 결과는 여기에 추가로 기술하는 바와 같이 사용될 수 있다.In some embodiments, the method includes determining a percentage of die formed on a wafer affected by a defect of at least one group. For example, the percentage can be determined by determining the number of inspection dies on the wafer where the defects in the group have been detected at least once and by dividing the number of inspection dies detected at least once into defects in the group by the total number of inspection dies. The number of inspection dies on the wafer where the defects in the group have been detected at least once can be determined based on the design data space location of the defect, the design space location of the die printed on the wafer, and information about the inspection process used to detect the defect have. The result of these steps is multiplied by 100 to reach a percentage. In one particular example, there are 300 defects binned in one group, the defects in the group are located on five dice on the wafer, and if there are 6,000 die on the wafer, the percentage is [(5) 100)] / (6000) or 0.083%. The percentage thus reflects the die impact margin on the group of defects. Such a percentage can be determined for one or more group defects, and each (or at least a percentage) of the percentages can be represented by a chart such as a bar chart that can be generated by the method. Thus, the chart shows the die impact margin as a function of the group to which the defect is to be binned. Such a chart may be represented in a user interface that may be configured as further described herein. The method may also include the step of prioritizing defects of one or more groups based on the percentage determined in the present embodiment. The steps of such prioritization may be performed as further described herein, and the results of such prioritization may be used as further described herein.

다른 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 웨이퍼 상의 1 이상의 위치의 수에 대한 1 이상의 POI에 대응하는 적어도 하나의 그룹으로 비닝된 결함의 수의 비율을 결정하는 단계를 포함한다. 적어도 하나의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI는 여기에 추가로 기술하는 바와 같이 결정할 수 있다. 1 이상의 POI의 모든 예가 결함을 검출하기 위해 사용된 검사 프로세스 동안에 검사되지 않으면, 본 실시예에서 사용된 웨이퍼 상의 1 이상의 POI의 위치의 수는 웨이퍼 상의 1 이상의 POI의 검사된 위치의 수일 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 상에 인쇄된 POI의 위치의 수(또는 웨이퍼 상의 POI의 검사된 위치의 수)에 대한 결함이 웨이퍼 상에서 검출된 POI의 비율 또는 퍼센티지를 결정하여 마진 분석을 수행하는 단계를 포함할 수 있다. 그러한 실시예에서, 웨이퍼 상의 POI의 위치의 수는 임의의 패턴 검색에 의해 식별될 수 있다. 웨이퍼 상의 POI의 검사된 위치의 수는, 임의의 패턴 검색에 의해서, 웨이퍼 상의 POI의 검사된 위치의 수를 결정하기 위해 검사 프로세스에 대한 정보 및 임의의 패턴 검색의 결과를 사용하여 수행될 수 있다. 또한, 여기에 기술한 상기 방법은 웨이퍼 상의 POI의 위치를 식별하고, POI의 영역을 결정하기 위한 임의의 패턴 검색을 포함할 수 있다. 웨이퍼 상의 POI의 영역 및 POI의 위치의 수(또는 웨이퍼 상의 POI의 검사된 위치의 수)는 POI에 의한 결함 밀도를 결정하는데 사용할 수 있다. 상기 방법은 본 실시예에서 결정된 비율에 기초하여 1 이상의 POI를 우선순위화하는 단계를 또한 포함할 수 있다. 그러한 우선순위화는 여기에 추가로 기술하는 바와 같이 수행할 수 있고, 그러한 우선순위화 결과는 여기에 기술하는 바와 같이 사용할 수 있다.In another embodiment, the method further comprises determining at least one POI in the design data corresponding to at least one group, determining at least one POI in the design data corresponding to the at least one group corresponding to at least one POI, Of the number of < / RTI > One or more POIs in design data corresponding to at least one group may be determined as further described herein. If all instances of one or more POIs are not inspected during the inspection process used to detect defects, the number of locations of one or more POIs on the wafer used in this embodiment may be the number of inspected locations of one or more POIs on the wafer. In this manner, the method may include performing a margin analysis by determining the percentage or percentage of POIs detected on the wafer, the number of locations of the POIs printed on the wafer (or the number of inspected locations of POIs on the wafer) Step < / RTI > In such an embodiment, the number of locations of POIs on the wafer may be identified by any pattern search. The number of inspected locations of POIs on the wafer may be performed using any pattern search, using information about the inspection process and the results of any pattern searches to determine the number of inspected locations of POIs on the wafer . In addition, the method described herein can identify the location of the POI on the wafer and include any pattern search to determine the area of the POI. The area of the POI on the wafer and the number of locations of the POI (or the number of inspected locations of the POI on the wafer) can be used to determine the defect density by the POI. The method may also include prioritizing one or more POIs based on the ratio determined in the present embodiment. Such prioritization may be performed as further described herein, and such prioritization results may be used as described herein.

추가적인 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 설계 데이터 내의 1 이상의 POI 위치의 수(또는 설계 데이터 내의 1 이상의 POI의 모든 위치가 결함을 검출하기 위해 사용된 검사 프로세스 동안에 검사되지 않는 경우 설계 데이터 내의 1 이상의 POI의 검사된 위치의 수)에 대한 1 이상의 POI에 대응하는 적어도 하나의 그룹 내의 비닝된 결함의 수의 비율을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 설계 내의 POI의 위치의 수(또는 설계 내의 POI의 검사된 위치의 수)에 대한 POI에 대응하는 그룹 내의 결함 수의 비율 또는 퍼센티지를 결정하여 마진 분석을 수행하는 단계를 포함할 수 있다. 그러한 실시예에서, 설계 데이터 내의 POI의 위치의 수는 임의의 패턴 검색에 의해 수행될 수 있다. 또한, 설계 내의 POI의 검사된 위치의 수는 전술한 바와 같이 결정할 수 있다. 적어도 하나의 그룹에 대응하는 1 이상의 POI는 여기에 추가로 기술하는 바와 같이 결정할 수 있다. 이러한 방법은 본 실시예에서 결정된 비율에 기초하여 1 이상의 POI를 우선순위화하는 단계를 또한 포함할 수 있다. 그러한 우선순위화는 여기에 추가로 기술하는 바와 같이 수행할 수 있고, 그러한 우선순위화 결과는 여기에 기술하는 바와 같이 사용될 수 있다.In a further embodiment, the method comprises determining at least one POI in the design data corresponding to at least one group, determining the number of POI locations in the design data (or the location of one or more POIs in the design data) Determining the ratio of the number of binned defects in at least one group corresponding to one or more POIs to the number of inspected locations of one or more POIs in the design data if not inspected during the inspection process used to detect . In this manner, the method comprises performing margin analysis by determining the percentage or percentage of defects in the group corresponding to the POI for the number of locations of the POI in the design (or the number of inspected locations of the POI in the design) . In such an embodiment, the number of locations of the POI in the design data may be performed by any pattern search. In addition, the number of inspected locations of the POI in the design can be determined as described above. One or more POIs corresponding to at least one group may be determined as further described herein. This method may also include prioritizing one or more POIs based on the ratio determined in the present embodiment. Such prioritization may be performed as further described herein, and such prioritization results may be used as described herein.

추가의 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 적어도 하나의 그룹으로 비닝되는 결함이 위치되는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 POI에 우선순위를 할당하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함에 의해 영향을 받은 다이의 퍼센티지에 기초하여 마진 분석을 수행하는 단계를 포함할 수 있다. 예컨대, 그룹으로 비닝된 결함의 수는 웨이퍼 상에 설계 데이터를 인쇄하는데 사용된 레티클 상의 POI의 설계 인스턴스의 수와 레티클이 웨이퍼 상에 인쇄되는 횟수로 나눌 수 있다. 이러한 단계의 결과에 100을 곱해서 퍼센티지에 이른다. 일 특정 예에서, 일 그룹으로 비닝된 300개의 결함과, 레티클 상의 그룹에 대응하는 POI의 2000개의 설계 인스턴스가 존재하고, 레티클이 웨이퍼 상에 1000회 인쇄되는 경우, 그룹으로 비닝된 결함이 위치하는 웨이퍼 상에 형성된 다이의 퍼센티지는 [(300)(100)]/[(2000)(1000)] 또는 0.015%이며, 이는 본질적으로 결함의 그룹에 대한 웨이퍼 기반 마진이다.In a further embodiment, the method comprises the steps of determining a POI in design data corresponding to at least one group, determining the percentage of die formed on the wafer on which the defect to be binned in at least one group is located, And assigning a priority to the POI based on the percentage. In this manner, the method may include performing a margin analysis based on a percentage of the die affected by the defect. For example, the number of defects binned in a group can be divided by the number of design instances of the POI on the reticle used to print the design data on the wafer and the number of times the reticle is printed on the wafer. The result of this step is multiplied by 100 to reach a percentage. In one particular example, there are 300 defects grouped into one group, 2000 design instances of POIs corresponding to groups on the reticle, and if the reticle is printed 1000 times on the wafer, the grouped defect is located The percentage of die formed on the wafer is [(300) (100)] / [(2000) (1000)] or 0.015%, which is essentially a wafer-based margin for a group of defects.

이러한 방식에서, 상기 방법은 결함이 적어도 한번 검출되는 웨이퍼 상의 검사 다이의 수에 의해 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 예컨대, 체계적 결함이, 다이 내의 POI의 설계 인스턴스의 1%에 대하여, 다이 내의 POI의 설계 인스턴스의 10%로 출현한 경우, 더 높은 우선순위가 POI에 할당될 수 있다. 다른 예에서, 웨이퍼 상의 다수의 다이에서 검출된 결함의 그룹에는 웨이퍼 상의 소수의 다이에서 검출된 결함의 그룹보다 더 높은 우선순위가 할당될 수 있다. 또한, 상기 방법은 상이한 그룹으로 비닝된 결함이 위치하는 웨이퍼 상에 형성된 다이의 퍼센티지를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 따라서, 차트는 상이한 결함 그룹에 대한 다이 기반 마진을 그래픽 식으로 나타낸다. 그러한 차트는 여기에 기술한 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 그러한 우선순위화의 결과는 여기에 기술하는 바와 같이 사용할 수 있다.In this manner, the method may include prioritizing systematic defects by the number of inspection dies on the wafer where the defects are detected at least once. For example, a higher priority may be assigned to a POI if systematic defects occur at 10% of the design instance of the POI in the die, for 1% of the design instance of the POI in the die. In another example, a group of defects detected on multiple die on a wafer may be assigned a higher priority than a group of defects detected on a small number of die on the wafer. The method may also include generating a chart such as a bar chart showing the percentage of dies formed on the wafer where the defects binned into different groups are located. Thus, the chart graphically represents die-based margins for different defect groups. Such a chart may be displayed on a user interface that may be configured as described herein. The results of such prioritization can be used as described herein.

또 다른 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함이 검출되는 웨이퍼 상의 전체 설계 인스턴스의 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 본 실시예에서 사용된 웨이퍼 상의 설계 인스턴스의 수는, 웨이퍼 상의 모든 설계 인스턴스가 결함을 검출하는데 사용된 검사 프로세스 동안에 검사되지 않은 경우, 웨이퍼 상의 전체 검사된 설계 인스턴스의 수일 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 상의 전체 설계 인스턴스의 수(또는 전체 검사된 설계 인스턴스의 수)로 공지된 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 그와 같이, 상기 방법은 웨이퍼 기반 마진에 기초하여 알려진 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 예컨대, 웨이퍼 상의 큰 수의 설계 인스턴스에서 검출된 결함의 그룹에는 웨이퍼 상의 작은 수의 설계 인스턴스에서 검출되는 결함의 그룹보다 더 높은 우선순위가 할당될 수 있다. 그러한 우선순위화는 결함이 검출되는 웨이퍼 상의 설계 인스턴스(또는 검사된 설계 인스턴스)의 위치의 퍼센티지에 기초하여 수행할 수 있다. 예컨대, 검출되어 일 그룹으로 비닝된 결함의 수는 웨이퍼 상의 설계 인스턴스의 전체 수(또는 검사된 설계 인스턴스의 전체 수)로 나눌 수 있다. 이러한 단계의 결과에 100을 곱해 전술한 퍼센티지에 이를 수 있다. 또한, 상기 방법은 상이한 그룹의 결함이 검출된 웨이퍼 상의 설계 인스턴스의 수(또는 검사된 설계 인스턴스의 수)를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 그러한 우선순위화는 여기에 기술하는 바와 같이 추가로 수행될 수 있고, 그 우선순위화 결과는 여기에 기술한 바와 같이 사용될 수 있다.In another embodiment, the method includes prioritizing one or more groups by the number of overall design instances on the wafer for which defects in one or more groups are detected. The number of design instances on the wafer used in this embodiment may be the total number of inspected design instances on the wafer if all design instances on the wafer were not inspected during the inspection process used to detect defects. In this manner, the method may include prioritizing known systematic defects with a total number of design instances on the wafer (or a total number of inspected design instances). As such, the method may include prioritizing known systematic defects based on wafer-based margins. For example, a group of defects detected in a large number of design instances on a wafer may be assigned a higher priority than a group of defects detected in a small number of design instances on the wafer. Such prioritization may be performed based on the percentage of the position of the design instance (or inspected design instance) on the wafer from which the defect is detected. For example, the number of detected and binned defects can be divided into a total number of design instances on the wafer (or a total number of inspected design instances). The result of this step can be multiplied by 100 to reach the aforementioned percentage. The method may also include generating a chart such as a bar chart showing the number of design instances (or the number of inspected design instances) on the wafer for which different groups of defects have been detected. Such charts may be displayed on a user interface that may be configured as described herein. Such prioritization may be performed further as described herein, and the prioritization results may be used as described herein.

일부 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함이 적어도 한번 검출되는 웨이퍼 상의 설계 데이터를 인쇄하는데 사용되는 레티클 상의 설계 인스턴스의 수로 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 본 실시예에서 사용된 레티클 상의 설계 인스턴스의 수는 검사된 설계 인스턴스의 수일 수 있다. 이러한 방식에서, 상기 방법은 결함이 적어도 한번 발견되는 레티클 상의 설계 인스턴스의 수에 의해 알려진 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 예컨대, 레티클 상의 큰 수의 설계 인스턴스에서 검출된 결함의 그룹에는 레티클 상의 작은 수의 설계 인스턴스에서 검출된 결함의 그룹보다 높은 우선순위가 할당될 수 있다. 또한, 상기 방법은 상이한 그룹의 결함이 검출된 레티클 상의 설계 인스턴스의 수를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 그러한 우선순위화는 여기에 기술하는 바와 같이 추가로 수행될 수 있고, 그 우선순위화 결과는 여기에 기술한 바와 같이 사용될 수 있다.In some embodiments, the method includes prioritizing one or more groups with the number of design instances on the reticle that are used to print design data on the wafer at which defects within one or more groups are detected at least once. The number of design instances on the reticle used in this embodiment may be the number of design instances inspected. In this manner, the method may include prioritizing systematic defects known by the number of design instances on the reticle where the defects are found at least once. For example, a group of defects detected in a large number of design instances on the reticle may be assigned a higher priority than a group of defects detected in a small number of design instances on the reticle. The method may also include generating a chart such as a bar chart showing the number of design instances on the reticle on which defects of different groups have been detected. Such charts may be displayed on a user interface that may be configured as described herein. Such prioritization may be performed further as described herein, and the prioritization results may be used as described herein.

다른 실시예에서, 상기 방법은 1 이상의 그룹으로 비닝된 결함이 검출되는 레티클 상의 위치의 수와, 1 이상의 그룹으로 비닝된 결함의 위치에 가까운 설계 데이터의 부분에 적어도 유사한 레티클 상에 인쇄된 설계 데이터의 부분의 전체 수에 기초하여 1 이상의 그룹에 대한 레티클 기반 마진을 결정하는 단계를 포함할 수 있다. 본 실시예에서 사용된 레티클 상의 위치의 수는 검사된 위치의 수를 포함할 수 있다. 예컨대, 레티클-기반 마진은 일 그룹 내의 적어도 하나의 결함이 검출된 적층 레티클 맵 내의 위치의 수를 레티클 상의 전체 설계 인스턴스로 나누어서 결정될 수 있다. 이러한 단계의 결과에 100을 곱해서, 결함이 검출된 그룹에 대응하는, 설계 인스턴스의 위치의 퍼센티지에 이를 수 있다. 일 특정 예에서, 300개의 결함의 일 그룹으로 비닝되고, 레티클 상의 그룹에 대응하는 POI에 대해 2000개의 설계 인스턴스가 존재하고, 그룹으로 비닝된 결함이 레티클 내의 50곳의 상이한 위치에서 검출되는 경우(적층 레티클 맵으로부터 결정될 수 있다), 이러한 결함 그룹에서의 레티클 기반 마진은 [(50)(100)]/(2000) 또는 2.5%일 수 있다. 또한, 상기 방법은 상이한 그룹의 결함이 검출된 위치의 퍼센티지 또는 레티클 기반 마진을 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 또한, 상기 방법은 1 이상의 그룹에 대하여 결정된 레티클 기반 마진에 기초하여 1 이상의 그룹의 결함을 우선순위화하는 단계를 또한 포함할 수 있다. 예컨대, 비교적 높은 레티클 기반 마진을 나타내는 그룹에는 비교적 낮은 레티클 기반 마진을 나타내는 결함의 그룹보다 더 높은 우선순위가 할당될 수 있다. 그러한 우선순위화는 여기에 기술하는 바와 같이 추가로 수행할 수 있으며, 그러한 우선순위화의 결과는 여기에 기술하는 바와 같이 사용될 수 있다.In another embodiment, the method includes comparing the number of positions on the reticle where a defect binned in one or more groups is detected and the design data printed on a reticle at least similar to the portion of the design data that is close to the position of the defect, Determining a reticle based margin for the one or more groups based on the total number of portions of the reticle. The number of positions on the reticle used in this embodiment may comprise the number of positions examined. For example, the reticle-based margins can be determined by dividing the number of positions in the stacked reticle map in which at least one defect in a group is detected by the total design instance on the reticle. The result of this step may be multiplied by 100 to reach a percentage of the location of the design instance corresponding to the group in which the defect was detected. In one particular example, if there are 2000 design instances for a POI corresponding to a group of 300 defects and a group corresponding to a group on the reticle, and a defect grouped in a group is detected at 50 different locations in the reticle (50) (100) / (2000) or 2.5%. The reticle-based margin in this group of defects may be [(50) (100)] / The method may also include generating a chart such as a bar chart showing percentages of locations where defects of different groups have been detected or reticle based margins. Such charts may be displayed on a user interface that may be configured as described herein. The method may also include the step of prioritizing defects of one or more groups based on the reticle based margins determined for the one or more groups. For example, a group representing a relatively high reticle based margin may be assigned a higher priority than a group of defects representing a relatively low reticle based margin. Such prioritization may be performed further as described herein, and the results of such prioritization may be used as described herein.

전술한 실시예의 단계는 전술한 바와 같이 결함의 그룹 또는 그룹으로 비닝된 개별 결함에 대해서 수행할 수 있다.The steps of the above-described embodiments may be performed on individual defects that have been grouped into groups or groups of defects as described above.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법 실시예의 각각은 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the above-described method may comprise any other step of any of the methods described herein. Further, each of the above-described method embodiments may be performed by any of the systems described herein.

위에서 상세히 언급한 바와 같이, 결함을 비닝하기 위한 방법 실시예는 DCI를 결정하는 단계를 포함할 수 있다. 또한, 일부 방법은 웨이퍼 상에서 검출된 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함할 수 있고, 웨이퍼 상에서 검출된 결함을 비닝하는 단계를 포함하거나 포함하지 않을 수 있다. 예컨대, 웨이퍼 상에서 검출된 결함에 대해 DCI를 결정하는 컴퓨터-구현 방법의 일 실시예는 설계 데이터 스페이스 내의 결함의 위치에 가까운 장치에 대해, 설계 데이터의 1 이상의 속성에 기초하여, 그 결함이 웨이퍼 상에서 제조되고 있는 장치의 1 이상의 전기적 속성을 변경할 확률을 결정하는 단계를 포함한다. 결함이 장치의 1 이상의 전기적 속성을 변경할 확률은, 그 결함이 장치의 1 이상의 전기적 매개변수를 변경하거나 및/또는 장치에 대한 다이를 파손할 확률일 수 있다. 설계 데이터의 1 이상의 속성은 여기에 기술한 임의의 설계 데이터 속성을 포함할 수 있다. 그 확률은 결함의 1 이상의 속성(예컨대, 결함 사이즈)와 조합하여 설계 데이터의 1 이상의 속성에 기초하여 결정할 수 있다. 또한, 그 확률은 결함의 1 이상의 속성, 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 정확도와 조합하여 설계 데이터의 1 이상의 속성에 기초하여 결정할 수 있다.As mentioned in detail above, a method embodiment for binning defects may include determining the DCI. In addition, some methods may include determining the DCI for one or more defects detected on the wafer, and may or may not include the step of binning the detected defects on the wafer. For example, one embodiment of a computer-implemented method of determining DCI for defects detected on a wafer is to determine, based on one or more attributes of the design data for devices near the location of the defects in the design data space, And determining a probability of changing one or more electrical properties of the device being manufactured. The probability that a defect will change one or more electrical properties of the device may be the probability that the defect will alter one or more electrical parameters of the device and / or break the die for the device. One or more attributes of the design data may include any of the design data attributes described herein. The probability can be determined based on at least one attribute of the design data in combination with at least one attribute (e.g., defect size) of the defect. The probability can also be determined based on one or more attributes of the defect, the location of the defect reported by the inspection system used to detect the defect, and one or more attributes of the design data in combination with the coordinate accuracy of the inspection system.

일 특정 예에서, 그 확률을 결정하는 단계는 설계 데이터 내의 결함에 대해 주요 영역과 같은 설계 데이터의 1 이상의 속성을 결정하는 단계를 포함할 수 있다. 이러한 방식에서, 주요 영역, 보고된 결함 사이즈, 및 보고된 결함 위치는 그 결함이 장치의 1 이상의 전기적 속성을 변경할 확률을 결정하는데 사용될 수 있다. 예컨대, 결함 사이즈가 커지고 패턴 복잡도가 증가할수록, 결함이 장치의 1 이상의 속성을 변경할 확률 또한 커진다. 따라서, 장치의 1 이상의 전기적 속성 내에서의 손상 또는 변경 가능성을 결함 사이즈 및 패턴 복잡도의 함수로서 기술하는 관계는 각 웨이퍼 상의 각 결함의 상대적 리스크를 결정하는데 사용할 수 있다.In one particular example, determining the probability may include determining at least one attribute of the design data, such as a key region, for a defect in the design data. In this manner, the critical area, the reported defect size, and the reported defect location can be used to determine the probability that the defect will change one or more electrical attributes of the device. For example, the larger the defect size and the greater the pattern complexity, the greater the probability that a defect will change one or more attributes of the device. Thus, the relationship describing damage or alterability within one or more electrical properties of the device as a function of defect size and pattern complexity can be used to determine the relative risk of each defect on each wafer.

다른 예에서, 그 확률은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터, 설계 데이터 내의 결함의 위치의 확률, 결함이 장치의 1 이상의 전기적 속성을 변경할지를 결정하기 위해 모델에 입력되는 바와 같은 결함 사이즈를 사용하여 결정될 수 있다. 이러한 방식에서, 상기 확률은, 결함이 설계 레이아웃 내의 특정 스폿에 위치되는 경우, 장치의 1 이상의 전기적 속성을 변경할 확률이다.In another example, the probability may be determined based on design data close to the location of the defect in the design data space, the probability of the location of the defect in the design data, the defect size as entered into the model to determine whether the defect will change one or more electrical properties of the device ≪ / RTI > In this way, the probability is a probability of changing one or more electrical attributes of the device if the defect is located in a particular spot in the design layout.

상기 방법은 결함이 장치의 1 이상의 속성을 변경할 확률에 기초하여 결함에 대한 DCI를 결정하는 단계를 또한 포함한다. 예컨대, DCI는 적어도 대략적으로 확률에 상호 연관된 인덱스일 수 있다. 일 예에서, 높은 DCI는 비교적 높은 확률이 결정되는 결함에 대해 결정될 수 있다. 즉, 장치의 1 이상의 전기적 속성을 변경할 비교적 높은 확률을 갖는 결함에 대해서는 DCI가 높다. DCI는 그 DCI와 확률 사이의 관계를 기술하는 임의의 적합한 방법, 알고리즘, 데이터 구조 등, 또는 이들의 일부 조합을 사용하여 확률로부터 결정될 수 있다. 여기에 기술한 방법은, 실험적 결과(예컨대, 검사, 계측, 리뷰, 테스트, 또는 이들의 일부 조합), 시뮬레이션 결과, 실험상 데이터, 설계에 관한 정보, 이력 데이터, 또는 이들의 일부 조합을 사용하여, 그러한 방법, 알고리즘, 데이터 구조, 룰 등을 생성하는 단계를 포함할 수 있다. 또한, DCI는 임의의 적합한 포맷(수, 알파벳, 문자열 등)을 가질 수 있다. DCI는 유저가 그 DCI의 값을 용이하게 이해할 수 있는 방식으로 표현될 수 있다. 예컨대, DCI에는 1과 10 사이의 값이 할당되고, 10의 경우는 가장 높은 DCI이고, 1 은 가장 낮은 DCI이다. DCI는 여기에 기술한 1 이상의 실시예와 같은 방법 또는 시스템이 여기에 기술한 1 이상의 단계를 수행하기 위해 DCI를 사용할 수 있는 방식으로 표현될 수 있다.The method also includes determining a DCI for the defect based on a probability that the defect will change one or more attributes of the device. For example, the DCI may be at least approximately an index correlated to the probability. In one example, a high DCI can be determined for a defect for which a relatively high probability is determined. That is, the DCI is high for defects with a relatively high probability of changing one or more electrical properties of the device. The DCI may be determined from probabilities using any suitable method, algorithm, data structure, etc., or some combination thereof, describing the relationship between the DCI and the probability. The methods described herein may be implemented using experimental results (e.g., inspection, measurement, review, test, or some combination thereof), simulation results, experimental data, design information, historical data, , Such methods, algorithms, data structures, rules, and the like. In addition, the DCI may have any suitable format (number, alphabet, string, etc.). The DCI can be expressed in such a way that the user can easily understand the value of the DCI. For example, DCI is assigned a value between 1 and 10, 10 is the highest DCI, and 1 is the lowest DCI. The DCI may be expressed in a manner such that the method or system as used in one or more of the embodiments described herein may use the DCI to perform one or more of the steps described herein.

상기 방법은 저장 매체 내에 DCI를 저장하는 단계를 추가로 포함한다. 또한, 그 저장 단계는 여기에 기술한 임의의 방법 실시예의 임의의 다른 결과에 부가하여 DCI를 저장하는 단계를 포함할 수 있다. DCI는 당업계에 알려진 임의의 방식으로 저장될 수 있다. 또한, 저장 매체는 여기에 기술한 임의의 저장 매체 또는 당업계에 알려진 임의의 적합한 저장 매체를 포함할 수 있다. DCI가 저장된 후에, DCI는 여기에 기술한 임의의 방법 또는 시스템에 의해 저장 매체 내에서 액세스되어 사용될 수 있다. 또한, DCI는 "영구적으로", "반-영구적으로", 또는 임의의 기간동안 일시적으로 저장될 수 있다. 또한, DCI를 저장하는 단계는 여기에 기술한 임의의 다른 방식으로 수행할 수 있다.The method further comprises storing the DCI in a storage medium. The storing step may also include storing the DCI in addition to any other result of any of the method embodiments described herein. The DCI may be stored in any manner known in the art. The storage medium may also include any storage medium described herein or any suitable storage medium known in the art. After the DCI is stored, the DCI may be accessed and used within the storage medium by any method or system described herein. In addition, the DCI may be "permanently "," semi-permanently ", or temporarily stored for any period of time. In addition, the step of storing the DCI may be performed in any other manner described herein.

일 실시예에서, DCI가 결정되는 결함은 랜덤 결함을 포함한다. 다른 실시예에서, DCI가 결정된 결함은 체계적 결함을 포함한다. 이러한 방식에서, DCI는 랜덤 결함 및 체계적 결함의 양쪽에 대해서 결정될 수 있다. 결함은 여기에 추가로 기술하는 바와 같이 랜덤 결함 또는 체계적 결함으로서 결정할 수 있다. 또한, 본 방법의 실시예가 결함에 대한 DCI를 결정하는 단계를 포함하는 것으로 기술하였지만, 상기 방법은 웨이퍼 상에서 검출된 하나의 결함, 일부 결함, 또는 모든 결함에 대해 DCI를 결정하는 단계를 포함할 수 있다. 상기 방법으로 DCI가 결정된 결함은 유저에 의해 선택될 수 있다. 대안적으로, 상기 방법으로 DCI가 결정된 결함은 상기 방법에 의해 선택될 수 있다(예컨대, 결함의 1 이상의 속성, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 여기에 기술한 결함 및/또는 설계 데이터의 임의의 다른 정보, 또는 이들의 일부 조합에 기초하여).In one embodiment, the defect for which the DCI is determined includes a random defect. In another embodiment, the defects for which the DCI is determined include systematic defects. In this way, DCI can be determined for both random defects and systematic defects. The defects can be determined as random defects or systematic defects as further described herein. Further, although embodiments of the present method are described as including a step of determining DCI for a defect, the method may include determining DCI for one defect, some defect, or all defects detected on the wafer have. The defects for which the DCI has been determined in this way can be selected by the user. Alternatively, the defect for which the DCI is determined in the above manner can be selected by the method (e.g., one or more attributes of the defect, one or more attributes of the design data near the location of the defect in the design data space, And / or any other information of the design data, or some combination thereof).

일부 실시예에서, 1 이상의 전기적 속성은 장치의 기능성을 포함한다. 이러한 방식에서, DCI는 결함이 장치가 고장나거나 기능하지 않게 야기할 수 있는 결함의 확률에 기초하여 결정될 수 있다. 다른 실시예에서, 장치의 1 이상의 전기적 속성은 장치의 1 이상의 전기적 매개변수를 포함한다. 이러한 방식에서, DCI는 결함이 장치의 1 이상의 전기적 매개변수를 변경할 확률에 기초하여 결정할 수 있다. 그와 같이, 그 확률은 결함이 전기적 매개변수 이슈를 야기할 수 있는 확률일 수 있다. 전기적 매개변수 이슈는 전기 테스트 내의 전기적 결함으로서 자격이 부여되지 않고, 결함이 장치의 전기 성능을 변경하고, 그 결함이 지속되는 경우 다른 웨이퍼 상에서의 시간에 걸친 전기적 결함을 야기하는 것을 개시할 수 있는 지시일 수 있다. 전기적 매개변수는 속도, 구동 전류, 신호 통합, 및 장치의 파워 분산과 같은 당업계에서 알려진 임의의 전기적 매개변수를 포함할 수 있다.In some embodiments, the one or more electrical attributes include functionality of the device. In this manner, the DCI may be determined based on the probability of a defect where the defect may cause the device to fail or not function. In another embodiment, the at least one electrical property of the device comprises at least one electrical parameter of the device. In this manner, the DCI can determine based on the probability that the defect will change one or more electrical parameters of the device. As such, the probability may be the probability that a defect will cause an electrical parameter issue. The electrical parameter issue is not qualified as an electrical defect in the electrical test and can be initiated to cause electrical defects over time on other wafers if the defect changes the electrical performance of the device and the defect persists It can be an instruction. The electrical parameters may include any electrical parameters known in the art such as speed, drive current, signal integration, and power distribution of the device.

일 실시예에서, 상기 설계 데이터의 1 이상의 속성은 용장도, 네트 리스트, 또는 이들의 일부 조합을 포함한다. 다른 예에서, 설계 데이터의 1 이상의 속성은 설계 데이터 내의 특징의 치수, 설계 데이터 내의 특징의 밀도, 또는 이들의 일부 조합을 포함한다. 그러한 속성은 전술한 바와 같이 확률을 결정하는데 사용할 수 있다. 추가적인 실시예에서, 설계 데이터의 1 이상의 속성은 장치의 1 이상의 설계 층에 대한 설계 데이터의 1 이상의 속성을 포함한다. 이러한 방식에서, 확률은 결함에 대한 다중-층 콘텍스트 정보에 기초하여 결정할 수 있으며, 이는 결함이 장치를 통하여 전파됨으로써 그리고 웨이퍼 상에 형성된 장치가 통상적으로는 여러 층으로 형성되기 때문에, 1 이상의 설계 층에 영향을 미치는 경우 이롭다. 따라서, 결함은 장치의 1 이상의 층에 인쇄되는 설계 데이터를 변경할 수 있고, 임의의 층, 일부의 층, 또는 모든 층에 대한 변경은 장치의 1 이상의 속성을 변경할 수 있다. 그와 같이, 확률을 결정하기 위해 설계 데이터의 1 이상의 속성을 사용함으로써, 그 확률은 장치의 1 이상의 층에 어떻게 영향을 미치는지에 기초하여 결정될 수 있고, 이에 의해 가능하게는 상기 확률과 그것으로부터 결정된 DCI가 잠재적 매개변수 이슈를 더욱 지시하고 더욱 수율 관련적이 되게 할 수 있다.In one embodiment, the one or more attributes of the design data include redundancy, a netlist, or some combination thereof. In another example, one or more attributes of the design data include the dimensions of the features in the design data, the density of features in the design data, or some combination thereof. Such an attribute can be used to determine the probability as described above. In a further embodiment, one or more attributes of the design data include one or more attributes of design data for one or more design layers of the device. In this way, the probability can be determined based on multi-layer context information for the defect, because the defect is propagated through the device and because the device formed on the wafer is typically formed in multiple layers, It is beneficial if it affects. Thus, a defect can change design data printed on one or more layers of a device, and changes to any layer, to some layer, or to all layers can change one or more attributes of the device. As such, by using one or more attributes of the design data to determine a probability, the probability can be determined based on how it affects one or more layers of the device, thereby possibly determining the probability and the determined DCI can further direct potential parameter issues and make them more yield related.

일부 실시예에서, 확률 결정 단계는 설계 데이터에 대한 전기 테스트 결과와, 설계 데이터의 1 이상의 속성 사이의 상관관계를 사용하여 확률을 결정하는 단계를 포함한다. 예컨대, 상기 방법은 설계 데이터의 1 이상의 속성과 전기적 테스트 결과 사이에 상관관계가 존재하는지를 결정하는 데이터 마이닝을 수행하는 단계를 포함할 수 있다. 특히, 웨이퍼 상에 인쇄된 라인 폭, 스페이싱 등과 같은 설계 데이터의 1 이상의 속성이 측정될 수 있고, 웨이퍼에 대한 전기 테스트 결과가 설계 데이터의 속성과 설계 데이터 테스트 결과 사이의 상관관계를 결정하는데 사용될 수 있다. 전기적 테스트 결과는 웨이퍼 상에 형성된 1 이상의 장치의 1 이상의 속성의 측정치를 포함할 수 있거나, 장치의 1 이상의 전기적 속성을 결정하는데 사용될 수 있다. 따라서, 그 상호연관은 설계 데이터의 1 이상의 속성과 1 이상의 전기적 속성 사이의 상호연관으로서 결정될 수 있다. 전기 테스트 결과는 당업계에 알려진 임의의 방법 또는 시스템을 사용하여 생성된 임의의 적합한 전기 테스트 결과를 사용할 수 있다. 결함은 여기에 기술한 임의의 실시예에 따라 랜덤 결함으로서 식별될 수 있다. 그러한 상호연관은 체계적 결함 및 랜덤 결함 양쪽에 대한 확률을 결정하는데 사용될 수 있다. 확률을 결정하기 위해 그러한 상관관계를 사용하는 것은 그 상관관계 및 설계 데이터 스페이스 내의 결함의 위치에 가깝게 위치한 설계 데이터의 1 이상의 속성이 확률을 비교적 신속히 결정하기 위해 사용될 수 있기 때문에 이롭다.In some embodiments, the probability determination step includes determining a probability using a correlation between an electrical test result for the design data and one or more attributes of the design data. For example, the method may include performing data mining to determine whether there is a correlation between at least one attribute of the design data and the electrical test result. In particular, one or more attributes of the design data such as line width, spacing, etc. printed on the wafer can be measured and the electrical test results for the wafer can be used to determine the correlation between the attributes of the design data and the design data test results have. The electrical test results may include measurements of one or more attributes of one or more devices formed on the wafer, or may be used to determine one or more electrical attributes of the device. Thus, the correlation can be determined as a correlation between one or more attributes of the design data and one or more electrical attributes. The electrical test results can be any suitable electrical test result generated using any method or system known in the art. The defects may be identified as random defects in accordance with any of the embodiments described herein. Such correlation can be used to determine the probability for both systematic and random defects. Using such a correlation to determine the probability is advantageous because one or more attributes of the design data located close to the location of the correlation and defects in the design data space can be used to determine the probability relatively quickly.

다른 실시예에서, 확률을 결정하는 단계는 설계 데이터 스페이스 내의 결함의 위치의 확률, 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 정확도, 결함의 사이즈, 검사 시스템의 결함 사이즈 에러, 또는 이들의 일부 조합과 조합하여 설계 데이터의 1 이상의 속성에 기초하여 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 결함은 랜덤 결함을 포함한다. 이러한 방식에서, 결함 사이즈, 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 정확도는 랜덤 결함에 대한 DCI를 결정하는데 사용될 수 있다. 전술한 바와 같이 DCI를 결정하기 위해, 결함 사이즈, 결함 사이즈 에러, 보고된 결함 위치 및 좌표 정확도를 사용하는 것은, 랜덤 결함의 사이즈 및 위치가 비교적 예측 불가능하기 때문에 이롭다. 따라서, DCI를 결정하기 위해 그러한 정보를 사용하는 것은 DCI의 정확도를 증가시킬 수 있다.In another embodiment, the step of determining the probability comprises determining the probability of the location of the defect in the design data space, the location of the defect reported by the inspection system used to detect the defect, the coordinate accuracy of the inspection system, Or a combination of some of these, to determine a probability based on one or more attributes of the design data. In one such embodiment, the defect includes random defects. In this manner, the defect size, the location of the defect reported by the inspection system, and the coordinate accuracy of the inspection system can be used to determine the DCI for the random defect. Using the defect size, defect size error, reported defect location, and coordinate accuracy to determine the DCI as described above is advantageous because the size and location of the random defect is relatively unpredictable. Thus, using such information to determine the DCI can increase the accuracy of the DCI.

추가적인 실시예에서, 확률을 결정하는 단계는 결함의 1 이상의 속성과 조합하여 설계 데이터의 1 이상의 속성에 기초하여 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 결함은 체계적 결함을 포함한다. 이러한 방식에서, 체계적 결함 속성은 체계적 결함에 대한 DCI를 결정하는데 사용될 수 있다. 결함은 여기에 기술한 임의의 실시예에 따라 체계적 결함으로서 식별될 수 있다. 설계 데이터 내의 체계적 결함이 여기에 기술한 실시예에서 비교적 높은 정확도로 결정될 수 있기 때문에, 체계적 결함의 1 이상의 속성은 결함에 대한 DCI를 결정하는데 사용될 수 있다.In a further embodiment, determining the probability comprises determining a probability based on one or more attributes of the design data in combination with one or more attributes of the defect. In one such embodiment, the defects include systematic defects. In this way, a systematic defect attribute can be used to determine the DCI for systematic defects. Defects can be identified as systematic defects in accordance with any of the embodiments described herein. Since systematic defects in the design data can be determined with relatively high accuracy in the embodiments described herein, one or more attributes of the systematic defect can be used to determine the DCI for the defect.

일 실시예에서, DCI를 결정하는 단계는 결함에 할당된 범주와 조합하여 확률에 기초하여 결함에 대한 DCI를 결정하는 단계를 포함한다. 예컨대, DCI는 확률에 기초하여 결정될 수 있고, DCI는 그 DCI를 개선하기 위해 결함 범주에 기초하여 수정될 수 있다. 그러한 일 예에서, 결함이 브리징 결함인 것을 결함 범주가 지시하는 경우, 결함에 대한 DCI는 변경된 DCI가 원래 결정된 DCI보다는 결함에 대해서 더 높은 임계성을 지시하도록 변경될 수 있다. 다른 예에서, 그 결함이 부분적 브리징 결함인 것을 결함 범주가 지시하면, 결함에 대해 결정된 DCI는, 변경된 DCI가 원래 결정된 DCI보다 결함에 대해 더 낮은 임계성을 지시하도록 변경될 수 있다. 본 실시예에서 사용된 결함의 범주는 여기에 기술한 임의의 실시예에 따라 또는 당업계에서 알려진 결함을 분류하기 위한 임의의 다른 방법 또는 시스템을 사용하여 결정되거나 결함에 할당될 수 있다. 또한, DCI는 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과(예컨대, 결함에 대한 KP 값) 또는 임의의 다른 가용 정보(예컨대, 핫 스팟 정보)를 사용하여 수정될 수 있다.In one embodiment, determining the DCI comprises determining a DCI for the defect based on a probability in combination with the category assigned to the defect. For example, the DCI may be determined based on probability, and the DCI may be modified based on the defect category to improve its DCI. In such an example, if the defect category indicates that the defect is a bridging defect, the DCI for the defect may be changed so that the modified DCI indicates a higher criticality for the defect than the originally determined DCI. In another example, if the defect category indicates that the defect is a partial bridging defect, the DCI determined for the defect may be changed so that the modified DCI indicates a lower threshold for the defect than the originally determined DCI. The category of defects used in this embodiment may be determined or assigned to defects according to any of the embodiments described herein or any other method or system for classifying defects known in the art. In addition, the DCI may be modified using any other result (e.g., KP value for the defect) or any other available information (e.g., hotspot information) of any of the steps of any of the methods described herein.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하여 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 여기에 기술한 바와 같이 수행될 수 있는 결함 정렬에 의해 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 정확도, 설계 데이터의 1 이상의 속성, 검사 시스템의 결함 사이즈 에러, 또는 이들의 일부 조합에 적어도 부분적으로 기초하여 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 이러한 방식에서, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터는 결함의 보고된 위치와, 결함이 검사 시스템의 좌표 정확도 내에 위치할 수 있는 위치에 적어도 부분적으로 기초하여 결정될 수 있다. 결함이 위치할 수 있는 위치 너머의 설계 데이터는 유사한 방식으로 결정될 수 있다.In some embodiments, the method includes determining the location of the inspection data within the design data space to determine design data that is close to the location of the defect in the design data space. In another embodiment, the method includes determining design data that is close to the location of a defect in the design data space by defect alignment that can be performed as described herein. In a further embodiment, the method can be used to determine the position of the defect reported by the inspection system used to detect the defect, the coordinate accuracy of the inspection system, one or more properties of the design data, defect size errors of the inspection system, Based on at least in part, the design data near the location of the defect. In this manner, design data near the location of the defect in the design data space can be determined based at least in part on the reported location of the defect and where the defect may be located within the coordinate accuracy of the inspection system. The design data beyond the location where the defect may be located can be determined in a similar manner.

일 실시예에서, 상기 방법은 결함에 대한 설계 데이터의 수율의 감지도에 기초하여 DCI를 수정하는 단계를 포함한다. 이러한 방식에서, DCI는 설계의 영역(예컨대, 셀 또는 기능 블록) 내의 수율 영향의 감지도에 기초하여 수정될 수 있다. 예컨대, 상기 방법은 여기에 기술한 바와 같이 수행될 수 있는 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함할 수 있고, 이러한 위치에 위치된 결함 및/또는 그 위치에 가까운 설계 데이터 내의 결함으로 인한 수율 감지도가 결정될 수 있다. 그러한 수율 감지도는 여기에 기술한 임의의 실시예를 사용하여 결정할 수 있다. 예컨대, 상기 방법은 1 이상의 속성이 결함에 의해 어떻게 변경될 수 있는지에 기초하여 선택될 수 있는, 설계 데이터의 1 이상의 상이한 값에 대한 설계 데이터 스페이스 내의 위치에 관한 설계 데이터를 사용하여, 제조되고 있는 장치의 전기 특성을 모델링하는 단계를 포함할 수 있다. 그러한 모델링은 여기에 기술한 바와 같이 수행될 수 있으며, 모델링된 전기적 특성은, 설계 데이터의 1 이상의 속성의 값이 변함에 따라, 수율이 어떻게 변하는지를 결정하는데 사용될 수 있으며, 이는 그 위치에 위치된 결함 및/또는 그 위치에 가까운 설계 데이터 내의 결함에 대한 설계 데이터의 수율 감지도를 결정하는데 사용할 수 있다. 이러한 방식에서, 설계 데이터 스페이스 내의 결함의 위치는 결함에 대한 설계 데이터의 수율 감지도를 결정하는데 사용될 수 있다. 결함에 대한 설계 데이터의 수율 감지도가 비교적 높으면, 결함에 대한 DCI는 수정된 DCI가 원래 결정된 DCI보다 더 높은 임계성을 지시하도록 수정될 수 있다. 유사하게, 결함에 대한 설계 데이터의 수율 감지도가 비교적 낮으면, 결함에 대한 DCI는 수정된 DCI가 원래 결정된 DCI보다 낮은 임계성을 지시하도록 수정될 수 있다.In one embodiment, the method includes modifying the DCI based on the sensitivity of the yield of design data to the defect. In this manner, the DCI can be modified based on the sensitivity of the yield impact within an area of the design (e.g., a cell or a functional block). For example, the method may include determining the location of a defect in a design data space that may be performed as described herein, and may include determining the location of a defect located at such location and / Can be determined. Such yield sensing can be determined using any of the embodiments described herein. For example, the method may be implemented using design data relating to locations in the design data space for one or more different values of the design data, which may be selected based on how one or more of the attributes may be modified by the defect Modeling the electrical characteristics of the device. Such modeling may be performed as described herein and the modeled electrical characteristics may be used to determine how the yield changes as the value of one or more attributes of the design data change, Can be used to determine the yield of the design data for defects and / or defects in the design data near that location. In this manner, the location of the defects in the design data space can be used to determine the yield sensitivity of the design data for the defects. If the yield sensitivity of the design data for the defect is relatively high, the DCI for the defect can be modified so that the modified DCI indicates a higher threshold than the originally determined DCI. Similarly, if the yield sensitivity of the design data for a defect is relatively low, the DCI for the defect may be modified such that the modified DCI indicates a lower threshold than the originally determined DCI.

전술한 바와 같이, DCI는 여기에 기술한 실시예에서 다수의 방식으로 사용될 수 있다. 예컨대, 일 실시예에서, 상기 방법은 결함에 대해 결정된 DCI에 기초하여 웨이퍼 상에 수행되는 프로세스를 변경하는 단계를 포함한다. 그러한 일 실시예에서, 프로세스는 계측 프로세스이거나 웨이퍼에 대한 1 이상의 측정을 포함할 수 있다. 이러한 방식에서, 상기 방법은 DCI에 적어도 부분적으로 기초하여 측정 프로세스를 채택하는 단계를 포함할 수 있다. 다른 예에서, 프로세스는 결함 리뷰 프로세스이다. 그와 같이, 상기 방법은 DCI에 적어도 부분적으로 기초하여 결함 리뷰 프로세스를 채택하는 단계를 포함할 수 있다. 전술한 바와 같이 프로세스를 변경하는 단계는 프로세스의 임의의 하나 또는 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 또한, 그러한 변경은 여기에 추가로 기술하는 바와 같이 수행할 수 있다.As described above, the DCI can be used in a number of ways in the embodiments described herein. For example, in one embodiment, the method includes modifying a process performed on a wafer based on a DCI determined for a defect. In one such embodiment, the process may be a metrology process or may comprise one or more measurements on the wafer. In this manner, the method may include employing a measurement process based at least in part on the DCI. In another example, the process is a defect review process. As such, the method may include employing a defect review process based at least in part on the DCI. Modifying a process as described above may include modifying any one or more of the parameters of the process. Such modifications may also be performed as further described herein.

다른 실시예에서, 상기 방법은 결함에 대해 결정된 DCI에 기초하여 결함을 검출하기 위해 사용되는 프로세스를 변경하는 단계를 포함할 수 있다. 결함을 검출하는데 사용되는 프로세스를 변경하는 단계는 여기에 추가로 기술하는 바와 같은 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 또한, DCI에 기초하여 결함을 검출하는데 사용되는 프로세스를 변경하는 단계는 피드백 제어 기술을 사용하여 수행할 수 있다. 그러한 일 예에서, 결함에 대한 DCI가 그 결함이 비교적 중요한 것을 지시하는 경우, 결함을 검출하기 위해 사용된 프로세스는, DCI가 결정된 결함에 대응하는 결함이 잠재적으로 위치할 수 있는 웨이퍼 상의 1 이상의 위치가, 이들 위치를 검사하기 위해 이전에 사용된 감지도보다 더 높은 감지도로 검사될 수 있도록, 변경될 수 있다. 프로세스의 다른 매개변수가 유사한 방식으로 변경될 수 있다.In another embodiment, the method may include modifying a process used to detect a defect based on a DCI determined for the defect. Modifying the process used to detect the defect may include modifying any one or more of the parameters of the process as further described herein. In addition, changing the process used to detect defects based on DCI may be performed using feedback control techniques. In such an example, if the DCI for the fault indicates that the defect is relatively significant, the process used to detect the defect may be one or more locations on the wafer where the defect corresponding to the determined defect may potentially be located May be altered so that they may be inspected at a higher detection rate than previously used to inspect these locations. Other parameters of the process may be changed in a similar manner.

일부 실시예에서, 상기 방법은 결함에 대하여 결정된 DCI에 기초하여, 장치가 제조될 추가적인 웨이퍼의 검사를 위한 프로세스를 생성하는 단계를 포함한다. 이러한 방식에서, 결함이 검출되는 이전에 사용된 프로세스를 변경하는 대신에, 상기 방법은 전적으로 새로운 검사 프로세스를 생성할 수 있다. 새로운 검사 프로세스는 추가적인 웨이퍼의 임의의 1 이상의 층에 대해 생성될 수 있다. 예컨대, 프로세스는 DCI가 결정된 결함이 검출되는 층에 대해서 생성될 수 있다. 하지만, 그러한 검사 프로세스는 추가적인 웨이퍼의 1 이상의 다른 층에 대해서도 생성될 수 있다. 예컨대, 결함에 대한 DCI가 그 결함이 비교적 중요하다고 지시하는 경우, 웨이퍼 상에 후속적으로 형성되는 층을 검사하기 위한 프로세스는, DCI가 결정된 결함에 의해 야기될 수 있는 결함이 잠재적으로 위치할 수 있는 후속 형성된 층 상의 1 이상의 위치가 비교적 높은 감지도로 검사될 수 있도록, 검사 프로세스의 1 이상의 매개변수를 선택함으로써 생성될 수 있다. 프로세스의 다른 매개변수가 유사한 방식으로 선택될 수 있다. 추가적인 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계는 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In some embodiments, the method includes generating a process for inspection of additional wafers to be fabricated, based on the DCI determined for the defect. In this manner, instead of changing a previously used process in which a defect is detected, the method can create an entirely new inspection process. A new inspection process may be generated for any one or more layers of additional wafers. For example, a process may be created for a layer where a DCI is determined to detect a defect. However, such inspection process may also be generated for one or more other layers of additional wafers. For example, if the DCI for a defect indicates that the defect is relatively significant, the process for inspecting a layer that is subsequently formed on the wafer may be such that a defect where the DCI can be caused by the determined defect is potentially located May be generated by selecting one or more parameters of the inspection process so that one or more locations on the subsequently formed layer may be inspected at a relatively high sensitivity. Other parameters of the process may be selected in a similar manner. The step of creating a process for inspecting additional wafers may be performed as further described herein.

일 실시예에서, DCI를 결정하기 위한 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템에 의해 수행된다. 이러한 방식에서, 상기 방법은 온-툴로 수행될 수 있다. 다른 실시예에서, DCI를 결정하기 위한 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템 이외의 다른 시스템에 의해서 수행된다. 그와 같이, 상기 방법은 오프-툴로 수행될 수 있다. 그 방법을 오프-툴로 수행하기 위해 사용된 시스템은 여기에 추가로 기술하는 바와 같이 구성될 수 있다.In one embodiment, a computer-implemented method for determining a DCI is performed by an inspection system used to detect a defect. In this way, the method can be performed with an on-tool. In another embodiment, a computer-implemented method for determining DCI is performed by a system other than the inspection system used to detect the defect. As such, the method can be performed with an off-tool. The system used to perform the method with an off-tool can be configured as described further herein.

결함에 대한 DCI는 리뷰를 위한 결함이 선택되는 샘플링에서와 같이 여기에 기술한 실시예에서 다수의 방식으로 사용될 수 있다. 예컨대, 결함이 비닝되는 각 그룹에 대해, 그룹화된 결함의 랜덤 샘플링을 수행하는 대신에, DCI가 샘플링을 위해 사용될 수 있다. 또한, 각 결함에 대해 결정된 DCI는 어느 결함이 장치의 1 이상의 전기적 속성을 변경하는 높은 확률을 갖는지를 결정하는데 사용될 수 있고, 1 이상의 전기적 속성을 변경하는 높은 확률을 갖는 결함이 더 중점적으로 샘플링된다. DCI는 체계적 결함뿐만 아니라 랜덤 결함을 샘플링하는데 사용될 수 있다.The DCI for defects can be used in a number of ways in the embodiments described herein, such as in sampling where defects for review are selected. For example, instead of performing random sampling of grouped defects, for each group where the defect is binned, a DCI may be used for sampling. In addition, the DCI determined for each defect can be used to determine which defect has a high probability of changing one or more electrical properties of the device, and a defect with a high probability of changing one or more electrical properties is sampled more intensively . DCI can be used to sample random faults as well as systematic faults.

전술한 DCI를 결정하기 위한 방법의 각 실시예는 여기에 기술한 임의의 방법의 다른 임의의 단계를 포함할 수 있다. 또한, 전술한 DCI를 결정하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each embodiment of the method for determining the DCI described above may include any other step of any of the methods described herein. Also, each embodiment of the method for determining the DCI described above can be performed by any of the system embodiments described herein.

다른 실시예는 웨이퍼 상에 형성된 메모리 뱅크에 대한 MRI(memory repair index)를 결정하기 위한 컴퓨터-구현 방법에 관한 것이다. 메모리 다이는 메모리 뱅크(종종 여러 개의 메모리 뱅크)를 포함한다. 각각의 메모리 뱅크는 어레이 블록 영역(또는 미가공 영역) 및 용장 영역을 포함한다. 용장 영역은 다수의 열 및 다수의 행을 포함하고 메모리 뱅크를 수리하는데 사용된다. 메모리 뱅크에 포함되는 열 및 행의 수는 유저-규정적이다. 어레이 블록은 일반적으로 사각형 또는 직사각형 형상일 수 있다. 용장 열은 어레이 블록 영역의 일 측을 따라 형성될 수 있고, 용장 행은 다른 측을 따라 형성될 수 있다. 메모리 뱅크는 용장 열에 인접한 열 디코더와, 용장 행에 인접한 행 디코더와, 행 디코더에 인접한 센스 앰프(amp)를 또한 포함할 수 있다. 상기 방법은 용장 열 및 행, 센스 앰프, 및 각 어레이 블록 영역에 대한 디코더의 위치를 검출하는 단계를 또한 포함할 수 있다. 그러한 위치는 당업계에 알려진 임의의 방법 또는 시스템을 사용하여 결정될 수 있다.Another embodiment relates to a computer-implemented method for determining a memory repair index (MRI) for a memory bank formed on a wafer. The memory die includes a memory bank (often several memory banks). Each memory bank includes an array block area (or raw area) and a redundancy area. The redundancy area contains a plurality of columns and a plurality of rows and is used to repair the memory banks. The number of columns and rows contained in the memory bank is user-defined. The array block may be generally rectangular or rectangular in shape. Redundant rows can be formed along one side of the array block area, and redundant rows can be formed along the other side. The memory bank may also include a column decoder adjacent to the redundancy column, a row decoder adjacent to the redundancy row, and a sense amplifier amp adjacent to the row decoder. The method may also include detecting redundant rows and columns, sense amplifiers, and the location of the decoder for each array block region. Such a location can be determined using any method or system known in the art.

상기 방법은 메모리 뱅크의 어레이 블록 영역에 위치한 결함에 기초하여 메모리 뱅크를 수리하는데 필요한 복수의 용장 열 및 복수의 용장 행을 결정하는 단계를 포함한다. 예컨대, 일부 실시예에서, 상기 방법은 어레이 블록 영역 내에 위치한 결함의 어느 것이 메모리 뱅크 내의 비트가 고장나게 야기할 수 있는지를 결정하는 단계와, 그 비트가 고장나게 야기할 수 있는 결함의 위치에 기초하여 고장날 비트의 위치를 결정하는 단계를 포함한다. 대안적으로, 상기 방법은 어레이 블록 영역 내의 어느 결함이 메모리 뱅크 내의 비트가 고장나게 야기할 수 있는지를 결정하는 단계와, 그 비트가 고장나게 야기할 수 있는 결함의 위치에 기초하여 고장날 수 있는 비트의 위치를 결정하는 단계를 포함한다. 어레이 블록 영역 내의 어느 결함이 비트가 고장나게 야기할지 또는 야기할 수 있는지를 결정하는 단계는 결함의 1 이상의 속성을 사용하여 수행될 수 있으며, 그 속성은 여기에 기술한 임의의 결함 속성, 및/또는 여기에 기술한 임의의 방법의 1 이상의 다른 단계의 결과를 포함할 수 있다. 예컨대, 가능하게는 여기에 기술한 바와 같이 결정될 수 있는 결함에 대한 DCI와 조합하여, 그리고 가능하게는 연관된 검사 및/또는 메모리 뱅크에 대한 전기 테스트 결과와 추가로 조합하여, 보고된 결함 위치, 결함을 검출하기 위해 사용된 검사 시스템의 좌표 정확도, 결함 사이즈, 검사 시스템의 결함 사이즈 부정확도는 결함이 비트 고장을 야기할지 또는 야기할 수 있는지를 결정하는데 사용할 수 있다.The method includes determining a plurality of redundancy rows and a plurality of redundancy rows required to repair a memory bank based on a defect located in an array block region of the memory bank. For example, in some embodiments, the method may include determining if any of the defects located in the array block area can cause the bits in the memory bank to fail, and determining if the bits are defective based on the location of the defects And determining the location of the failed bit. Alternatively, the method may include determining which defects in the array block area may cause the bits in the memory bank to fail, and determining whether the defective bits in the array block area may cause failures in the memory bank based on the location of the defects, And determining the position of the first antenna. Determining which defects in the array block area cause or cause a bit to fail may be performed using one or more attributes of the defect, which attributes may include any of the defect attributes described herein and / Or the results of one or more other steps of any of the methods described herein. For example, in combination with the DCI for defects, possibly possibly determined as described herein, and possibly in combination with electrical test results for associated test and / or memory banks, the reported defect locations, defects The defect size, and the defect size inaccuracy of the inspection system can be used to determine if a defect may or may not cause a bit failure.

이와 같은 일 실시예에서, 메모리 뱅크를 수리하는데 필요한 용장 열의 수 및 용장 행의 수를 결정하는 단계는, 고장날 비트의 위치를 사용하여 수행된다. 이러한 단계는 고장날 수 있는 비트의 위치를 사용하여 대안적으로 수행될 수 있다. 예컨대, 개별 고장 비트는 용장 열 및 행과 일대일 기반으로 대체될 필요는 없다. 대신, 개별적인 고장 비트가 동일한 논리 열 또는 행을 따라 서로 "인접"한 경우, 전체 열 또는 행이 기용 용장 열 또는 행에 의한 대체에 대한 후보가 된다. 따라서, 고장나거나 고장날 수 있는 비트의 위치는 어느 고장 비트가 동일한 논리 열 또는 행을 따라 서로 "인접"한지를 결정하기 위해 사용될 수 있고, 메모리 뱅크를 수리하는데 필요한 용장 열 및 행의 수를 결정하는데 사용될 수 있다. 이러한 방식에서, 상기 방법은 예측적인 비트 고장 추정을 포함할 수 있고, 이는 고장난 비트에 의해 소모될 수 있는 용장도의 양을 결정 및/또는 모니터링하는데 사용될 수 있다.In one such embodiment, the step of determining the number of redundant rows and the number of redundant rows required to repair the memory bank is performed using the location of the failed bit. This step may alternatively be performed using the location of the failing bits. For example, individual fault bits need not be replaced on a one-to-one basis with redundancy columns and rows. Instead, if the individual fault bits are "adjacent" to one another along the same logical column or row, the entire column or row becomes a candidate for substitution by the reserved redundant column or row. Thus, the locations of the failing or failing bits can be used to determine which failure bits are "adjacent" to one another along the same logical column or row, and determine the number of redundant columns and rows needed to repair the memory bank Can be used. In this manner, the method may include a predictive bit failure estimation, which may be used to determine and / or monitor the amount of redundancy that may be consumed by the failed bit.

또한, 2 개의 메모리 비트가 레이아웃 내에서 서로 물리적으로 인접할 수 있을지라도, 그것들은 상이한 논리 열 또는 행에 속할 수 있다. 즉, 물리적 인접성은 논리적 또는 전기적 인접성과 연관되지 않을 수 있다. 예컨대, 논리 열(1)이 256 비트를 포함하는 경우, 256 비트는 뱅크 또는 세그먼트의 물리적 레이아웃 내에서 서로 접할 필요는 없다. 그와 같이, 물리적(또는 토폴로지(topology)) 어드레스는, 각 장치에 대하여 상이할 수 있는 매핑 기능을 통하여 논리(또는 전기적) 어드레스로 변환될 수 있다. 그러한 매핑은 당업계에 알려진 임의의 적합한 방법 또는 시스템을 사용하여 수행될 수 있다. 예컨대, KLA-Tencor사로부터 상업적으로 입수가능한 클레리티 비트맵(Klarity Bitmap)은 토폴리지-전기적(topological-to-electrical) 매핑을 생성하는 그래픽적 또는 용이한 방식을 제공한다. 따라서, 본 방법에서 그러한 매핑 기능을 사용하는 것은 메모리 뱅크의 수선가능성을 반영하는 MRI의 결정을 허용할 수 있다.Also, although the two memory bits may be physically adjacent to each other within the layout, they may belong to different logical columns or rows. That is, physical adjacency may not be associated with logical or electrical adjacencies. For example, if logical column 1 contains 256 bits, then 256 bits do not need to touch each other within the physical layout of the bank or segment. As such, the physical (or topology) address may be translated to a logical (or electrical) address through a mapping function that may differ for each device. Such mapping can be performed using any suitable method or system known in the art. For example, a commercially available Klarity Bitmap from KLA-Tencor provides a graphical or easy way to create a topological-to-electrical mapping. Thus, using such a mapping function in the present method may allow the determination of the MRI to reflect the repairability of the memory bank.

어레이 블록 영역 내에 위치한 결함은 메모리 뱅크의 위치로부터 식별될 수 있다. 예컨대, 검사는 어레이 블록 영역 및 용장 영역(또는 전체 메모리 뱅크에 걸쳐) 양쪽에서의 결함을 검출할 수 있고, 그 결함은 결함의 위치에 기초하여 어레이 블록 영역 내의 결함과 용장 영역 내의 결함으로 분리될 수 있으며, 이는 여기에 기술한 임의의 실시예에 따라 결정될 수 있다. 결함을 어레이 블록 영역, 용장 영역, 디코더 영역, 및 센스 앰프 영역으로 분리하는 것은, 그러한 분리가 비-수선가능한 결함으로부터 수선 가능한 결함을 분리하는데 사용될 수 있기 때문에, 검사 결과에 대한 향상된 값을 제공한다. 또한, 미가공, 용장도, 디코더, 및 센스 앰프 영역 내의 결함으로의 결함의 분리는 룰 기반 또는 영역 기반될 수 있다.Defects located within the array block area can be identified from the location of the memory bank. For example, the test can detect defects in both the array block area and the redundant area (or across the entire memory bank), and the defects are separated into defects in the array block area and defects in the redundant area based on the location of the defects Which may be determined according to any of the embodiments described herein. Separating the defects into array block regions, redundancy regions, decoder regions, and sense amplifier regions provides an improved value for the test results because such isolation can be used to isolate repairable defects from non-repairable defects . In addition, the separation of defects into artifacts, redundancy, decoders, and defects in the sense amplifier area can be rule-based or area-based.

상기 방법은 메모리 뱅크를 수선하는데 필요한 용장 열의 수를 메모리 뱅크에 대한 가용 용장 열의 수에 비교하는 단계를 포함한다. 또한, 상기 방법은 메모리 뱅크를 수선하는데 필요한 용장 행의 수를 메모리 뱅크에 대한 가용 용장 행에 비교하는 단계를 포함한다. 일부 실시예에서, 용장 열의 수를 비교하는 단계는 메모리 다이의 각 뱅크에 대해 독립적으로 수행되고, 용장 행의 수를 비교하는 단계는 메모리 다이의 각 뱅크에 대해 독립적으로 수행된다. 용장 열의 수를 비교하는 단계 및 용장 행의 수를 비교하는 단계는 임의의 적합한 방식으로 수행할 수 있다.The method includes comparing the number of redundancy columns required to repair a memory bank to the number of redundancy rows available for a memory bank. The method also includes comparing the number of redundancy rows required to repair the memory banks to the available redundancy rows for the memory banks. In some embodiments, comparing the number of redundant rows is performed independently for each bank of memory dies, and comparing the number of redundant rows is performed independently for each bank of memory dies. The steps of comparing the number of redundant rows and comparing the number of redundant rows may be performed in any suitable manner.

다른 실시예에서, 상기 방법은 메모리 뱅크의 용장 열 및 용장 행 내에 위치한 결함에 기초하여 기용 용장 행의 양 및 가용 용장 열의 향을 결정하는 단계를 포함한다. 용장 열 및 행 내에 위치한 결함은 전술한 바와 같이 식별될 수 있다. 용장도가 충분히 결함적인 경우 메모리 뱅크 고장이 발생할 수 있기 때문에, 가용 용장도의 양을 전술한 바와 같이 결정하는 단계가 이로울 수 있다. 또한, 용장도가 공간적으로 결함적인 경우, 메모리 뱅크의 수리를 위해 이용가능한 용장도의 양은 감소하고, 고장 수가 비-결함적인 용장도를 초과하는 경우, 메모리 뱅크는 수리 불가능하다. 전수한 바와 같이, 각 뱅크가 자기 자신의 용장 열 및 행 세트를 갖고, 각 뱅크 내의 고장 비트는 동일한 뱅크 내의 가용 용장 열 또는 행에 의해서만 대체될 수 있기 때문에, 가용 용장도의 양은 다이 내의 개별 메모리 뱅크에 대하여 결정될 수 있다.In another embodiment, the method includes determining an amount of available redundancy row and an incentive of the available redundancy row based on a redundancy located in the redundancy column and the redundancy row of the memory bank. The redundancy column and the defects located in the row can be identified as described above. Since the memory bank failure may occur if the redundancy is sufficiently defective, it may be advantageous to determine the amount of available redundancy as described above. Also, when the redundancy is spatially defective, the amount of redundancy available for repairing the memory bank is reduced, and the memory bank is irreparable if the number of defects exceeds a non-defective redundancy. As noted, since each bank has its own redundant column and row set, and the fault bits in each bank can only be replaced by the available redundant columns or rows in the same bank, the amount of available redundancy is dependent on the amount of available redundant bank ≪ / RTI >

가용 용장도의 양은 용장 영역 내에 위치한 결함 및 용장 영역에 위치한 결함의 1 이상의 속성에 기초하여 결정할 수 있다. 이 단계에 사용된 1 이상의 속성은 여기에 기술한 1 이상의 속성을 포함할 수 있다. 가용 용장도의 결정 단계는 여기에 기술한 임의의 방법의 임의의 단계의 임의의 결과를 사용하여 또한(또는 대안적으로) 수행될 수 있다. 예컨대, 용장 영역 내의 결함의 보고된 결함 사이즈, 결함을 검출하기 위해 사용된 검사 시스템의 좌표 정확도, 및 결함에 할당된 범주가, 결함이 용장 영역 내의 고장을 야기할 수 있는지를 판정하기 위해 사용될 수 있으며, 이는 가용 용장도의 양을 결정하기 위해 사용될 수 있다.The amount of available redundancy can be determined based on one or more attributes of the defect located in the redundancy area and the defect located in the redundancy area. The one or more attributes used in this step may include one or more of the attributes described herein. The determination of the available redundancy can also be performed (or alternatively) using any result of any of the steps of any of the methods described herein. For example, the reported defect size of the defect in the redundant area, the coordinate accuracy of the inspection system used to detect the defect, and the category assigned to the defect can be used to determine whether the defect can cause a failure in the redundant area , Which can be used to determine the amount of available redundancy.

상기 방법은 용장 열의 수를 비교하는 단계 및 용장 행의 수를 비교하는 단계의 결과에 기초하여 메모리 뱅크에 대한 MRI를 결정하는 단계를 더 포함한다. MRI는 메모리 뱅크가 수리가능한지를 지시한다. 예컨대, 고장 비트를 수리하는데 필요한 용장 열 및/또는 행의 수가 가용 용장 열 및/또는 행의 수보다 큰 경우, 메모리 뱅크는 수리 불가능하고, 다이는 수리 불가능하다. MRI는 비교한 비교에 기초하여 결정될 수 있으며, 메모리 뱅크가 수리 가능한지의 여부를 지시하는 값이 할당될 수 있다. 예컨대, 메모리 뱅크가 수리 가능한 경우, MRI에는 제 1 값이 할당될 수 있고, 메모리 뱅크가 수리 불가능한 경우, MRI에는 제 2 값이 할당될 수 있다. MRI에 대한 상이한 값은 임의의 적합한 포맷으로 표현될 수 있다(예컨대, 그 값이 유저에 의해 용이하게 이해되고, 및/또는 그 값이 여기에 기술한 방법 실시예에 의해 사용될 수 있도록). 적합한 포맷은 수, 알파벳, 문자열 등을 비한정적으로 포함할 수 있다.The method further includes determining an MRI for the memory bank based on the results of comparing the number of redundant rows and comparing the number of redundant rows. The MRI indicates whether the memory bank is repairable. For example, if the number of redundant rows and / or rows required to repair a failed bit is greater than the number of available redundant rows and / or rows, the memory bank is unrepairable and the die is unrepairable. The MRI may be determined based on the compared comparison and may be assigned a value indicating whether the memory bank is repairable or not. For example, if the memory bank is repairable, a first value may be assigned to the MRI, and a second value may be assigned to the MRI if the memory bank is unrepairable. Different values for MRI can be represented in any suitable format (e.g., such that the value is easily understood by the user and / or the value can be used by the method embodiments described herein). Suitable formats may include, without limitation, numbers, alphabets, strings, and the like.

상기 방법은 저장 매체 내에 MRI를 저장하는 단계를 또한 포함한다. 저장 단계는 여기에 기술한 임의의 방법 실시예의 임의의 다른 결과에 부가하여 MRI를 저장하는 단계를 포함할 수 있다. 또한, 저장 매체는 여기에 기술한 임의의 저장 매체, 또는 당업계에 공지된 임의의 다른 적합한 저장 매체를 포함할 수 있다. MRI가 저장된 후, MRI는 여기에 기술한 임의의 방법 또는 시스템 실시예에 의해 저장 매체 내에서 액세스 되어 사용될 수 있다. 또한, MRI는 "영구적으로", "반-영구적으로", 또는 임의의 기간 동안 일시적으로 저장될 수 있다. 또한(또는 대안적으로) MRI를 저장하는 단계는 여기에 기술하는 바와 같이 수행될 수 있다.The method also includes storing the MRI in a storage medium. The storing step may include storing the MRI in addition to any other result of any of the method embodiments described herein. In addition, the storage medium may comprise any of the storage media described herein, or any other suitable storage medium known in the art. After the MRI is stored, the MRI may be accessed and used within the storage medium by any method or system embodiment described herein. In addition, the MRI can be stored "permanently "," semi-permanently ", or temporarily for any period of time. The step of (or alternatively) storing the MRI may also be performed as described herein.

따라서, 전수한 방법 실시예는 MRI를 사용하여 메모리 손실의 초기 검출을 위해 사용될 수 있으며, 이는 다수의 이유에 있어서 유리하고, 다수의 방식으로 사용될 수 있다. 예컨대, 일 실시예에서, 상기 방법은 다이 내에 형성된 1 이상의 메모리 뱅크에 대해 MRI를 결정하는 단계와, 1 이상의 메모리 뱅크에 대하여 MRI에 기초하여 다이에 대한 수리 수율을 예측하는 단계를 포함한다. 다이의 각각의 뱅크 또는 세그먼트가 수리에 이용할 수 있는 대응하는 세트의 용장 열 및 행을 갖기 때문에, 다이 내의 메모리 뱅크에 대해 결정된 MRI에 기초하여 다이에 대한 수리 수율을 예측하는 단계는 이롭다. 특정 뱅크 또는 세그먼트 내의 고장 비트만이 가용적인 대응하는 용장 열 또는 행에 의해 대체될 수 있다. 따라서, 다이 내의 다른 뱅크가 가용 용장도를 갖는 동안에, 하나의 뱅크에 대해 용장도를 "런 아웃"하는 것이 가능하다. 이 경우, 적어도 하나의 뱅크 또는 세그먼트가 수리 불가능하기 때문에, 다이는 더 이상 완전히 수리 가능하지 않다. 그와 같이, 다이 내의 메모리 뱅크에 대한 MRI에 기초하여, 상기 방법은 다이 상에서 수행되는 수리 프로세스의 수율을 결정할 수 있다. 또한, 다이가 수리 가능한지를 지시하는 MRI는 다이 내의 메모리 뱅크에 대하여 결정된 MRI에 기초하여 다이에 대하여 결정될 수 있다. 예컨대, 메모리 뱅크에 대한 MRI가 임의의 메모리 뱅크가 수리 불가능한 것을 지시하는 경우, MRI는 메모리 다이가 수리 불가능한 것을 지시하는 값이 되도록 결정될 수 있다.Thus, the exemplary method embodiments can be used for the initial detection of memory loss using MRI, which is advantageous for a number of reasons and can be used in a number of ways. For example, in one embodiment, the method includes determining an MRI for one or more memory banks formed in the die, and predicting the repair yield for the die based on the MRI for the one or more memory banks. Because each bank or segment of the die has a corresponding set of redundant columns and rows available for repair, it is advantageous to predict the repair yield for the die based on the MRI determined for the memory bank in the die. Only the fault bits in a particular bank or segment can be replaced by the corresponding redundant column or row. Thus, it is possible to "run out" redundancy for one bank while the other banks in the die have available redundancy. In this case, because the at least one bank or segment is irreparable, the die is no longer completely repairable. As such, based on the MRI for the memory bank in the die, the method can determine the yield of the repair process performed on the die. In addition, an MRI indicating that the die is repairable may be determined for the die based on the MRI determined for the memory bank in the die. For example, if the MRI for a memory bank indicates that any memory bank is irreparable, the MRI may be determined to be a value indicating that the memory die is irreparable.

다른 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각각의 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수율을 결정하는 단계를 포함한다. 이들 단계는 전술한 바와 같이 수행할 수 있다. 상기 방법의 이러한 실시예는 다이-다이 메모리 수율을 결정하는데 사용될 수 있다. 또한, 1 이상의 다이에 대한 메모리 수율은 웨이퍼에 대한 메모리 수율을 결정하는데 사용될 수 있다.In another embodiment, the method includes determining an MRI for each memory bank in at least one die on the wafer, and determining a memory yield for one or more die based on the MRI for each memory bank do. These steps can be performed as described above. This embodiment of the method can be used to determine the die-die memory yield. Also, the memory yield for one or more dice can be used to determine the memory yield for the wafer.

다른 실시예에서, 상기 방법은 전체 수율 예측을 결정하기 위해서 메모리 수율 예측과, 메모리 밖의 수율 외측을 결합하는 단계를 포함한다.In another embodiment, the method includes combining a memory yield prediction with an out-of-memory yield outcome to determine an overall yield prediction.

추가의 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 1 이상의 메모리 수율에 적어도 부분적으로 기초하여 웨이퍼 배치를 수행하는 단계를 포함한다. 예컨대, 여기에 기술한 방법은 웨이퍼의 인-라인 배치를 수행하는데 사용될 수 있고, 이에 의해 더 나은(예컨대, 더 효과적인) WIP 계획 및 제조비의 감소를 허용한다. 예컨대, 웨이퍼에 대해 수리가 수행되어야 하는지, 웨이퍼가 재작성되어야 하는지, 웨이퍼가 스크랩되어야 하는지 등을 결정하기 위해서, 일부 소정의 임계치 이하의 메모리 수율을 갖는 다이의 수가 결정되어 사용될 수 있다. 그러한 예에서, 소정의 임계치 이하의 메모리 수율을 갖는 다이의 수는 다른 소정의 임계치외 비교될 수 있고, 양쪽의 임계치는 수리가 웨이퍼에 대해 수행되어야 하는지를 결정하기 위해서 필요한 최소 웨이퍼 기반 수율을 나타내도록 선택될 수 있다. 예컨대, 임계치는 웨이퍼의 추정 값이 웨이퍼를 완성하는 비용을 초과하지 않는 최소 메모리 수율에 대응하도록 선택된다(예컨대, 유저에 의해 또는 여기에 기술한 1 이상의 실시예에 의해). 다른 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 메모리 수율에 기초하여 웨이퍼에 대한 메모리 수율을 결정하는 단계를 포함할 수 있다. 따라서, 메모리 수리 프로세스가 웨이퍼 상의 1 이상의 다이에 대해 수행되는 경우, 메모리 수율은 그 메모리 수리 프로세스 이후의 수율일 수 있다. 웨이퍼에 대한 메모리 수율은 전술한 바와 같이 웨이퍼를 배치하는데 사용될 수 있다. 예컨대, 메모리 수리 프로세스 이후의 웨이퍼의 값은 메모리 수율에 적어도 부분적으로 기초하여 결정될 수 있고, 그 값은 웨이퍼가 스크랩되어야 하는지를 결정하기 위해 웨이퍼를 완성하는 비용에 비교될 수 있다.In a further embodiment, the method includes performing a wafer placement based at least in part on one or more memory yields for one or more dies on the wafer. For example, the method described herein can be used to perform in-line placement of wafers, thereby allowing for better (e.g., more effective) WIP planning and reduced manufacturing costs. For example, the number of dies with memory yields below some predetermined threshold may be determined and used to determine whether repair should be performed on the wafer, whether the wafer should be rewritten, whether the wafer should be scrapped, and so on. In such an example, the number of dies with memory yields below a certain threshold may be compared to other predetermined thresholds, and both thresholds may be used to indicate the minimum wafer-based yield required to determine whether repair should be performed on the wafer Can be selected. For example, the threshold is selected such that the estimated value of the wafer corresponds to a minimum memory yield that does not exceed the cost of completing the wafer (e.g., by the user or by one or more embodiments described herein). In another embodiment, the method may comprise determining a memory yield for the wafer based on memory yield for one or more dies on the wafer. Thus, if a memory repair process is performed on more than one die on a wafer, the memory yield may be the yield after that memory repair process. The memory yield for the wafers can be used to place the wafers as described above. For example, the value of the wafer after the memory repair process may be determined based at least in part on the memory yield, and the value may be compared to the cost of completing the wafer to determine if the wafer should be scrapped.

일 실시예에서, 용장 열의 수를 비교하는 단계는 메모리 뱅크를 수리하는데 필요한 용장 열의 분율을 결정하는 단계를 포함하고, 용장 행의 수를 비교하는 단계는 메모리 뱅크를 수리하는데 필요한 용장 행의 분율을 결정하는 단계를 포함하며, 메모리 뱅크에 대한 MRI를 결정하는 단계는 용장 열의 분율 및 용장 행의 분율에 기초하여 MRI를 결정하는 단계를 포함한다.In one embodiment, comparing the number of redundant rows includes determining a fraction of redundant rows required to repair a memory bank, wherein comparing the number of redundant rows includes comparing the fraction of redundant rows required to repair the memory bank Wherein determining the MRI for the memory bank comprises determining the MRI based on the fraction of redundant rows and the fraction of redundant rows.

전술한 바와 같은 분율에 기초하여 MRI를 결정하는 단계를 포함하는 방법은 여기에 기술한 임의의 다른 단계를 포함할 수 있다. 예컨대, 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수율을 결정하는 단계를 포함한다. 본 실시예의 단계는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 다른 예에서, 그러한 다른 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각각의 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수율을 결정하는 단계와, 각각의 1 이상의 다이에 대한 메모리 수율에 기초하여 웨이퍼에 대한 메모리 수율을 결정하는 단계를 포함한다. 본 실시예의 단계는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 대 웨이퍼 기반으로 메모리 수율을 예측하기 위해 MRI를 사용하는 단계를 포함할 수 있다. 유사한 방식에서, MRI는 웨이퍼 상의 각각의 다이에 대하여 결정될 수 있으며, 각 다이에 대한 MRI는 웨이퍼-기반 메모리 수율을 결정하기 위해 사용될 수 있다. 예컨대, 웨이퍼-기반 메모리 수율은 웨이퍼 상의 각 다이에 대한 MRI의 총합을 웨이퍼 상의 다이의 수로 나누어서 메모리에 대한 양호하거나 수리가능한 웨이퍼 상의 다이의 분율을 결정할 수 있다. 양호하거나 수리가능한 웨이퍼 상의 다이의 분율은 가능하게는 이력 수율 또는 성공률과 같은 수리 프로세스에 대한 정보와 조합하여 사용될 수 있다.The method comprising determining the MRI based on the fraction as described above may comprise any of the other steps described herein. For example, in one such embodiment, the method includes determining an MRI for each memory bank in at least one die on the wafer, and determining memory yield for one or more die based on the MRI for each memory bank . The steps of this embodiment may be performed as further described herein. In another example, in another such embodiment, the method further comprises determining an MRI for each memory bank in the at least one die on the wafer, and determining a memory yield for one or more die based on the MRI for each memory bank Determining a memory yield for the wafer based on the memory yield for each of the one or more dies. The steps of this embodiment may be performed as further described herein. In this manner, the method may include using MRI to predict memory yield on a wafer-to-wafer basis. In a similar manner, the MRI can be determined for each die on the wafer, and the MRI for each die can be used to determine the wafer-based memory yield. For example, the wafer-based memory yield may be determined by dividing the sum of the MRIs for each die on the wafer by the number of die on the wafer to determine the fraction of die on the wafer that is good or repairable for the memory. The fraction of die on a good or repairable wafer may possibly be used in combination with information about the repair process, such as historical yield or success rate.

일부 실시예에서, MRI는 메모리 뱅크가 수리될 수 없는 확률을 또한 지시할 수 있다. 이러한 방식에서, MRI는 메모리 뱅크가 수리가능한지의 여부 및 그 메모리 뱅크가 어떻게 수리 불가능한지를 지시할 수 있다. 메모리 뱅크가 수리 불가능한 확률은, 전술한 바와 같이 수행될 수 있는, 가용 용장 열의 수를 수리에 필요한 용장 열의 수에 비교하는 단계와, 가용 용장 행의 수를 수리에 필요한 용장 열의 수에 비교하는 단계에 기초하여, 가능하게는 결함의 1 이상의 속성, 메모리 설계의 1 이상의 속성, 및 수리 프로세스의 1 이상의 속성과 조합하여 수행될 수 있다. 그러한 속성은 예컨대, 확률이 결정되고 있는 메모리 뱅크에 대하여 설계가 적어도 유사한 다른 메모리 뱅크에서 수행된 수리 프로세스의 이력 성공률을 포함할 수 있다. MRI는 두 개의 값으로, 즉, 메모리 뱅크가 수리 가능하지의 여부를 지시하는 하나와, 그 메모리 뱅크가 수리 불가능한 확률을 지시하는 다른 하나로 표현될 수 있다. 대안적으로, MRI는 메모리 뱅크가 수리 가능한지와, 그 메모리 뱅크가 수리 불가능한지를 지시하는 단일 값으로 표현될 수 있다. 상기 두 가지의 값과 단일 값은 여기에 기술한 임의의 포맷으로 표현될 수 있다. 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 MRI를 결정하는 단계를 포함한다. 이들 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 그러한 일 실시예에서, 1 이상의 다이에 대한 MRI는 1 이상의 다이가 수리 불가능할 수 있는 확률을 지시한다(각각의 메모리 뱅크에 대한 MRI가 그 메모리 뱅크가 수리 불가능할 수 있는 확률을 지시하고, 다이의 수리 가능성이 여기에 추가로 기술하는 바와 같이 메모리 뱅크의 수리 가능성에 관련되기 때문에). 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 MRI의 임계에 기초하여 웨이퍼 기반 메모리 수율을 결정하는 단계를 포함한다. 웨이퍼 기반 메모리 수율 예측은 전술한 바와 같이 수행될 수 있지만, 웨이퍼의 그 수율은 전술한 바와 같은 수리 프로세스의 수율은 아닐 수 있다.In some embodiments, the MRI may also indicate a probability that the memory bank can not be repaired. In this manner, the MRI can indicate whether the memory bank is repairable and how the memory bank is unrepairable. The probability that the memory bank is unrepairable may be determined by comparing the number of available redundant rows that may be performed as described above to the number of redundant rows required for repair and comparing the number of available redundant rows to the number of redundant rows required for repair Possibly in combination with one or more attributes of the defect, one or more attributes of the memory design, and one or more attributes of the repair process. Such an attribute may include, for example, the historical success rate of the repair process performed in another memory bank whose design is at least similar to the memory bank for which the probability is being determined. The MRI can be represented by two values, one indicating that the memory bank is not repairable and the other indicating the probability that the memory bank is unrepairable. Alternatively, the MRI may be represented by a single value indicating whether the memory bank is repairable and whether the memory bank is non-repairable. The two values and the single value can be expressed in any of the formats described herein. In one such embodiment, the method includes determining an MRI for each memory bank in at least one die on the wafer, and determining an MRI for the one or more die based on the MRI for each memory bank in the at least one die . These steps may be performed as described herein. In such an embodiment, the MRI for one or more dice indicates the probability that one or more die may be unrepairable (the MRI for each memory bank indicates the probability that the memory bank may be unrepairable, Since the possibilities are related to the repairability of the memory bank as further described herein). In one such embodiment, the method includes determining a wafer-based memory yield based on a threshold of MRI for at least one die on the wafer. The wafer-based memory yield prediction can be performed as described above, but the yield of the wafer may not be the yield of the repair process as described above.

일부 실시예에서, 상기 방법은 메모리 뱅크의 디코더 영역 내에 위치한 1 이상의 결함, 메모리 뱅크의 앰프 영역 내에 위치한 1 이상의 결함, 또는 이들의 일부 조합에 기초하여 메모리 뱅크 내의 수리 불가능한 결함을 식별하는 단계를 포함한다. 예컨대, 메모리 뱅크의 검사는 메모리 뱅크 내의 모든 영역(로직 주변, 디코더 영역, 및 센스 앰프 영역을 포함하여) 내의 결함을 검출하기 위해 수행될 수 있으며, 여기에 기술한 임의의 실시예에 따라 결정될 수 있는 메모리 뱅크 내의 결함의 위치는 메모리 뱅크의 어느 영역에 각각 또는 1 이상의 결함이 위치되는지를 결정하는데 사용될 수 있다. 메모리 뱅크 내의 수리 불가능한 결함의 수는, 디코더 영역 및 센스 앰프 영역 내에서 검출되고 위치하는 결함의 수에 적어도 부분적으로 결정될 수 있다. 상기 방법은 메모리 뱅크 내의 수리 불가능한 결함에 적어도 부분적으로 기초하여 메모리 수율을 추정하는 단계를 또한 포함할 수 있으며, 이는 수리 불가능한 결함이 다이를 손상시킬 수 있기 때문에 이롭다.In some embodiments, the method includes identifying non-repairable defects in the memory bank based on one or more defects located within the decoder region of the memory bank, one or more defects located within the amplifier region of the memory bank, or some combination thereof do. For example, a check of a memory bank may be performed to detect defects in all areas within the memory bank (including around logic, decoder areas, and sense amp areas), and may be determined according to any of the embodiments described herein The location of a defect in a memory bank may be used to determine which region of a memory bank each or one or more defects are located. The number of non-repairable defects in the memory bank may be determined, at least in part, by the number of defects detected and positioned within the decoder region and the sense amplifier region. The method may also include estimating memory yield based at least in part on non-repairable defects in the memory bank, which is advantageous because non-repairable defects can damage the die.

일 실시예에서, 상기 방법은 피드 포워드 제어 기술을 사용하여 MRI에 기초하여 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 메모리 뱅크가 수리 불가능한 경우, 메모리 뱅크가 위치하는 다이가 전기 테스트 프로세스 동안에 테스트되지 않도록, 피드 포워드 기술을 사용하여 MRI에 기초하여 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 예컨대, 메모리 테스트는 비교적 긴 시간이 걸린다. 따라서, 전술한 바와 같이 결정될 수 있는, 메모리 뱅크 또는 메모리 다이가 수리 불가능하다는 예측에 기초하여, 그 정보는 영향을 받은 수리 불가능한 다이가 메모리 테스트 동안에 스킵되도록, 프로브(probe) 또는 다른 메모리 테스트 시스템에 공급될 수 있다. 이러한 방식에서, 테스트량이 감소할 수 있고, 이에 의해 메모리 테스트의 비용을 저감한다. 또한, 메모리 테스트는 오픈(open)/쇼트(short) 테스트, 기능 테스트, 및 전기 매개변수 테스트를 포함할 수 있다. 어느 다이가 수리될 수 있는지를 결정하기 위하여 여기에 기술한 방법을 사용하여 그러한 테스트가 제거될 수 있는 경우, 메모리 테스트 프로세스는 훨씬 짧은 기간 내에 수행할 수 있다. 대안적으로, 전기 테스트 프로세스는 수리될 수 없는 다이 상의 추가의 FA에 대해 더욱 관련적인 테스트 데이터를 수집하기 위해 변경될 수 있고, 그 테스트는 각종의 가능한 오류 메커니즘의 예측된 영향에 기초하여 특정 위치에 집중될 수 있다. 또한, 메모리 수리는 퓨즈(fuse)를 블로우(blow) 하기 위한 레이저 또는 전기적 수단을 포함할 수 있고, 이에 의해 디코더를 용장 열 및/또는 행에 재-라우팅(routing)한다. 메모리 테스트는 수리를 입증하고, 응력 테스트와 같은 추가의 테스트를 실행하기 위해 메모리 수리 이후에 수행할 수 있다. 따라서, 여기에 기술한 바와 같이 어느 다이가 수리될 수 있는지를 결정함으로써, 메모리 수리 및 추가의 메모리 테스트는 수리가능한 다이에만 수행될 수 있고, 따라서 시간이 훨씬 단축된다.In one embodiment, the method includes modifying one or more parameters of the electrical test process based on MRI using feedforward control techniques. In another embodiment, the method further comprises altering one or more parameters of the electrical test process based on the MRI using a feedforward technique so that the die on which the memory bank is located is not tested during the electrical test process if the memory bank is unrepairable . For example, memory testing takes a relatively long time. Thus, based on a prediction that the memory bank or memory die, which may be determined as described above, is irreparable, the information may be stored in a probe or other memory test system such that the affected unrepaired die is skipped during the memory test. Can be supplied. In this way, the amount of test can be reduced, thereby reducing the cost of the memory test. Memory tests may also include open / short tests, functional tests, and electrical parameter tests. If such a test can be eliminated using the method described herein to determine which die can be repaired, the memory test process can be performed in a much shorter period of time. Alternatively, the electrical test process may be modified to collect more relevant test data for additional FAs on the die that can not be repaired, and the test may be performed at a specific location based on the predicted impact of various possible error mechanisms Lt; / RTI > The memory repair may also include laser or electrical means for blowing the fuse, thereby re-routing the decoder to the redundant column and / or row. The memory test can be performed after memory repair to verify repair and to perform additional tests such as stress testing. Thus, by determining which die can be repaired, as described herein, memory repair and additional memory testing can be performed only on a repairable die, thus significantly reducing time.

일부 실시예에서, 상기 방법은 메모리 뱅크의 어레이 블록 내에 위치한 결함의 1 이상의 속성, MRI, 또는 이들의 일부 조합에 기초하여 수리 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 예컨대, 수리 불가능하다고 판정된 메모리를 포함하는 메모리 다이에 대해서는 수리가 시도되지 않도록, 메모리 수리 프로세스가 변경될 수 있다. 또한, 메모리 수리 프로세스는 수리가 성공적일 확률을 증가시키도록 변경될 수 있다. 본 실시예에서 변경된 수리 프로세스의 1 이상의 매개변수는 수리 프로세스의 임의의 매개변수를 포함할 수 있다.In some embodiments, the method includes modifying one or more parameters of the repair process based on at least one attribute of the defect located within the array block of the memory bank, the MRI, or some combination thereof. For example, the memory repair process may be changed so that repair is not attempted for a memory die that includes a memory that is determined to be non-repairable. In addition, the memory repair process may be modified to increase the probability that the repair is successful. One or more parameters of the repair process modified in this embodiment may include any parameters of the repair process.

일부 실시예에서, 결함은 메모리 뱅크의 게이트 층에서 검출된 결함을 포함한다. 다른 실시예에서, 결함은 메모리 뱅크의 금속 층에서 검출된 결함을 포함한다. 예컨대, 메모리 제조시, 검사는 게이트 층 및 금속 층에서 수행될 수 있다. 여기에 기술한 방법은 1 이상의 이들 층에서 검출된 결함에 대해서 수행될 수 있다. 또한, 대부분의 메모리 제조가 게이트 및 금속 층에서의 검사를 포함하고, 게이트 및 금속 층에서 생성된 검사 결과는 수율을 예측하는데 충분할지라도, 검사는 비트 수리를 위해 커패시터 층에서 또한 수행될 수 있다. 따라서, 게이트, 금속, 및 커패시터에서 생성된 검사 결과는 수율을 예측하는데 역시 사용될 수 있다. 또한, 여기에 기술한 실시예는 커패시터 층에서 검출되는 결함에 대해서 수행될 수 있다.In some embodiments, the defect includes a defect detected in the gate layer of the memory bank. In another embodiment, the defect includes a defect detected in the metal layer of the memory bank. For example, in memory fabrication, inspections can be performed on the gate and metal layers. The methods described herein can be performed on defects detected in one or more of these layers. Also, although most memory fabrication involves inspection at the gate and metal layers, and inspection results generated at the gate and metal layers are sufficient to predict yield, inspection may also be performed at the capacitor layer for bit repair. Thus, test results generated in gates, metals, and capacitors can also be used to predict yield. In addition, the embodiments described herein can be performed for defects detected in the capacitor layer.

일 실시예에서, 상기 방법은 메모리 뱅크 내의 결함의 위치에 기초하여 결함의 비트 오류 모드를 예측하는 단계를 포함한다. 이러한 방식에서, 결함의 위치는 비트 오류 모드를 예측하기 위해 사용될 수 있다. 그러한 정보는 메모리 뱅크를 수리하기 위해 필요한 용장도 양을 결정하는데 유효할 수 있다. 예컨대, 메모리 뱅크의 n-MOS 영역 내의 결함은 센스 앰프 오류를 야기할 수 있고, 이에 의해 n-MOS 영역 내의 결함보다 더 많은 용장도를 소모한다. 결함에 가까운 설계 데이터의 1 이상의 속성 및/또는 결함의 1 이상의 속성(예컨대, 사이즈)는 비트 오류 모드의 예측을 향상하기 위해 사용될 수 있다. 수리를 위해 필요한 용장도의 예측을 지원하는 것에 부가하여, 오류 모드의 예측은 비트 오류를 야기하는 결함의 신속하거나 더 나은 식별을 가져올 것이다. 모든 예측은 DOI가 식별되고 리뷰되게 허용할 수 있고, 이는 테스트에서 비트 오류가 탐색되지 않은 경우 FA없이는 불가능하다. 장치의 잠재적 오류에 책임이 있는 결함을 식별 및 리뷰하는 것이 가능하며, 잠재적인 오류율을 줄이기 위해 가용 용장도를 사용할 수 있다. 이러한 방식에서, 결함은 메모리의 영역(예컨대, 센스 앰프)으로 매핑될 수 있고, 결함 및/또는 설계 속성은 비트 오류 모드를 예측하기 위한 룰과 조합하여 사용될 수 있다In one embodiment, the method includes predicting a bit error mode of the defect based on the location of the defect in the memory bank. In this way, the location of the defect can be used to predict the bit error mode. Such information may be useful in determining the amount of redundancy needed to repair a memory bank. For example, a defect in the n-MOS region of a memory bank may cause a sense amplifier error, thereby consuming more redundancy than a defect in the n-MOS region. One or more attributes of the design data near the defect and / or one or more attributes (e.g., size) of the defect may be used to improve the prediction of the bit error mode. In addition to supporting the prediction of the redundancy required for repair, the prediction of the error mode will lead to a quick or better identification of the defect causing the bit error. All predictions can allow the DOI to be identified and reviewed, which is impossible without FA if the bit error is not detected in the test. It is possible to identify and review defects responsible for potential errors in the device and to use available redundancy to reduce the potential error rate. In this manner, the defects can be mapped to areas of memory (e.g., sense amplifiers) and defects and / or design attributes can be used in combination with the rules for predicting the bit error mode

일부 실시예에서, 상기 방법은 MRI에 기초하여, 메모리 뱅크 내의 가용 용장 행의 양, 가용 용장 열의 양, 또는 이들의 일부 조합이 메모리 뱅크 설계자에 의해 평가되여야 하는지를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은, 용장 영역 내에 더 많은 열 또는 행을 부가하는 단계가 특정 메모리 뱅크에서 반드시 수행되어야 하는지를 설계자에게 제안하기 위해서 "용장도 분석"을 수행하는 단계를 포함할 수 있다. 여기에 기술한 상기 방법은 다이의 설계에 관한 피드백을 제공하는데 특히 이로운데, 이는 여기에 기술한 방법이 치명적 웨이퍼의 초기 검출에 사용될 수 있고, 신속한 수율 학습을 허용할 수 있기 때문이다.In some embodiments, the method includes determining, based on the MRI, the amount of available redundancy rows in the memory bank, the amount of available redundancy rows, or some combination thereof, to be evaluated by a memory bank designer. In this manner, the method may include performing a "redundancy analysis" to suggest to the designer whether the step of adding more rows or columns in the redundancy area should be performed in a particular memory bank. The method described herein is particularly advantageous in providing feedback on the design of the die because the method described herein can be used for the initial detection of lethal wafers and allows rapid yield learning.

다른 실시예에서, 상기 방법은 어레이 블록 영역 내에 위치한 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 1 이상의 결함에 대한 DCI는 여기에 기술하는 바와 같이 결정할 수 있다. 그러한 일 실시예에서, 메모리 뱅크의 수리를 위해 필요한 용장 열의 수를 결정하는 단계와, 용장 행의 수를 결정하는 단계는 1 이상의 결함에 대한 DCI를 사용하여 수행된다. 다른 실시예에서, 메모리 뱅크의 수리를 위해 필요한 용장 행의 수를 결정하는 단계와, 용장 열의 수를 결정하는 단계는, 메모리 뱅크의 어레이 블록 영역 내에 위치한 각각의 결함에 대한 DCI를 결정하는 단계와, 그 DCI를 소정의 임계치와 비교하는 단계와, 소정의 임계치 이상의 DCI를 갖는 모든 결함을 수리하는데 필요한 용장 열의 수 및 용장 행의 수를 결정하는 단계를 포함한다. 예컨대, DCI는 어레이 블록 영역 내에 위치한 모든 결함에 대해 결정될 수 있다. DCI는 여기에 추가로 기술하는 바와 같이 어레이 블록 내에 위치한 결함에 대해 수행할 수 있다. 또한, 상기 방법은 DCI를 사용하여 결함에 의해 야기된 열 또는 행 오류 수를 예측하는 단계를 포함할 수 있다. 예컨대, 유저 규정될 수 있는 소정의 값보다 큰 DCI를 갖는 결함의 수가 용장 영역 내의 열 또는 행의 수보다 큰 경우, MRI(본 예에서 가용 용장 열 또는 행에 대한 수리에 필요한 용장 열 또는 행의 비율로 규정된)는 1보다 큰 것으로 판정될 수 있다(고장). 대조적으로, 유저 규정될 수 있으며 제 1 소정 값과 상이할 수 있는 제 2 소정 값보다 작은 DCI를 갖는 결함의 수가, 용장 영역 내의 열 또는 행의 수보다 작으면, MRI는 1보다 작은 것으로 판정된다(통과, 아마도 일부 수리와 함께). 또한, 상기 방법은 임계치 이상의 DCI를 갖는 모든 결함이 수리를 필요로 하는 경우 메모리 뱅크를 수리하는데 필요할 수 있는 가용 용장 열 및/또는 행의 최대 카운트 또는 퍼센트를 결정하는 단계를 포함할 수 있다.In another embodiment, the method includes determining a DCI for one or more defects located within the array block area. The DCI for one or more defects may be determined as described herein. In one such embodiment, determining the number of redundancy columns required for repair of the memory bank and determining the number of redundancy rows is performed using the DCI for one or more defects. In another embodiment, determining the number of redundancy rows required for repair of a memory bank and determining the number of redundancy columns includes determining a DCI for each defect located in an array block region of a memory bank, , Comparing the DCI to a predetermined threshold, and determining the number of redundant rows and redundant rows required to repair all defects with a DCI above a predetermined threshold. For example, the DCI may be determined for all defects located within the array block area. The DCI may be performed for defects located within the array block as further described herein. The method may also include using DCI to predict the number of column or row errors caused by the defect. For example, if the number of defects having a DCI that is greater than a predetermined value that can be user defined is greater than the number of rows or columns in the redundant area, then the MRI (in this example redundant row or row (Defined as a ratio) may be determined to be greater than one (failure). In contrast, if the number of defects having a DCI that is smaller than the second predetermined value, which may be user-defined and may differ from the first predetermined value, is less than the number of rows or columns in the redundant area, then the MRI is determined to be less than one (Pass, perhaps with some repairs). The method may also include determining a maximum count or percentage of available redundant rows and / or rows that may be needed to repair a memory bank if all defects with DCI above the threshold require repair.

개별 결함의 실제 수율 영향이 그 결함에 의해 야기된 패턴 오류, 결함의 위치(예컨대, 층의 상부, 층 내에 매립, 등), 결함 사이즈와 같은 1 이상의 속성 등에 따라 변할 수 있기 때문에, 다이 내의 메모리가 수리 가능한지를 결정하기 위해 DCI를 사용하는 것이 이롭다. DCI는 여기에 기술하는 바와 같이 결함 내의 그러한 변동에 기초하여 결정될 수 있고, 이에 의해 상이한 결함이 실제 수율에 어떻게 영향을 미치는지를 반영한다. 또한, 체계적 결함은 더 많은 실제 수율 영향을 가지므로, 여기에 기술한 방법은 메모리 뱅크 내에서 검출된 어느 결함이 체계적 결함인지를 결정하는 단계와, 체계적 결함의 임계성에 기초하여 여기에 기술한 바와 같이 MRI를 결정하는 단계를 포함할 수 있다. 체계적 결함은 여기에 기술한 임의의 실시예에 따라 식별될 수 있다.Because the actual yield impact of individual defects may vary depending on pattern errors caused by the defects, one or more attributes such as the location of the defect (e.g., top of the layer, buried in the layer, etc.), defect size, It is advantageous to use the DCI to determine if it is repairable. The DCI can be determined based on such variations in defects as described herein, thereby reflecting how the different defects affect the actual yield. In addition, since systematic defects have more actual yield impacts, the methods described herein can be used to determine which defects detected in a memory bank are systematic defects, and the steps described herein based on the criticality of systematic defects As well as determining the MRI. Systemic defects may be identified in accordance with any of the embodiments described herein.

일부 실시예에서, 상기 방법은 메모리 뱅크의 어레이 블록 영역 내에 위치한 결함으로 인한 메모리 뱅크의 오류에 대한 MRI를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 메모리 뱅크의 비-용장 영역 내에서 검출된 결함으로 인한 세그먼트 오류에 대한 인덱스(index)를 결정하는 단계를 포함할 수 있다. 유사한 방식에서, 상기 방법은 메모리 뱅크의 용장 영역 내에서 검출된 결함으로 인한 세그먼트 오류에 대한 인덱스를 결정하는 단계를 포함할 수 있다.In some embodiments, the method includes determining an MRI for an error in a memory bank due to a defect located in an array block area of the memory bank. In this manner, the method may include determining an index for a segment error due to a detected defect in a non-redundant area of the memory bank. In a similar manner, the method may comprise determining an index for a segment error due to a detected defect in a redundant area of a memory bank.

다른 실시예에서, 상기 방법은 메모리 뱅크의 용장 열 및 용장 행에 위치한 결함으로 인한 메모리 뱅크의 오류에 대한 MRI를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 논리 열 및/또는 행 오류에 대한 인덱스를 결정하는 단계를 포함할 수 있다. 그러한 인덱스는 전술한 바와 같은 테스트 프로세스의 1 이상의 매개변수를 변경하는데 사용될 수 있다.In another embodiment, the method includes determining an MRI for a memory bank error due to a defect located in the redundant column and redundant rows of the memory bank. In this manner, the method may include determining an index for logical column and / or row errors. Such an index may be used to change one or more parameters of the test process as described above.

일부 실시예에서, 상기 방법은 메모리 뱅크 내에서 검출된 결함 사이의 공간적 상관관계를 나타내는 유사 메모리 뱅크 설계의 적층 맵을 생성하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 공간적 상관관계를 나타내는 적층 맵을 생성하는 단계를 포함할 수 있다. 그러한 적층 맵은 당업계에 알려진 임의의 적합한 방식으로 생성할 수 있다.In some embodiments, the method includes generating a stack map of a pseudo memory bank design that represents a spatial correlation between detected defects in a memory bank. In this manner, the method may include generating a stack map showing spatial correlation. Such a lamination map may be generated in any suitable manner known in the art.

일 실시예에서, 상기 방법은 다이 기반으로 MRI를 결정하는 단계를 포함한다. 유사한 방식에서, 상기 방법은 웨이퍼 기판 및/또는 로트 기반으로 MRI를 결정하는 단계를 포함할 수 있다. 다이 기반, 웨이퍼 기반, 및/또는 로트 기반으로 MRI를 결정하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다.In one embodiment, the method includes determining MRI based on a die. In a similar manner, the method may include determining MRI on a wafer substrate and / or on a lot basis. The step of determining the MRI based on die-based, wafer-based, and / or lot-based may be performed as described herein.

다른 실시예에서, 상기 방법은 웨이퍼 상의 다이가 어레이 블록 영역에 위치한 결함으로 인하여 고장이 날지를 지시하는 인덱스 또는 메모리 수율 예측을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 나쁜 메모리 뱅크로 인하여 다이가 고장이 날 인덱스 또는 확률을 결정하는 단계를 포함할 수 있다. 이러한 인덱스는 여기에 추가로 기술하는 바와 같이 결정될 수 있다.In another embodiment, the method includes determining an index or memory yield prediction that indicates that the die on the wafer is failing due to a defect located in the array block area. In this manner, the method may include determining the index or probability that the die will fail due to a bad memory bank. Such an index can be determined as further described herein.

추가적인 실시예에서, 상기 방법은 웨이퍼 상의 다이 내의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 수리 불가능한 것으로 MRI에 의해 지시된 2 이상의 메모리 뱅크 사이의 공간적 상관관계를 나타내는 다이의 적층 맵을 생성하는 단계를 포함한다. 다이 내의 메모리 뱅크에 대하여 MRI를 결정하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 또한, 적층 맵은 당업계에 알려진 임의의 적합한 방식으로 생성될 수 있다.In a further embodiment, the method includes the steps of determining an MRI for a memory bank in a die on a wafer, generating a stack map of the die representing a spatial correlation between two or more memory banks indicated by the MRI as unrepairable . The step of determining the MRI for the memory bank in the die may be performed as described herein. The lamination map may also be generated in any suitable manner known in the art.

추가의 실시예에서, 상기 방법은 웨이퍼 상의 다이 내의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 수리 불가능한 것으로 MIR에 의해 지시된 2 이상의 메모리 뱅크 사이의 공간적 상관관계를 나타내는 웨이퍼 상의 메모리 뱅크를 형성하는데 사용된 레티클의 적층 맵을 생성하는 단계를 포함한다. 또한, 적층 맵은 당업계에 알려진 임의의 적합한 방식으로 생성될 수 있다.In a further embodiment, the method includes determining an MRI for a memory bank in a die on a wafer, and forming a memory bank on the wafer indicative of a spatial correlation between the two or more memory banks indicated by the MIR as unrepairable And generating a stack map of the used reticle. The lamination map may also be generated in any suitable manner known in the art.

일부 실시예에서, 상기 방법은 다이 내에서 검출된 결함에 의해 영향을 받은 다이의 메모리 뱅크를 식별하는 단계와, 메모리 뱅크에 대한 결함의 영향에 기초하여 메모리 뱅크의 등급을 매기는 단계를 포함한다. 이러한 방식에서, 상기 방법은 영향 받은 메모리 뱅크 리스트의 등급을 매기는 단계를 포함할 수 있다. 메모리 뱅크에 대한 결함의 영향은 여기에 기술한 임의의 정보(예컨대, 결함의 1 이상의 속성, 메모리 뱅크에 대한 설계 데이터의 1 이상의 속성 등)에 기초하여 결정될 수 있다. 메모리 뱅크의 등급을 매기기 위해 사용된, 메모리 뱅크에 대한 결함의 영향은 메모리 뱅크에 대하여 결함이 미치는 임의의 영향(예컨대, 임의의 역 효과)를 포함할 수 있다. 메모리 뱅크는 결함에 의해 가장 영향을 받은 메모리 뱅크에 최고 등급이 할당되고, 결함에 의해 가장 적게 영향을 받은 메모리 뱅크에는 최저 등급이 할당되는 방식으로 등급이 매겨진다. 메모리 뱅크의 그러한 등급은 예컨대, 다이 내의 메모리 뱅크의 위치와, 결함이 메모리 뱅크에 영향을 미치는 정도 사이의 관계를 결정하는데 사용될 수 있다. 또한, 그러한 관계는 결함의 적어도 일부의 원인을 예측하는데 사용될 수 있으며, 이는 추가적인 웨이퍼 상에서의 결함을 저감하고, 및/또는 메모리 뱅크에 대한 영향이 작은 결함을 감소시키기 이전에(예컨대, 전술한 1 이상의 변경 단계를 사용하여), 먼저 메모리 뱅크에 대해 영향이 가장 큰 결함의 수를 줄이는데(예컨대, 결함의 검출 이전에 메모리 뱅크에 수행된 프로세스를 변경하는 것과 같이 여기에 기술한 1 이상의 변경 단계를 사용하여) 사용될 수 있다.In some embodiments, the method includes identifying a memory bank of the die affected by the detected defect in the die, and rating the memory bank based on the effect of the defect on the memory bank . In this manner, the method may include the step of rating the affected memory bank list. The effect of a defect on a memory bank may be determined based on any of the information described herein (e.g., one or more attributes of a defect, one or more attributes of design data for a memory bank, etc.). The effect of a defect on a memory bank, which is used to rank the memory bank, may include any effect (e.g., any adverse effect) that is defective for the memory bank. Memory banks are rated in such a way that a memory bank that is most affected by a defect is assigned a highest rating and a memory bank that is least affected by a defect is assigned a lowest rating. Such a rating of a memory bank may be used, for example, to determine the relationship between the location of the memory bank in the die and the degree to which the defect affects the memory bank. Such a relationship may also be used to predict the cause of at least some of the defects, which may reduce defects on additional wafers and / or affect the memory bank prior to reducing small defects (e.g., (E.g., using one or more of the change steps described herein, such as changing a process performed on a memory bank prior to the detection of a fault, to reduce the number of defects that have the greatest effect on the memory bank) ). ≪ / RTI >

다른 실시예에서, 상기 방법은 메모리 뱅크의 수리 불가능한 영역 내의 결함에 의해 영향받은 웨이퍼 상에 형성된 메모리 뱅크의 퍼센티지를 결정하는 단계를 포함한다. 메모리 뱅크의 수리 불가능한 영역 내의 결함에 의해 영향받은 메모리 뱅크는 여기에 기술하는 바와 같이 결정할 수 있다. 퍼센티지는 그러한 메모리 뱅크의 수와, 웨이퍼 상에 형성된 전체 메모리의 수에 기초하여 결정할 수 있다. 또한, 상기 방법은 가능한 용장도 오류에 의해 영향받은 및/또는 수리 불가능한 오류에 의해 영향받은 다이의 퍼센트를 결정하는 단계를 포함할 수 있다. 가능한 용장도 오류 및 수리 불가능한 오류는 여기에 기술하는 바와 같이 식별할 수 있다. 또한, 가능한 용장도 오류 및/또는 수리 불가능한 오류에 의해 영향받은 다이는 여기에 기술한 바와 같이 식별될 수 있다. 영향받은 다이의 수 및 웨이퍼상에 형성된 다이의 전체 수는 가능한 용장도 오류 및/또는 수리 불가능한 오류에 의해 영향받은 다이의 퍼센트를 결정하는데 사용할 수 있다.In another embodiment, the method includes determining a percentage of a memory bank formed on a wafer affected by a defect in a non-repairable area of the memory bank. The memory bank affected by the defects in the non-repairable area of the memory bank may be determined as described herein. The percentage can be determined based on the number of such memory banks and the total number of memories formed on the wafer. The method may also include determining a percentage of the die affected by errors that are affected by and / or unrecoverable errors due to possible redundancy errors. Possible redundancy errors and irreparable errors can be identified as described herein. Also, the die affected by possible redundancy errors and / or non-repairable errors may be identified as described herein. The number of dies affected and the total number of dies formed on the wafer may be used to determine the percentage of die affected by possible redundancy errors and / or unrepairable errors.

일부 실시예에서, 상기 방법은 가능한 오류 사이의 공간적 상관관계를 나타내는 웨이퍼 상에 형성된 메모리 뱅크 내의 가능한 오류의 적층 웨이퍼 맵을 생성하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 비닝된 익섹스(공간적 상관관계에 대한) 또는 가능한 오류의 적층 웨이퍼 맵을 생성하는 단계를 포함할 수 있다. 가능한 오류는 여기에 기술하는 바와 같이 식별될 수 있고, 적층 웨이퍼 맵은 임의의 적합한 방식으로 생성할 수 있다. 적층 맵은 컬러 코딩 확률 빈(color coding probability bin)과 같은 방법에 의해 다이가 메모리 오류를 가질 확률을 대안적으로 표시 또는 오버레이할 수 있다.In some embodiments, the method includes generating a stacked wafer map of possible errors in a memory bank formed on the wafer that exhibits spatial correlation between possible errors. In this manner, the method may comprise generating a laminated wafer map of binned wise (for spatial correlation) or possible errors. Possible errors can be identified as described herein, and laminate wafer maps can be generated in any suitable manner. The stack map may alternatively display or overlay the probability that the die will have a memory error by the same method as the color coding probability bin.

다른 실시예에서, 상기 방법은 웨이퍼 상에 형성된 1 이상의 다이에 대한 MRI를 결정하는 단계와, 그 MRI에 기초하여 1 이상의 다이의 등급을 매기는 단계를 포함한다. 이러한 방식에서, 상기 방법은 웨이퍼 상의 영향받은 다이의 등급이 매겨진 리스트를 생성하는 단계를 포함할 수 있다. 1 이상의 다이에 대한 MRI는 여기에 기술한 바와 같이 결정할 수 있다. 또한, 그 MRI에 기초하여 1 이상의 다이의 등급을 매기는 단계는 여기에 기술한 바와 같이 수행할 수 있으며, 그러한 등급은 여기에 기술한 바와 같이 사용할 수 있다.In another embodiment, the method includes determining an MRI for one or more dies formed on a wafer, and rating the one or more dies based on the MRI. In this manner, the method may include generating a ranked list of the affected die on the wafer. The MRI for one or more dies can be determined as described herein. In addition, the step of rating one or more dies based on the MRI may be performed as described herein, and such grades may be used as described herein.

전술한 MRI를 결정하는 방법은 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 MRI를 결정하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.The method for determining the MRI described above may include any other step of any of the methods described herein. Further, each embodiment of the method for determining the MRI described above can be performed by any of the system embodiments described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함을 비닝하는 다른 방법에 관한 것이다. 이러한 방법은 설계 데이터 내의 결함의 위치를 설계 데이터의 핫 스팟의 위치와 비교하는 단계를 포함한다. 적어도 유사한 설계 데이터에 가깝게 위치한 핫 스팟은 서로 상호 연관지어진다. 핫 스팟은 임의의 다른 방법 또는 시스템에 의해 상호 연관지어진다. 대안적으로, 핫 스팟은 상기 방법의 일 실시예에 의해 서로 상호 연관지어질 수 있다. 예컨대, 일 실시예에서, 상기 방법은 체계적 결함과 연관된 설계 데이터 내의 POI의 위치를 식별하여 핫 스팟을 상호 연관짓는 단계와, 설계 데이터 내의 유사한 패턴을 갖는 POI를 상호 연관짓는 단계와, 상호 연관지어진 핫 스팟의 위치로서 설계 데이터 내의 유사한 패턴의 위치와 POI의 위치를 상호 연관짓는 단계를 포함한다. 그러한 일 실시예에서, 체계적 결함은 리스트, 데이터베이스, 또는 설계 데이터에 대한 체계적 결함의 파일과 같은 데이터 구조에 포함될 수 있으며, 이는 다른 방법 또는 시스템에 의해 생성될 수 있다. 그러한 다른 실시예에서, 상기 방법은 체계적 결함을 식별하는 단계 및/또는 체계적 결함에 대한 설계 데이터 내의 POI를 결정하는 단계를 포함한다. 예컨대, 체계적 결함은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분에 기초하여 웨이퍼 상에서 검출된 결함을 비닝하여 식별될 수 있으며, 이는 전술한 바와 같이 수행될 수 있다. POI는 비닝된 결함의 그룹에 대응하는 설계 데이터 부분 내의 패턴을 추출함으로써 결정될 수 있으며, 핫 스팟은 설계 백그라운드 그룹화를 사용하여 서로 상호 연관지어질 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 또한, 핫 스팟은 핫 스팟을 비닝하여 서로 상호 연관지어질 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 핫 스팟을 서로 연관짓는 단계는 온-툴로 수행될 수 있다. 상호 연관지어진 핫 스팟의 위치는 "핫 스팟 리스트" 또는 임의의 다른 적합한 데이터 구조에 저장될 수 있으며, 그 데이터 구조는 어느 핫 스팟이 서로 연관지어진 지의 일부 지시, 리스트 내의 핫 스팟에 대한 아이덴티티, 및 리스트 내의 핫 스팟의 위치를 포함한다. 이러한 리스트는 비닝 방법에서 본질적으로 기준 데이터로서 사용될 수 있다.Another embodiment relates to another method of binning detected defects on a wafer. The method includes comparing a location of a defect in the design data to a location of a hotspot in the design data. Hot spots located at least close to similar design data are correlated with each other. Hot spots are correlated by any other method or system. Alternatively, the hot spots may be correlated to each other by one embodiment of the method. For example, in one embodiment, the method may include identifying locations of POIs in the design data associated with systematic defects to correlate hotspots, correlating POIs with similar patterns in the design data, And correlating the location of the POI with the location of a similar pattern in the design data as the location of the hotspot. In such an embodiment, systematic defects may be included in a data structure, such as a list, database, or file of systematic defects for design data, which may be generated by other methods or systems. In another such embodiment, the method includes identifying systematic defects and / or determining a POI in design data for systematic defects. For example, a systematic defect can be identified by binning the detected defect on the wafer based on the portion of the design data that is close to the location of the defect in the design data space, which can be performed as described above. The POI may be determined by extracting patterns in the design data portion corresponding to the group of binned defects and hot spots may be correlated with each other using design background grouping, which may be performed as further described herein . Also, hot spots can be correlated with each other by binning hot spots, which can be performed as described further herein. The steps of associating the hot spots with each other can be performed with an on-tool. The location of the correlated hotspots may be stored in a " hotspot list "or any other suitable data structure, including some indication of which hotspots are related to each other, the identity of the hotspots in the list, and Contains the location of the hotspot in the list. This list can be used essentially as reference data in the binning method.

상기 방법은 적어도 유사한 위치를 갖는 핫 스팟과 결함을 관련시키는 단계를 또한 포함한다. 특히, 설계 데이터 스페이스 내의 적어도 유사한 위치를 갖는 핫 스팟 및 결함은 전술한 비교 단계의 결과에 기초하여 결정될 수 있다. 설계 데이터 스페이스 내의 위치를 갖는 핫 스팟 및 결함은 서로 임의의 적합한 방식으로 관련된다. 또한, 상기 방법은 각 그룹 내의 결함이 서로 연관지어진 핫 스팟과만 관련되도록 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 결함의 각 그룹은 상호 연관지어진 그룹에 대응할 수 있다.The method also includes associating a defect with a hot spot having at least a similar location. In particular, hot spots and defects having at least similar locations within the design data space can be determined based on the results of the above-described comparison step. Hot spots and defects having locations within the design data space are associated with each other in any suitable manner. The method also includes grouping the defects into groups such that defects in each group are associated only with hot spots that are correlated with each other. In this manner, each group of defects can correspond to an interrelated group.

상기 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 더 포함한다. 그 저장 단계는 여기에 기술한 임의의 방법 실시예의 임의의 다른 결과에 부가하여 그 비닝 단계의 결과를 저장하는 단계를 포함할 수 있다. 비닝 단계의 결과는 당업계에 알려진 임의의 방식으로 저장될 수 있다. 또한, 저장 매체는 여기에 기술한 임의의 저장 매체 또는 당업계에 알려진 임의의 다른 적합한 매체를 포함할 수 있다. 비닝 단계의 결과가 저장된 후, 비닝 단계의 결과는 여기에 기술한 바와 같은 임의의 방법 또는 시스템에 의해 액세스 및 사용될 수 있다. 또한, 비닝 단계의 결과는 "영구적으로", "반-영구적으로", 또는 임의의 기간동안 일시적으로 저장될 수 있다. 비닝 단계의 결과를 저장하는 단계는 여기에 기술한 임의의 다른 실시예에 따라 추가로 수행될 수 있다.The method further comprises storing the result of the binning step on a storage medium. The storing step may include storing the result of the binning step in addition to any other result of any of the method embodiments described herein. The results of the binning step may be stored in any manner known in the art. In addition, the storage medium may comprise any of the storage media described herein or any other suitable medium known in the art. After the results of the binning step are stored, the results of the binning step may be accessed and used by any method or system as described herein. In addition, the results of the binning step may be stored "permanently "," semi-permanently ", or temporarily for any period of time. Storing the results of the binning step may be further performed according to any of the other embodiments described herein.

일 실시예에서, 상기 방법은 DBC를 1 이상의 그룹에 할당하는 단계를 포함한다. DBC를 1 이상의 그룹에 할당하는 단계는 여기에 기술한 임의의 실시예에 따라 수행할 수 있다. 다른 실시예에서, 상기 방법은 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 본 실시예에서 1 이상의 결함에 대한 DCI를 결정하는 단계는 여기에 기술한 임의의 실시예에 따라서 수행할 수 있다.In one embodiment, the method comprises assigning a DBC to one or more groups. The step of assigning the DBC to one or more groups may be performed according to any of the embodiments described herein. In another embodiment, the method includes determining a DCI for one or more defects. The step of determining the DCI for one or more defects in this embodiment may be performed according to any of the embodiments described herein.

다른 실시예에서, 컴퓨터-구현 방법은 웨이퍼 상의 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 이러한 방식에서, 컴퓨터-구현 방법은 온-툴로 수행될 수 있다. 또한, 상기 방법은 핫 스팟 관리를 온-툴로 수행하는 단계를 포함할 수 있다. 핫 스팟 관리는 예컨대, 핫 스팟 탐색, 핫 스팟 모니터링, 핫 스팟 리비젼(revision), 또는 이들의 일부 조합을 포함할 수 있고, 그것의 각각은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 예컨대, 일부 실시예에서, 핫 스팟은 웨이퍼 상의 결함을 검출하는데 사용된 검사 시스템에 의해 식별된다. 이러한 방식에서, 핫 스팟은 온-툴로 식별 또는 탐색될 수 있다. 핫 스팟의 그러한 식별 또는 탐색은 여기에 기술하는 바와 같이 수행될 수 있다(예컨대, 웨이퍼 상에서 검출된 결함의 설계 백그라운드 기반 그룹화를 수행함으로써).In another embodiment, a computer-implemented method is performed by an inspection system used to detect defects on a wafer. In this way, the computer-implemented method can be performed with an on-tool. The method may also include performing hot spot management with an on-tool. Hot spot management may include, for example, hot spot navigation, hot spot monitoring, hot spot revision, or some combination thereof, each of which may be performed as further described herein. For example, in some embodiments, a hot spot is identified by an inspection system used to detect defects on the wafer. In this way, hot spots can be identified or searched on-tool. Such identification or searching of hot spots may be performed as described herein (e.g., by performing a design background based grouping of defects detected on the wafer).

다른 실시예에서, 상기 방법은 설계 데이터가 인쇄되는 1 이상의 웨이퍼의 검사 결과를 사용하여 핫 스팟을 모니터링하는 단계를 포함한다. 검사 결과에 기초하여 핫 스팟을 모니터링하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 핫 스팟의 그러한 모니터링은 온-툴로 수행될 수 있다. 핫 스팟의 모니터링은 전술한 검사 결과, 여기에 기술한 1 이상의 비닝 방법의 결과, 여기에 기술하는 바와 같이 수행할 수 있는, 1 이상의 DBC를 1 이상의 결함에 할당하는 결과, 여기에 기술한 임의의 방법의 임의의 다른 결과, 또는 이들의 일부 조합을 사용하여 또한(또는 대안적으로) 수행될 수 있다.In another embodiment, the method includes monitoring a hot spot using the inspection results of one or more wafers on which design data is printed. The step of monitoring the hot spot based on the result of the inspection can be performed as described herein. Such monitoring of hot spots can be performed on-the-fly. Hotspot monitoring is the result of assigning one or more DBCs to one or more defects that can be performed as described herein as a result of one or more of the binning methods described herein, (Or alternatively) using any other result of the method, or some combination thereof.

다른 실시예에서, 상기 방법은 핫 스팟 사이의 상관관계에 기초하여 웨이퍼를 검사하는 단계를 포함한다. 예컨대, 상호 연관지어진 핫 스팟의 상이한 그룹에 대응하는 웨이퍼 상의 위치는 상이하게 검사할 수 있다. 핫 스팟 사이의 상관관계에 기초한 웨이퍼 검사는 상호 연관지어진 핫 스팟의 그룹에 대응하는 설계 데이터의 1 이상의 속성 및 상관관계에 기초하여 또한 수행할 수 있다. 예컨대, 결함에 대한 특히 높은 수율 감지도를 갖는 설계 데이터에 대응하는 상호 연관지어진 핫 스팟의 그룹의 위치는 통상적인 것보다 높은 감지도로 검사될 웨이퍼 상의 위치를 결정하는데 사용할 수 있다. 본 실시예에 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 1 이상의 설계 데이터 속성을 포함할 수 있다. 또한, 검사 프로세스의 1 이상의 매개변수는, 상호 연관지어진 핫 스팟의 다른 그룹에 대응하는 웨이퍼 상의 위치가 서로 다르게 검사될 수 있도록 변경될 수 있다. 검사의 1 이상의 매개변수는 여기에 기술한 1 이상의 매개변수를 포함할 수 있다.In another embodiment, the method includes examining a wafer based on a correlation between hot spots. For example, the locations on the wafers corresponding to different groups of interconnected hot spots can be examined differently. Wafer inspection based on correlation between hot spots can also be performed based on one or more attributes and correlations of design data corresponding to groups of interconnected hot spots. For example, the location of a group of interconnected hot spots corresponding to design data, particularly those with high yield sensitivity to defects, can be used to determine the location on the wafer to be inspected at a higher detection rate than usual. One or more attributes of the design data used in the present embodiment may include one or more design data attributes described herein. In addition, one or more parameters of the inspection process can be altered so that the locations on the wafer corresponding to different groups of interconnected hotspots can be examined differently. One or more parameters of the test may include one or more parameters as described herein.

일부 실시예에서, 상기 방법은 체계적 결함, 잠재적인 체계적 결함, 또는 이들의 일부 조합을 비닝 단계의 결과를 사용하여 시간에 걸쳐 모니터링하는 단계를 포함하며, 이는 여기에 기술한 임의의 실시예에 따라 수행될 수 있다. 다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 설계 데이터 내의 체계적 결함 및 잠재적인 체계적 결함을 식별하는 단계와, 시간에 걸친 체계적 결함 및 잠재적인 체계적 결함의 발생을 모니터링하는 단계를 포함한다. 이러한 방법 실시예의 단계는 여기에 기술하는 바와 같이 수행할 수 있다.In some embodiments, the method includes monitoring systematic defects, potential systematic defects, or some combination thereof over time using the results of the binning step, which may be performed according to any of the embodiments described herein . In another embodiment, the method includes identifying systematic defects and potential systematic defects in the design data based on the results of the binning step, and monitoring the occurrence of systematic defects over time and potential systematic defects . The steps of this method embodiment may be performed as described herein.

다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 결함의 리뷰를 수행하는 단계를 포함한다. 예컨대, 결함의 리뷰는 상호 연관지어진 핫 스팟의 상이한 그룹에 대응하는 결함 그룹이 상이하게 리뷰되도록 수행될 수 있다(예컨대, 리뷰 프로세스의 1 이상의 매개변수의 적어도 하나의 상이한 값을 사용하여). 비닝 단계의 결과에 기초한 웨이퍼 리뷰는 비닝 결과와, 상호 연관지어진 핫 스팟의 그룹에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 수행할 수 있다. 이러한 방식에서, 비닝 단계의 결과에 기초한 결함의 리뷰는 핫 스팟 사이의 상관관계에 기초하여 웨이퍼를 검사하는 단계에 대하여 전술한 바와 같이 수행할 수 있다.In another embodiment, the method includes performing a review of the defect based on the result of the binning step. For example, a review of a defect may be performed (e.g., using at least one different value of one or more parameters of the review process) for a group of defects corresponding to different groups of interconnected hotspots to be reviewed differently. The wafer review based on the results of the binning step may be performed based on the binning results and one or more attributes of the design data corresponding to the groups of hot spots correlated. In this manner, a review of the defect based on the result of the binning step can be performed as described above for the step of inspecting the wafer based on the correlation between the hot spots.

추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 결함을 선택하는 프로세스를 생성하는 단계를 포함한다. 본 실시예에서 리뷰를 위한 결함을 선택하기 위한 프로세스를 생성하는 단계는 여기에 기술한 임의의 실시예에 따라서 수행할 수 있다. 또한, 리뷰를 위한 결함을 선택하기 위한 프로세스는 결함의 그룹과 관련된 상호 연관지어진 핫 스팟에 대한 정보와 조합하여, 가능하게는 여기에 기술한 임의의 방법의 임의의 다른 단계의 결과 및 여기에 기술한 임의의 다른 정보(예컨대, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성 등)과 조합하여 비닝 단계의 결과에 기초하여 생성될 수 있다. 또한, 결함을 선택하기 위한 프로세스를 생성하는 단계는 결함을 선택하는데 사용되는 프로세스의 임의의 1 이상의 매개변수에 대한 값을 선택하는 단계를 포함할 수 있다.In a further embodiment, the method includes generating a process for selecting a defect for review based on a result of the binning step. The step of creating a process for selecting defects for review in this embodiment may be performed according to any of the embodiments described herein. In addition, the process for selecting a defect for review may be combined with information on correlated hot spots associated with a group of defects, possibly as a result of any other step of any of the methods described herein, (E.g., one or more attributes of the design data, one or more attributes of the defect, etc.). In addition, creating a process for selecting a defect may include selecting a value for any one or more of the parameters of the process used to select the defect.

다른 실시예에서, 상기 방법은 비트맵 단계의 결과에 기초하여 설계 데이터가 인쇄된 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계를 포함한다. 본 실시예에서 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계는 여기에 기술한 임의의 실시예에 따라서 수행할 수 있다. 또한, 웨이퍼를 검사하기 위한 프로세스는 결함의 그룹과 관련된 상호 연관지어진 핫 스팟에 대한 정보와 조합하여, 가능하게는 여기에 기술한 임의의 방법의 임의의 다른 단계의 결과(예컨대, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성 등)와 조합하여, 비닝 단계의 결과에 기초하여 생성될 수 있다. 또한, 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계는 웨이퍼를 검사하기 위해 사용되는 프로세스의 임의의 1 이상의 매개변수에 대한 값을 선택하는 단계를 포함할 수 있다.In another embodiment, the method includes generating a process for inspecting a wafer on which design data is printed based on the result of the bitmap step. The step of creating a process for inspecting wafers in this embodiment may be performed according to any of the embodiments described herein. In addition, the process for inspecting the wafers may be performed in combination with information about interconnected hot spots associated with a group of defects, possibly as a result of any other steps of any of the methods described herein (e.g., The above attributes, one or more attributes of the defects, etc.), based on the result of the binning step. In addition, creating the process for inspecting the wafer may include selecting a value for any one or more of the parameters of the process used to inspect the wafer.

추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 설계 데이터가 인쇄된 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계를 포함한다. 본 실시예에서 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계는 여기에 기술한 임의의 실시예에 따라 수행될 수 있다. 또한, 웨이퍼를 검사하기 위한 프로세스는, 결함의 그룹과 관련된 상호 연관지어진 핫 스팟에 관한 정보와 조합하여, 가능하게는 여기에 기술한 임의의 방법의 임의의 다른 단계의 결과(예컨대, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성 등)와 조합하여, 비닝 단계의 결과에 기초하여 변경될 수 있다. 또한, 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계는 웨이퍼를 검사하기 위해 사용되는 변경 프로세스의 1 이상의 매개변수에 대한 값을 선택하는 단계를 포함할 수 있다.In a further embodiment, the method includes modifying a process for inspecting a wafer on which design data is printed based on a result of the binning step. The step of changing the process for inspecting the wafers in this embodiment may be performed according to any of the embodiments described herein. In addition, the process for inspecting the wafers may be performed in combination with information about interconnected hot spots associated with a group of defects, possibly as a result of any other steps of any of the methods described herein One or more attributes of the defect, one or more attributes of the defect, and the like). In addition, modifying the process for inspecting the wafer may include selecting a value for one or more parameters of the alteration process used to inspect the wafer.

일부 실시예에서, 상기 방법은 1 이상의 그룹의 결함에 의해 영향을 받은 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 이러한 실시예에서, 다이의 퍼센티지는 여기에 기술한 임의의 실시예에 따라 결정될 수 있다.In some embodiments, the method includes determining a percentage of a die formed on a wafer affected by a defect of at least one group. In such an embodiment, the percentage of die may be determined according to any of the embodiments described herein.

다른 실시예에서, 상기 방법은 적어도 하나의 그룹으로 비닝된 결함이 위치되는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 적어도 하나의 그룹에 우선순위를 할당하는 단계를 포함한다. 우선순위의 결정 및 할당은 여기에 기술한 임의의 실시예에 따라 수행될 수 있다.In another embodiment, the method includes determining a percentage of a die formed on a wafer on which a defect binned into at least one group is located, and assigning a priority to at least one group based on the percentage do. The determination and assignment of priorities may be performed according to any of the embodiments described herein.

추가적인 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함과 관련된 핫 스팟과 상호 연관지어진 전체 핫 스팟의 수와, 1 이상의 그룹 내의 결함의 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 예컨대, 상호 연관지어진 핫 스팟 그룹 내의 핫 스팟의 수는 핫 스팟 그룹에 대응하는 그룹 내의 결함의 수에 비교될 수 있다. 그와 같이, 상호 연관지어진 핫 스팟 그룹의 결함도가 결정될 수 있다(예컨대, 결함이 검출된 상호 연관지어진 핫 스팟의 분율의 결정 및/또는 결함이 검출된 상호 연관지어진 핫 스팟의 퍼센티지의 결정에 의해). 따라서, 결함의 그룹은 상호 연관지어진 핫 스팟의 결함도에 의해 우선순위화될 수 있다. 예컨대, 다수로 검출된 일 그룹 내의 결함, 큰 분율, 또는 대응하는 핫 스팟의 큰 퍼센티지에는 소수로 검출된 결함의 그룹, 작은 분율, 또는 대응하는 핫 스팟의 작은 퍼센티지보다 높은 우선순위가 할당될 수 있다. 따라서, 결함의 그룹은 웨이퍼에 걸친 핫 스팟 결함도에 따라 우선순위화될 수 있다.In a further embodiment, the method includes prioritizing one or more groups by the number of total hot spots correlated with the hot spots associated with the defects in the at least one group and the number of defects within the at least one group. For example, the number of hot spots in an interconnected hot spot group may be compared to the number of defects in a group corresponding to a hot spot group. As such, the degree of defect of an interconnected hot spot group can be determined (e.g., determining the fraction of correlated hot spots in which a defect was detected and / or determining the percentage of correlated hot spots in which a defect was detected due to). Thus, the group of defects can be prioritized by the degree of defect of the correlated hot spots. For example, a large percentage of defects, large fractions, or corresponding hot spots within a group that are detected in large numbers may be assigned a higher priority than a small percentage of detected defects, a small fraction, or a small percentage of corresponding hot spots have. Thus, the group of defects can be prioritized according to the degree of hot spot defect across the wafer.

추가의 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함이 적어도 한번 검출되는 웨이퍼 상에 설계 데이터를 인쇄하는데 사용된 레티클 위의 핫 스팟 위치에 대응하는 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 예컨대, 레티클 상의 다수의 핫 스팟 위치에 대응하는 결함 그룹에는 레티클 상의 소수의 핫 스팟 위치에 대응한 결함 그룹보다 더 높은 우선순위가 할당될 수 있다. 따라서, 결함의 그룹은 웨이퍼에 걸친 잠재적 결함도에 기초하여 우선순위화될 수 있다. 또한, 레티클이 웨이퍼 상에 인쇄될 회수가 알려지거나 결정되는 경우, 레티클에 걸친 그룹의 잠재적 결함도는 웨이퍼에 걸친 1 이상의 그룹의 잠재적 결함도를 결정하거나 추정하는데 사용될 수 있다. 우선순위화 단계의 결과는 여기에 기술한 1 이상의 단계를 수행하는데 사용할 수 있다.In a further embodiment, the method comprises prioritizing one or more groups by a number corresponding to hot spot locations on a reticle used to print design data on a wafer on which defects in one or more groups are detected at least once . For example, a defect group corresponding to a plurality of hot spot positions on the reticle may be assigned a higher priority than a defect group corresponding to a few hot spot positions on the reticle. Thus, the group of defects can be prioritized based on the degree of potential defect across the wafer. In addition, when the number of times a reticle is printed on a wafer is known or determined, the potential defectivity of the group across the reticle can be used to determine or estimate the degree of potential defect of one or more groups across the wafer. The results of the prioritization step may be used to perform one or more of the steps described herein.

일부 실시예에서, 상기 방법은 1 이상의 그룹으로 비닝된 결함이 검출된 레티클 상의 위치의 수와, 1 이상의 그룹 내의 결함과 관련된 핫 스팟과 상호 연관지어진 레티클 상의 핫 스팟 위치의 전체 수에 기초하여, 1 이상의 그룹에 대한 레티클-기반 마진을 결정하는 단계를 포함한다. 예컨대, 레티클 상의 상호 연관지어진 핫 스팟의 그룹 내의 결함이 검출되는 위치의 수는, 상호 연관지어진 핫 스팟의 그룹에 대응하는 그룹 내의 결함이 검출되는 위치의 수에 비교될 수 있다. 따라서, 레티클 기반 마진은 그러한 비교에 기초할 수 있고, 레티클에 걸친 상호 연관지어진 핫 스팟의 위치에 걸친 결함도의 측정치일 수 있다. 그러한 레티클 기반 마진은 여기에 기술하는 바와 같은 1 이상의 단계에 사용될 수 있다.In some embodiments, the method further comprises determining, based on the number of positions on the reticle where a defect binned in one or more groups is detected, and the total number of hot spot locations on the reticle correlated to a hot spot associated with a defect in the one or more groups, And determining a reticle-based margin for the one or more groups. For example, the number of locations where defects in a group of interconnected hot spots on the reticle are detected may be compared to the number of locations where defects in the group corresponding to the group of interconnected hot spots are detected. Thus, reticle-based margins may be based on such comparisons and may be a measure of the degree of defect over the location of the correlated hot spots across the reticle. Such reticle based margins can be used in one or more steps as described herein.

전술한 바와 같은 결함을 비닝하기 위한 방법 실시예의 각각은 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 바와 같은 결함을 비닝하기 위한 방법 실시예의 각각은 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each of the method embodiments for boring a defect as described above may include any other step of any of the methods described herein. Further, each of the method embodiments for boring a defect as described above may be performed by any of the system embodiments described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함을 비닝하기 위한 다른 방법에 관한 것이다. 이러한 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성을 비교하는 단계를 포함한다. 일 실시예에서, 1 이상의 속성은 패턴 밀도를 포함한다. 다른 실시예에서, 1 이상의 속성은 특징 스페이스 내의 1 이상의 속성을 포함한다. 특징 스페이스는 설계 데이터로부터 도출된 하나 또는 다수의 특징을 포함할 수 있다. 설계 스페이스와 달리, 특징 스페이스는 감독 방식(예컨대, 최인접 근방 비닝 기술) 또는 비-감독 방식(예컨대, 자연적인 그룹화 기술)으로 결함의 그룹을 결정하는데 유용할 수 있는 여러 속성을 효과적으로 고려하는 능력을 갖는다. 이러한 단계에 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터, 결함 데이터, 핫 스팟 또는 POI의 임의의 다른 속성을 또한(또는 대안적으로) 포함할 수 있다.Another embodiment relates to another method for binning detected defects on a wafer. In such an embodiment, the method includes comparing at least one attribute of the design data near the location of the defect in the design data space. In one embodiment, the one or more attributes comprise a pattern density. In another embodiment, the one or more attributes include one or more attributes within the feature space. The feature space may include one or more features derived from the design data. Unlike the design space, the feature space has the ability to effectively consider various attributes that may be useful in determining a group of defects in a supervised manner (e.g., nearest neighbor binning technique) or non-supervised manner (e.g., natural grouping technique) Respectively. One or more attributes of the design data used in this step may also (or alternatively) include any other attributes of the design data, defect data, hotspots, or POIs described herein.

상기 방법은 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 적어도 유사한지를 비교 단계의 결과에 기초하여 결정하는 단계를 또한 포함한다. 1 이상의 속성이 적어도 유사한지의 결정은 여기에 기술한 유사도를 결정하기 위한 다른 단계와 유사한 방식으로 수행할 수 있다. 또한, 상기 방법은 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 포함한다. 비닝 단계는 여기에 기술한 다른 비닝 단계에 유사한 방식으로 수행될 수 있다. 상기 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 추가로 포함하며, 이는 여기에 기술한 바와 같이 수행할 수 있다.The method also includes determining if at least one attribute of the design data near the location of the defect is at least similar based on a result of the comparing step. The determination of whether one or more attributes are at least similar may be performed in a manner similar to other steps for determining the similarity described herein. The method also includes grouping the defects into groups such that at least one attribute of the design data near the location of the defects in each group is at least similar. The binning step may be performed in a similar manner to the other binning steps described herein. The method further comprises storing the result of the binning step in a storage medium, which may be performed as described herein.

일부 실시예에서, 상기 방법은 결함이 랜덤 또는 체계적 결함인지를 속성을 사용하여 결정하는 단계를 포함한다. 또한, 속성은 랜덤 또는 체계적 결함에 대해 직접적으로 사용할 수 있다. 1 이상의 속성은 비닝된 결함 및/또는 비닝되지 않은 결함이 랜덤 또는 체계적 결함인지를 결정하는데 사용될 수 있다. 결함이 랜덤 결함인지 체계적 결함인지를 판정하기 위해, 설계 데이터의 1 이상의 속성이 여기에 기술한 임의의 다른 결과 및/또는 여기에 기술한 임의의 다른 정보(예컨대, 핫 스팟 정보 및 결함의 1 이상의 속성)와 조합하여 사용될 수 있다. 전술한 실시예의 일 예에서, 결함이 체계적인지 또는 랜덤한지를 판정하는데 사용된 설계 데이터의 1 이상의 속성은 특징에 대한 결함의 위치에서의 설계 데이터의 특징의 1 이상의 속성을 포함할 수 있다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 비교적 높은 패턴 밀도와, 비교적 작은 특징 치수를 갖고, 그러한 속성을 갖는 설계 데이터가 체계적 결함이 되기 쉬운 것으로 알려지면(이는 실험적으로, 시뮬레이션 또는 임의의 다른 적합한 방법 또는 시스템에 의해 결정될 수 있다), 그 결함은 체계적 결함으로 판정된다.In some embodiments, the method includes determining if the defect is a random or systematic defect using an attribute. Attributes can also be used directly for random or systematic defects. One or more attributes may be used to determine whether the binned and / or non-binned defects are random or systematic defects. One or more attributes of the design data may be combined with any other result described herein and / or any other information described herein (e.g., one or more of hotspot information and defects), to determine if the defect is a random defect or a systematic defect. Attribute). In one example of the above-described embodiment, one or more attributes of the design data used to determine whether the defect is systematic or random may include one or more attributes of the characteristics of the design data at the location of the defect for the feature. For example, if one or more attributes of the design data near the location of the defects in the design data space have relatively high pattern densities and relatively small feature dimensions, and if the design data having such properties are known to be systematic defects , Simulations, or any other suitable method or system), the defect is determined to be a systematic defect.

다른 실시예에서, 상기 방법은 속성을 사용하여 1 이상의 그룹의 등급을 매기는 단계를 포함한다. 비닝된 1 이상의 그룹의 결함의 등급을 매기는데 사용되는 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 일 예에서, 설계의 높은 패턴 밀도 영역에 위치한 결함이 수율에 더 큰 해로운 영향을 가질 수 있기 때문에, 비닝된 결함의 그룹은 높은 패턴 밀도와 관련된 결함의 그룹이 낮은 패턴 밀도와 관련된 결함의 그룹보다 높은 등급이 매겨지도록 패턴 밀도에 기초하여 등급이 매겨질 수 있다. 그러한 등급 결과는 여기에 기술한 바와 같이 사용될 수 있다(예컨대, 그 결과는 우선순위화 결과를 포함하는 단계에서 우선순위화 결과 대신에 사용될 수 있다).In another embodiment, the method includes rating one or more groups using attributes. The one or more attributes used to rank the defects of one or more of the binned groups may include any of the attributes described herein. In one example, because the defect located in the high pattern density region of the design may have a more deleterious effect on the yield, the group of the binned defects may have a higher defect density than the group of defects associated with the higher pattern density And may be graded based on the pattern density so as to be graded high. Such a ranking result may be used as described herein (e.g., the result may be used in place of the prioritization result in the step comprising the prioritization result).

속성은 그룹 내의 결함의 등급을 매기는데 또한 사용될 수 있다. 예컨대, 추가적인 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 결함을 적어도 하나의 그룹으로 등급을 매기는 단계를 포함한다. 결함을 그룹으로 등급을 매기는데 사용된 설계 데이터의 속성은 여기에 기술한 임의의 속성일 수 있다. 또한, 결함을 비닝하는데 사용된 속성은 그룹 내의 결함의 등급을 매기는데 사용된 속성과 동일하거나 동일하지 않을 수 있다. 본 실시예에서의 비닝 및 등급화 단계는 그룹 및 등급으로의 결함의 세밀한 분리를 이롭게 제공할 수 있고, 이는 수율에 대한 결함의 영향에 관한 더 많은 정보를 제공할 수 있다. 그룹 내 결함의 등급을 매기는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 또한, 1 이상의 그룹 내의 결함은 그것의 그룹 내에서 개별적으로 등급이 매겨질 수 있다. 상기 그룹 내의 결함의 등급을 매긴 결과는 여기에 기술한 1 이상의 단계에서 사용될 수 있다.Attributes may also be used to rank defects in a group. For example, in a further embodiment, the method includes rating the defects into at least one group using one or more attributes. The attributes of the design data used to rank defects into groups may be any of the attributes described herein. In addition, the attributes used to bin defects may or may not be the same as those used to rank defects in the group. The binning and grading step in this embodiment can advantageously provide a fine separation of defects into groups and grades, which can provide more information about the impact of defects on yield. The step of grading the defects in the group can be performed as described herein. Also, defects in one or more groups may be individually graded within its group. The result of grading the defects in the group may be used in one or more of the steps described herein.

속성은 일 그룹 내의 결함을 비닝 하기 위해 또한 사용될 수 있다. 예컨대, 추가의 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹 내의 결함을 서브-그룹으로 비닝하는 단계를 포함한다. 일 그룹 내의 결함을 서브-그룹으로 비닝 하는데 사용된 설계 데이터의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 또한, 결함을 그룹으로 비닝 하는데 사용된 속성은 그 결함을 서브-그룹으로 비닝하는데 사용된 속성과 동일하거나 동일하지 않을 수 있다. 본 실시예에서 결함을 그룹과 서브-그룹으로 비닝하는 단계는 그룹 및 서브-그룹으로의 결함의 더 세밀한 분리를 이롭게 제공할 수 있고, 이는 수율에 대한 결함의 영향에 관한 더 많은 정보를 제공할 수 있다. 일 그룹 내의 결함을 서브-그룹으로 비닝하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 또한, 1 이상의 그룹 내의 결함은 개별적으로 1 이상의 서브 그룹으로 비닝될 수 있다. 결함을 상기 그룹 및 서브-그룹으로 비닝한 결과는 여기에 기술한 1 이상의 단계에서 사용될 수 있다.Attributes may also be used to bin defects within a group. For example, in a further embodiment, the method includes binning a defect in at least one group into a sub-group using one or more attributes. The attributes of the design data used to bin the defects in a group into sub-groups may include any of the attributes described herein. Also, the attributes used to group defects into groups may or may not be the same as those used to bin the defects into sub-groups. The step of binning defects into groups and sub-groups in this embodiment can advantageously provide a finer separation of defects into groups and sub-groups, which provides more information about the impact of defects on yield . The steps of binning a defect within a group into a sub-group may be performed as described herein. Also, defects in one or more groups can be individually binned into one or more subgroups. The results of binning the defects into the groups and sub-groups may be used in one or more of the steps described herein.

일부 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹 내의 결함을 분석하는 단계를 포함한다. 이러한 방식에서, 속성은 일 그룹 내의 결함을 분석하기 위해 사용될 수 있다. DCI 결정은 이러한 유형 분석의 일 예이다. 예컨대, 추가의 실시예에서, 상기 방법은 속성을 사용하여 DCI를 1 이상의 결함에 할당하는 단계를 포함한다. 결함을 분석하는데 사용된 설계 데이터의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 분석은 여기에 기술한 임의의 다른 분석을 또한(또는 대안적으로) 포함할 수 있다.In some embodiments, the method includes analyzing a defect in at least one group using one or more attributes. In this way, attributes can be used to analyze defects within a group. The DCI decision is an example of this type of analysis. For example, in a further embodiment, the method includes assigning a DCI to one or more defects using an attribute. The attributes of the design data used to analyze the defect may include any of the attributes described herein. The analysis may also (or alternatively) include any other analysis described herein.

다른 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 1 이상의 결함의 수율 관련성을 결정하는 단계를 포함한다. 이러한 방식에서, 속성은 개별 결함의 수율 관련성을 추정하기 위해 사용될 수 있다. 수율 관련성을 결정하는데 사용되는 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 그러한 일 예에서, 비교적 높은 패턴 밀도를 갖는 설계 데이터에 가까이 위치한 결함은 비교적 낮은 패턴 밀도를 갖는 설계 데이터에 가까이 위치한 결함보다 더욱 수율 관련적인 것으로 결정될 수 있다. 또한, 수율 관련성은 설계 데이터의 1 이상의 속성과, 결함이 그러한 1 이상의 속성에 기초하여 수율에 어떻게 영향을 미치는지에 기초하여 결정될 수 있다. 수율 관련성이 결정된 결함은 비닝된 결함을 포함하거나 포함하지 않을 수 있다.In another embodiment, the method includes determining yield relevance of one or more defects using one or more attributes. In this manner, the attributes can be used to estimate the yield relevance of individual defects. One or more attributes used to determine yield relevance may include any of the attributes described herein. In such an example, a defect located close to the design data having a relatively high pattern density can be determined to be more yield related than a defect located close to the design data having a relatively low pattern density. In addition, the yield relevance can be determined based on one or more attributes of the design data and how the defects affect the yield based on such one or more attributes. Defects for which yield relevance is determined may or may not include binned defects.

추가적인 실시예에서, 상기 방법은 속성을 사용하여 1 이상의 그룹의 전체적인 수율 관련성을 결정하는 단계를 포함한다. 따라서, 속성은 전체 수율 관련성을 추정하는데 사용될 수 있다. 전체 수율 관련성은 전술한 바와 같이 결정할 수 있다.In a further embodiment, the method includes using attributes to determine the overall yield relevance of the one or more groups. Thus, an attribute can be used to estimate overall yield relevance. The overall yield relevance can be determined as described above.

일부 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터를 결함 주위 영역 내의 설계 데이터와, 결함이 위치한 영역 내의 설계 데이터로 분리하는 단계를 포함하며, 이는 여기에 기술한 바와 같이 수행될 수 있다. 또한, 속성은 결함이 위치할 영역으로부터 결합 주위 근방을 구분하는데 사용할 수 있다.In some embodiments, the method includes separating design data that is close to the location of the defect into design data within the defect perimeter area and design data within the area where the defect is located, which may be performed as described herein . The attribute can also be used to distinguish the vicinity of the bond from the region where the defect is to be located.

다른 실시예에서, 상기 방법은 룰 및 속성을 사용하여 비닝 또는 필터링하기 위한 설계 데이터 내의 구조를 식별하는 단계를 포함한다. 예컨대, 상기 방법은 설계 데이터의 1 이상의 속성 및 룰을 사용하여 LES에 민감한 구조, 큰 폴리(poly) 블록 등과 같은 구조를 식별하는 단계를 포함할 수 있고, 그러한 구조에 가까이 위치된 결함은 그룹으로 비닝될 수 있고 및/또는 그 결과로부터 필터링될 수 있다. 룰은 실험 결과 및/또는 시뮬레이션 결과 또는 임의의 적합한 방법을 사용하여 여기에 기술한 방법에 의해 생성될 수 있다.In another embodiment, the method includes identifying a structure in the design data for binning or filtering using rules and attributes. For example, the method may include identifying structures such as LES sensitive structures, large poly blocks, and the like using one or more attributes and rules of the design data, and the defects located close to such structures may be grouped Be binned and / or filtered from the result. The rules may be generated by the methods described herein using experimental results and / or simulation results or any suitable method.

다른 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과와, 체계적 결함으로 식별된 결함에 기초하여, 리뷰, 측정, 테스트 또는 이들의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함하며, 이는 여기에 기술한 임의의 실시예에 따라 수행할 수 있다. 일부 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과, 체계적 결함으로 식별된 결함, 및 결함의 수율 관련성에 기초하여, 리뷰, 측정, 테스트 또는 이들의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함하며, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 추가적인 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과, 체계적 결함으로 식별된 결함, 및 프로세스 창 매핑에 기초하여, 리뷰, 측정, 테스트 또는 이들의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함하며, 이는 여기에 기술하는 바와 같이 수행할 수 있다.In another embodiment, the method includes determining a location on the wafer on which a review, measurement, test, or some combination thereof is to be performed, based on the inspection results produced during the detection of the defect and the defect identified as a systematic defect , Which may be performed according to any of the embodiments described herein. In some embodiments, the method includes determining a position on the wafer on which a review, measurement, test, or some combination thereof is to be performed based on the inspection results produced during the detection of the defect, the defect identified as a systematic defect, , Which may be performed as described herein. In a further embodiment, the method determines the location on the wafer on which the review, measurement, test, or some combination thereof will be performed based on the inspection results produced during the detection of the defects, the defects identified as systematic defects, and the process window mapping , Which may be performed as described herein.

일부 실시예에서, 상기 방법은 비닝 단계 및 유저-보조 리뷰의 결과를 사용하여 체계적 탐색을 수행하는 단계를 포함한다. 예를 들어, 비닝 단계의 결과는 리뷰에서 유저를 보조하기 위해(예컨대, 어디를 리뷰할 것인지, 어떻게 리뷰할 것인지 등을 결정하기 위해) 사용될 수 있다. 리뷰는 1 이상의 그룹 내의 적어도 하나의 결함에 대한 리뷰 결과(예컨대, 고 배율 이미지)를 생성하는 단계와, 유저가 1 이상의 결함 또는 1 이상의 그룹의 결함을 체계적 결함으로서 식별할 수 있도록 그 결과를 유저에게 표시하는 단계를 포함할 수 있다.In some embodiments, the method includes performing a systematic search using the results of the binning step and the user-assisted review. For example, the results of the binning step may be used to assist the user in a review (e.g., to determine where to review, how to review, etc.). The review may include generating a review result (e.g., a high magnification image) of at least one defect in the one or more groups, and generating a result of the review so that the user can identify one or more defects or one or more group defects as systematic defects As shown in FIG.

다른 실시예에서, 상기 방법은 비교 단계 이전에, 비닝 단계의 결과에서의 S/N을 향상시키기 위해, 결함이 위치하는 기능 블록에 기초하여 결함을 분리하는 단계를 포함한다. 결함이 위치되는 기능 블록은 여기에 기술하는 바와 같이 결정될 수 있다. 비교 단계 이전에 기능 블록에 의해 결함을 분리함으로써, 일부(예컨대, 비-수율 관련적) 기능 블록 내의 결함은 상기 방법의 다른 단계에서의 사용으로부터 제거될 수 있고, 이는 비닝 결과에서의 S/N을 증가시킬 것이다. 또한, 비닝은, 결함이 위치하는 기능 블록과 조합하여, 설계 데이터의 1 이상의 속성에 기초하여 수행할 수 있고, 이에 의해 비닝 결과에서의 더 나은 분리 및 더 높은 S/N을 제공한다. 또한, 비닝은 각각의 기능 블록에 대해 또는 1 이상의 상이한 기능 블록에 대해 개별적으로 수행할 수 있고, 이에 의해 비닝 결과에 대한 S/N을 증가시킨다.In another embodiment, the method includes separating defects based on the functional block in which the defect is located, prior to the comparing step, to improve the S / N in the result of the binning step. The functional block in which the defect is located can be determined as described herein. By separating the defects by functional blocks prior to the comparing step, defects in some (e.g., non-yield related) functional blocks can be eliminated from use in other steps of the method, resulting in S / N . In addition, the binning can be performed based on one or more attributes of the design data in combination with the functional block in which the defect is located, thereby providing better isolation and higher S / N in the binning result. Also, binning can be performed for each functional block or for one or more different functional blocks, thereby increasing the S / N for the binning result.

다른 실시예에서, 설계 데이터는 계층 셀로 조직화되고, 상기 방법은, 비교 단계 이전에, 비닝 단계의 결과에서의 S/N을 향상시키기 위해, 결함이 위치되는 계층 셀에 기초하여 결함을 분리하는 단계를 포함한다. 설계 데이터는 여기에 추가로 기술하는 바와 같이 계층 셀로 조직화될 수 있다. 결함을 계층 셀에 기초하여 분리하는 단계는 기능 블록 기반 분리에 대하여 전술한 바와 같이 수행할 수 있다. 계층 셀에 기초로 결함을 분리하는 단계는 전술한 바와 같이 비닝 단계의 결과의 S/N을 향상하는데 사용될 수 있다.In another embodiment, the design data is organized into hierarchical cells, and the method further comprises separating defects based on the hierarchical cell in which the defects are located, prior to the comparing step, to improve the S / N in the result of the binning step . The design data may be organized into hierarchical cells as further described herein. The step of separating the defects based on the hierarchical cell can be performed as described above for functional block based separation. The step of separating defects based on the layer cells can be used to improve the S / N of the result of the binning step as described above.

추가적인 실시예에서, 설계 데이터는 설계에 의해 계층 셀(hierarchical cells)로 조직화되고, 결함이 1 이상의 계층 셀 내에 위치될 수 있는 경우, 상기 방법은 계층 셀의 영역, 결함 위치 확률, 또는 이들의 일부 조합에 기초하여 결함이 각각의 계층 셀 내에 위치하는 확률에 기초하여, 결함을 각각의 계층 셀에 상호 연관짓는 단계를 포함한다. 이러한 방식에서, 결함이 다중 셀 내에 위치될 수 있는 경우, 결함은 그 결함이 상이한 셀 내에 위치하는 확률에 기초하여 그 셀에 상호 연관지어지고, 이는 결함 위치 확률의 영역에 기초하여 결정될 수 있다. 그 확률은 당업계에 알려진 임의의 방식으로 결정할 수 있다.In a further embodiment, the design data is organized into hierarchical cells by design, and if a defect can be located in one or more hierarchical cells, the method may include determining a region of the hierarchical cell, a defect location probability, And correlating the defects to the respective layer cells based on the probability that the defects are located in the respective layer cells based on the combination. In this way, if a defect can be located in multiple cells, the defect is correlated to that cell based on the probability that the defect is located in a different cell, which can be determined based on the region of the defect location probability. The probability can be determined in any manner known in the art.

일부 실시예에서, 결함은 검사 프로세스에 의해 검출되며, 그 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 결함이 1 이상의 POI의 위치에서 검출되었어야 하는지를 리뷰 단계의 결과에 기초하여 결정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함하며, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In some embodiments, the defect is detected by an inspection process, the method comprising the steps of: reviewing the location on the wafer where at least one POI in the design data is printed; and determining whether the defect should have been detected at the location of the POI Determining a result based on the result, and modifying the inspection process to improve one or more defect capture rates, which may be performed as further described herein.

상술한 결함을 비닝하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 상술한 결함을 비닝하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each embodiment of the method for binning the above-described defects may comprise any other step of any of the methods described herein. In addition, each embodiment of the method for binning the above-described defects can be performed by any of the system embodiments described herein.

전술한 바와 같이, 결함의 위치에 가까운 설계 데이터의 위치는 라이브러리 또는 다른 데이터 구조로 저장된 상이한 DBC(예컨대, DBC 빈 규정)에 대응하는 설계 데이터(예컨대, POI 설계 예)에 비교될 수 있다. 그러한 라이브러리 또는 데이터 구조를 사용할 수 있는 일 실시예는 웨이퍼 상에서 검출된 결함에 범주를 할당하기 위한 컴퓨터-구현 방법이다. 이러한 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 상이한 DBC에 대응하는 설계 데이터에 비교하는 단계를 포함한다. 설계 데이터의 부분(또는 설계 데이터의 "소스 부분")을 상이한 DBC에 대응하는 설계 데이터(또는 설계 데이터의 "타깃 부분" 또는 "기준 패턴")에 비교하는 단계는 여기에 기술하는 바와 같이 수행될 수 있다. 일부 실시예에서, 상기 방법은 설계 데이터의 부분의 1 이상의 속성을 상이한 DBC에 대응하는 설계 데이터의 1 이상의 속성에 비교하는 단계를 포함한다. 그 부분 내의 설계 데이터의 1 이상의 속성, 및 본 단계에서 비교되는 상이한 DBC에 대응하는 설계 데이터의 1 이상의 속성을 비교하는 단계는 여기에 기술한 임의의 속성을 포함할 수 있다. 또한, 상기 비교 단계에 사용되는 1 이상의 속성은 특징 스페이스 내의 1 이상의 속성을 포함할 수 있다. 또한, 상기 비교 단계는, 소스 및 기준 패턴 사이에 정확한 매치 또는 유사성이 존재하는지를 판정하기 위해, 상기 설계 데이터의 부분을 기준 패턴에 비교하는 단계를 포함할 수 있다. 또한, 상기 비교 단계는, 여기에 여기에서 기술한 임의의 룰 또는 여기에 기술한 비교 단계를 수행하기 위한 임의의 방법에 기초한 룰을 사용하는 단계를 포함할 수 있다. 또한, 상기 비교 단계는 설계 데이터 스페이스 내의 결함의 위치를 설계 데이터 스페이스 내의 핫 스팟의 위치에 비교하는 단계를 포함할 수 있고, 이는 여기에 기술하는 바와 같이 수행할 수 있다.As described above, the location of the design data near the location of the defect may be compared to design data (e.g., a POI design example) corresponding to a different DBC (e.g., DBC bin specification) stored in a library or other data structure. One embodiment that can use such a library or data structure is a computer-implemented method for assigning categories to defects detected on a wafer. The method includes comparing a portion of the design data that is close to the location of the defect in the design data space to design data corresponding to a different DBC. The step of comparing the portion of the design data (or "source portion" of the design data) to the design data (or "target portion" or "reference pattern" of the design data) corresponding to the different DBCs is performed as described herein . In some embodiments, the method includes comparing one or more attributes of a portion of design data to one or more attributes of design data corresponding to different DBCs. The step of comparing one or more attributes of design data in that portion and one or more attributes of design data corresponding to different DBCs being compared in this step may include any of the attributes described herein. In addition, the one or more attributes used in the comparing step may include one or more attributes in the feature space. The comparing step may also include comparing the portion of the design data to a reference pattern to determine whether there is an exact match or similarity between the source and the reference pattern. The comparing step may also include using rules based on any of the rules described herein or any method for performing the comparison steps described herein. The comparing step may also include comparing the location of the defect in the design data space to the location of the hotspot in the design data space, which may be performed as described herein.

상기 부분의 적어도 일부의 치수는 일부 실시예에서 상이하며, 그 치수는 여기에 추가로 기술하는 바와 같이 선택 및/또는 결정될 수 있다. 다른 실시예에서, 그 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 설계 데이터의 그러한 부분은 여기에 추가로 기술하는 바와 같은 방법에서 구성 및 사용될 수 있다. 그 부분 내의 설계 데이터는 여기에 기술한 임의의 다른 설계 데이터를 포함할 수 있다. 예컨대, 결함의 위치에 가까운 설계 데이터는 일 실시예에서 결함이 위치하는 설계 데이터를 포함한다. 이러한 방식에서, 본 방법에 사용된 설계 데이터는 결함의 아래 또는 뒤의 설계 데이터, 또는 결함이 위치할 설계 데이터를 포함할 수 있다. 다른 실시예에서, 설계 데이터의 위치에 가까운 설계 데이터는 결함의 위치 주위의 설계 데이터를 포함한다.The dimensions of at least some of the portions are different in some embodiments, and the dimensions may be selected and / or determined as further described herein. In another embodiment, the design data in that portion includes design data for one or more design layers. Such portions of the design data may be constructed and used in a manner as further described herein. The design data in that portion may include any other design data described herein. For example, the design data near the location of the defect includes design data where the defect is located in one embodiment. In this manner, the design data used in the method may include design data below or behind the defect, or design data where the defect will be located. In another embodiment, the design data near the location of the design data includes design data around the location of the defect.

추가적인 실시예에서, 상기 방법은, 상기 비교 단계 이전에, 여기에 기술하는 바와 같이 수행될 수 있는, 결함의 위치에 가까운 설계 데이터의 부분을 제 1 비트맵으로 변환하는 단계와, 상기 비교 단계 이전에, 여기에 기술하는 바와 같이 수행할 수 있는, DBC에 대응하는 설계 데이터를 제 2 비트맵으로 변환하는 단계를 포함한다. 그러한 일 실시예에서, 상기 비교 단계는 제 1 비트맵과 제 2 비트맵을 비교하는 단계를 포함한다. 그러한 비교 단계는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 결함에 범주를 할당하는 방법의 실시예는 여기에 기술한 임의의 실시예에 따라 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함할 수 있다.In a further embodiment, the method further comprises the steps of: prior to said comparing step, converting part of the design data close to the location of the defect into a first bitmap, which can be performed as described herein, And converting the design data corresponding to the DBC into a second bitmap, which can be performed as described herein. In one such embodiment, the comparing comprises comparing the first bitmap and the second bitmap. Such a comparison step may be performed as further described herein. An embodiment of a method for assigning a category to a defect may include determining the location of a defect in the design data space according to any of the embodiments described herein.

일 실시예에서, DBC는 결함이 위치하거나 결함이 근처에 위치하는 설계 데이터 내의 1 이상의 다각형을 식별한다. 이러한 방식에서, 결함이 위치하는 1 이상의 다각형 또는 결함 근처에 위치하는 1 이상의 다각형은 결함에 할당된 DBC에 의해 식별될 수 있다. 그와 같이, 결함에 의해 영향을 받거나 받을 수 있는 1 이상의 다각형을 결정할 수 있다. 또한, 결함이 위치된 1 이상의 다각형 또는 그 결함 근처에 위치된 1 이상의 다각형이 식별될 수 있고, 이들 다각형에 대한 정보는 설계 데이터 내의 다각형에 대한 결함의 위치를 결정하기 위해 사용할 수 있다. 일부 실시예에서, DBC는 설계 데이터 내의 1 이상의 다각형 내의 결함의 위치를 식별한다. 따라서, 상기 방법은 결함에 할당된 DBC에 기초하여 다각형 내의 결함이 위치하는 개소 또는 그 근처를 결정하는 단계를 포함할 수 있다.In one embodiment, the DBC identifies one or more polygons in the design data where the defect is located or where the defect is located. In this manner, one or more polygons where the defect is located or one or more polygons located near the defect may be identified by the DBC assigned to the defect. As such, one or more polygons that are affected or can be affected by the defect can be determined. Also, one or more polygons where the defect is located or one or more polygons located near the defect can be identified, and the information about these polygons can be used to determine the location of the defect for the polygon in the design data. In some embodiments, the DBC identifies the location of a defect in at least one polygon in the design data. Thus, the method may include determining, based on the DBC assigned to the defect, the location at or near which the defect in the polygon is located.

다른 실시예에서, 상기 방법은 결함의 부분에 가까운 설계 데이터를 결함 주변 영역 내의 설계 데이터와, 결함이 위치하는 영역 내의 설계 데이터로 분리하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함이 위치할 영역으로부터 결함 둘레의 주변을 구별하는 단계를 포함할 수 있다. 그러한 분리는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 또한, 그러한 분리는 여기에 추가로 기술하는 바와 같이 결함에 범주를 할당하기 위한 컴퓨터 구현 방법에서 사용될 수 있다.In another embodiment, the method includes separating design data near the portion of the defect into design data in the defect peripheral region and design data in the region where the defect is located. In this manner, the method may include the step of distinguishing the perimeter of the defect from the area where the defect will be located. Such separation may be performed as further described herein. Such a separation may also be used in a computer implemented method for assigning a category to a defect, as further described herein.

상이한 DBC에 대응하는 설계 데이터 및 그 상이한 DBC는 데이터 구조에 저장된다. 또한, 상이한 DBC에 대응하는 설계 데이터 및 그 상이한 DBC는 전술한 바와 같은 데이터 구조에 저장될 수 있다. 특히, 상이한 DBC에 대응하는 설계 데이터 및 그 상이한 DBC는 데이터 구조 내에 DBC 라이브러리 파일로서 저장될 수 있다. 또한, 일 실시예에서, 데이터 구조는 기술, 프로세스 또는 이들의 일부 조합에 의해 조직화되는 설계 데이터의 예를 포함하는 라이브러리일 수 있다. 이러한 방식에서, 데이터 구조는 결함을 온-툴로 분류하는데 사용될 수 있는 POI 설계 예의 세트를 포함할 수 있고, POI 설계 예는 기술, 프로세스 스텝 또는 임의의 다른 적합한 정보에 의해 조직화될 수 있다. 데이터 구조는 당업계에 알려진 임의의 적합한 데이터 구조를 포함할 수 있고, 여기에 기술한 일 저장 매체 또는 당업계에서 알려진 임의의 다른 적합한 저장 매체와 같은 저장 매체에 저장될 수 있다.The design data corresponding to the different DBCs and the different DBCs are stored in the data structure. Further, the design data corresponding to different DBCs and the different DBCs may be stored in the data structure as described above. In particular, the design data corresponding to different DBCs and the different DBCs can be stored as DBC library files in the data structure. Further, in one embodiment, the data structure may be a library including examples of design data organized by a description, a process, or some combination thereof. In this manner, the data structure may include a set of POI design examples that may be used to classify defects as on-tools, and POI design examples may be organized by techniques, process steps, or any other suitable information. The data structure may comprise any suitable data structure known in the art and may be stored in a storage medium such as the one described herein or any other suitable storage medium known in the art.

상기 방법은 상기 비교 단계의 결과에 기초하여, 부분 내의 설계 데이터가 상이한 DBC에 대응하는 설계 데이터와 적어도 유사한지를 판정하는 단계를 또한 포함한다. 이러한 판정 단계는 여기에 기술한 임의의 실시예에 따라 수행할 수 있다. 일부 실시예에서, 이러한 판정 단계는 부분 내의 설계 데이터가 상이한 DBC에 대응하는 설계 데이터에 적어도 유사한지를 판정하는 단계와, 상기 비교 단계에 기초하여 그 부분 내의 설계 데이터가 상이한 DBC에 대응하는 설계 데이터의 1 이상의 속성과 적어도 유사한지를 판정하는 단계를 포함한다. 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 예컨대, 1 이상의 속성은 결함을 검출하는데 사용된 검사 시스템에 관한 정보(예컨대, 검사 시스템 유형, 결함이 검출되는 시간에 검사 시스템이 작동하는 그 검사 시스템의 1 이상의 매개변수 등) 및/또는 결함에 대한 속성(예컨대, 사이즈, 러프(rough) 빈, 극성 등)를 포함할 수 있다.The method also includes determining whether the design data in the portion is at least similar to the design data corresponding to the different DBC, based on the result of the comparing step. This determination step may be performed according to any of the embodiments described herein. In some embodiments, such determination may include determining that the design data in the portion is at least similar to design data corresponding to a different DBC, and determining whether the design data in that portion is based on design data corresponding to a different DBC And determining whether the attribute is at least similar to the one or more attributes. One or more attributes may include any of the attributes described herein. For example, one or more attributes may include information about the inspection system used to detect the defect (e.g., type of inspection system, one or more parameters of the inspection system on which the inspection system operates at the time the defect is detected, etc.) and / (E.g., size, rough bin, polarity, etc.).

또한, 상기 방법은 부분 내의 설계 데이터에 적어도 유사한 설계 데이터에 대응하는 DBC를 결함에 할당하는 단계를 포함한다. 그 할당 단계는 임의의 적합한 방식으로 수행할 수 있다. 일부 실시예에서, 상기 할당 단계는, 부분 내의 설계 데이터에 적어도 유사하며 그 부분 내의 설계 데이터의 1 이상의 속성에 적어도 유사한 1 이상의 속성을 갖는 설계 데이터에 대응하는 DBC를 결함에 할당하는 단계를 포함한다. 일 실시예에서, 1 이상의 속성은 결함이 검출된 검사 결과의 1 이상의 속성과, 검사의 1 이상의 속성과, 이들의 일부 조합을 포함할 수 있다. 1 이상의 속성은 여기에 기술한 임의의 다른 속성을 또한(또는 대안적으로) 포함할 수 있다.The method also includes assigning a DBC corresponding to at least similar design data to a defect in the design data in the portion. The allocation step may be performed in any suitable manner. In some embodiments, the assigning step includes assigning a DBC corresponding to design data that is at least similar to design data in the portion and having at least one attribute that is at least similar to at least one attribute of the design data in the portion, to the defect . In one embodiment, the one or more attributes may include one or more attributes of the inspection results for which a defect was detected, one or more attributes of the inspection, and some combination thereof. One or more attributes may also (or alternatively) include any other attributes described herein.

상기 방법은 그 할당 단계의 결과를 저장 매체에 저장하는 단계를 더 포함한다. 그 결과는 임의의 적합한 방식 또는 여기에 기술한 바와 같이 저장 매체에 저장될 수 있다. 저장 매체는 여기에 기술한 임의의 저장 매체 또는 당업계에 알려진 임의의 다른 적합한 저장 매체를 포함할 수 있다.The method further comprises storing the result of the allocating step on a storage medium. The results can be stored in any suitable manner or storage medium as described herein. The storage medium may comprise any of the storage media described herein or any other suitable storage medium known in the art.

전술한 컴퓨터-구현 방법은 일 실시예에서 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 이러한 방식에서, 여기에 기술하는 바와 같이 결함에 범주를 할당하는 단계는 온-툴로 수행될 수 있다. 다른 실시예에서, 컴퓨터-구현 방법은 결함을 검출하는데 사용된 검사 시스템 이외의 다른 시스템에 의해 수행된다. 이러한 방식에서, 여기에 기술하는 바와 같이 결함에 범주를 할당하는 단계는 오프-툴로 수행할 수 있다.The computer-implemented method described above is performed by an inspection system used to detect defects in one embodiment. In this manner, assigning categories to defects as described herein may be performed on-the-fly. In another embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect defects. In this manner, the step of assigning categories to defects as described herein can be performed with an off-tool.

일 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터의 부분 내의 다각형에 대한 각 그룹 내의 결함의 위치가 적어도 유사하도록, 1 이상의 DBC가 할당된 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 DBC 및 부분 내의 결함의 위치에 기초하여 결함을 그룹으로 분리하는 단계를 포함할 수 있다. 다각형에 대한 결함의 위치는 여기에 기술하는 바와 같이 결정될 수 있다. 또한, 그러한 비닝은 여기에 기술하는 바와 같이 추가로 수행될 수 있다.In one embodiment, the method includes binning the defects assigned to one or more DBCs into groups such that the locations of defects in each group for the polygons in the portion of the design data near the location of the defect are at least similar. In this manner, the method may include separating the defects into groups based on the location of the defects in the DBCs and portions. The location of the defect for the polygon can be determined as described herein. Further, such binning may be performed additionally as described herein.

일부 실시예에서, 상기 방법은 상기 할당 단계에 기초하여 설계 데이터 내의 핫 스팟을 모니터링하는 단계를 포함한다. 예컨대, DBC 또는 상이한 DBC에 대응하는 설계 데이터는 설계 데이터 내의 핫 스팟과 관련될 수 있다. 핫 스팟은 여기에 기술한 바와 같이 설계 데이터 내에서 식별될 수 있다. 전술한 바와 같이 설계 데이터 내의 핫 스팟을 모니터링하는 단계는 핫 스팟과 관련된 DBC 또는 상이한 DBC에 대응하고 핫 스팟과 관련된 설계 데이터에 할당된 결함의 수가 시간에 걸쳐 변하는지를 판정하는 단계를 포함할 수 있다. 또한, 할당 단계의 결과에 기초하여 설계 데이터 내의 핫 스팟을 모니터링하는 단계는, 상이한 DBC가 할당된 결함의 1 이상의 속성과 같이 여기에 기술한 임의의 다른 데이터와 조합하여, 상기 할당 단계의 결과에 기초하여 수행될 수 있다. 또한, 상기 방법은 위치(예컨대, 가까운 위치)에 기초하여 핫 스팟을 모니터링하는 단계를 포함할 수 있다. 다른 실시예에서, 상기 방법은 DBC에 대응하는 설계 데이터에 기초하여 핫 스팟을 비닝하는 단계를 포함할 수 있다. 핫 스팟의 그러한 비닝은 여기에 기술한 바와 같이 수행될 수 있다. 핫 스팟을 비닝하는 단계는, 핫 스팟의 위치를 포함하고 어느 핫 스팟이 적어도 유사한지를 지시하는 핫 스팟의 1 이상의 데이터 구조(예컨대, 리스트, 데이터베이스, 파일 등)를 생성하는 단계를 포함할 수 있다. 핫 스팟의 그러한 비닝 단계는 온-툴로 수행될 수 있다.In some embodiments, the method includes monitoring hot spots in the design data based on the assigning step. For example, design data corresponding to a DBC or a different DBC may be associated with a hot spot in the design data. The hotspots can be identified in the design data as described herein. The step of monitoring hot spots in the design data as described above may include determining whether the number of defects assigned to the design data associated with the hot spot and corresponding to the DBC or different DBC associated with the hot spot varies over time . The step of monitoring hot spots in the design data based on the results of the allocating step may also include the step of comparing the results of the allocating step with the results of the allocating step in combination with any other data described herein, . ≪ / RTI > The method may also include monitoring a hot spot based on a location (e.g., a nearby location). In another embodiment, the method may include binning a hot spot based on design data corresponding to the DBC. Such binning of the hot spot may be performed as described herein. The step of binning the hotspot may include generating one or more data structures (e.g., a list, database, file, etc.) of the hotspot that include the location of the hotspot and indicate which hotspots are at least similar . Such a binning step of a hot spot can be performed with an on-tool.

다른 실시예에서, 상기 방법은 할당 단계의 결과를 사용하여 체계적 결함, 잠재적인 체계적 결함, 또는 이들의 일부 조합을 시간에 걸쳐 모니터링하는 단계를 포함한다. 예컨대, 할당 단계의 결과는 설계 데이터 내의 체계적 이슈를 식별하기 위해 사용될 수 있고, 그 식별된 체계적 이슈는 웨이퍼에 걸쳐 및/또는 시간에 걸쳐 모니터링될 수 있다. 체계적 이슈는 여기에 추가로 기술하는 바와 같은 할당 단계의 결과에 기초하여 결정될 수 있다. 또한, 체계적 결함, 잠재적인 체계적 결함, 또는 이들의 일부 조합은 여기에 기술하는 바와 같이 추가로 수행될 수 있다.In another embodiment, the method includes monitoring over time systematic defects, potential systematic defects, or some combination thereof, using the results of the allocating step. For example, the results of the assignment step can be used to identify systematic issues in the design data, and the identified systematic issues can be monitored across the wafer and / or over time. The systematic issue can be determined based on the results of the allocation step as further described herein. In addition, systematic defects, potential systemic defects, or some combination thereof may be performed additionally as described herein.

일 실시예에서, 상이한 DBC에 대응하는 설계 데이터는 설계 데이터 스페이스 내의 1 이상의 다른 웨이퍼에서 검출된 결함의 위치에 가까운 설계 데이터의 부분에 기초하여 1 이상의 다른 웨이퍼 상에서 검출된 결함을 그룹화함으로써 식별된다. 결함의 그러한 그룹화는 여기에 기술하는 바와 같이 수행될 수 있다. 그룹화의 결과는 상이한 DBC에 대응하는 설계 데이터를 식별하는데 사용될 수 있다. 예컨대, 결함의 각 그룹에 대응하는 설계 데이터는 상이한 DBC에 대응하는 설계 데이터로서 식별될 수 있다. 또한, 설계 데이터에 대응하는 상이한 DBC는 여기에 기술하는 바와 같이 수행될 수 있는 그룹으로의 결함의 분류, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 여기에 기술한 임의의 다른 정보, 또는 이들의 일부 조합에 의해 결정될 수 있다.In one embodiment, design data corresponding to different DBCs is identified by grouping defects detected on one or more other wafers based on a portion of the design data that is close to the location of the defects detected on one or more other wafers in the design data space. Such grouping of defects can be performed as described herein. The results of the grouping can be used to identify design data corresponding to different DBCs. For example, design data corresponding to each group of defects may be identified as design data corresponding to different DBCs. Further, different DBCs corresponding to the design data may be classified into a group of defects that can be performed as described herein, one or more attributes of the design data, one or more attributes of the defects, any other information described herein, And may be determined by some combination of these.

다른 실시예에서, 상기 방법은 결함에 할당된 DBC에 기초하여 그 결함이 뉴슨스 결함인지를 판정하는 단계와, 검사 프로세스 결과의 S/N을 증가시키기 위해 결함이 검출된 검사 프로세스의 결과로부터 뉴슨스 결함을 제거하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 뉴슨스 필터링을 포함할 수 있다. 뉴슨스 결함으로서 판정된 결함은 뉴슨스 DBC(예컨대, LES의 DBC)가 할당된 결함, DBC가 할당되지 않은 결함, 또는 결함이 수율 관련적 결함이 아니거나 결함이 관심없는 결함임을 지시하는 DBC가 할당된 결함일 수 있다. 검사 결과의 S/N을 증가시키기는 것은, 특히 그 검사 결과가 1 이상의 다른 단계를 수행하는데 사용되어서 그 다른 단계 결과의 S/N을 증가시키는 경우 특히 이롭다.In another embodiment, the method further comprises determining whether the defect is a Newson defect based on the DBC assigned to the defect, determining whether the defect is a Newson defect from the result of the inspection process in which the defect is detected to increase the S / And removing the defect. In this manner, the method may include Newson's filtering. A defect determined as a Newson's defect is a defect assigned to a Newson's DBC (e.g. DBC of LES), a defect not assigned DBC, or a DBC indicating that the defect is not a yield related defect or that the defect is an uninteresting defect It can be an allocated fault. Increasing the S / N of the test results is particularly advantageous, especially if the test results are used to perform one or more other steps to increase the S / N of the other step results.

일부 실시예에서, 상기 방법은 패턴 의존적 결함을 지시하는 설계 데이터 내의 1 이상의 특징을 식별함으로써 설계 데이터 내의 1 이상의 POI를 판정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 설계 데이터 내의 POI를 식별하는 단계를 포함할 수 있다. 패턴 의존적 결함을 지시하는 설게 데이터 내의 1 이상의 특징은 실험 결과, 시뮬레이션 결과, 비닝 결과, 여기에 기술한 다른 결과, 또는 이들의 일부 조합에 기초하여 결정된다. 그러한 결과는 여기에 기술하는 바와 같이 생성될 수 있다. 1 이상의 POI는 설계 데이터의 임의의 패턴 검색을 수행하기 위해, 식별된 특징을 사용하여 판정될 수 있다. 식별된 특징에 적어도 유사한, 임의의 패턴 검색에 의해 판정된 설계 데이터 내의 패턴은 POI로서 식별될 수 있다. 1 이상의 POI는 1 이상의 패턴 의존적 결함에 대해 이러한 방식으로 판정될 수 있다.In some embodiments, the method includes determining one or more POIs in the design data by identifying one or more features in design data that indicate a pattern-dependent defect. In this manner, the method may include identifying the POI in the design data. One or more features in the design data indicative of the pattern-dependent defect are determined based on experimental results, simulation results, binning results, other results described herein, or some combination thereof. Such results may be generated as described herein. One or more POIs may be determined using the identified features to perform any pattern search of the design data. A pattern in the design data determined by any pattern search, which is at least similar to the identified feature, can be identified as a POI. One or more POIs may be determined in this manner for one or more pattern dependent defects.

여기에 기술한 방식에서 DBC가 할당된 결함은 검사 프로세스 내에서 검출된다. 일 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 그 리뷰 단계의 결과에 기초하여, 결함이 1 이상의 POI의 위치에서 검출되었어야 하는지를 판정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다. 본 실시예의 각각의 단계는 여기에 기술하는 바와 같이 수행될 수 있다.In the method described here, defects assigned DBC are detected in the inspection process. In one embodiment, the method includes the steps of reviewing a location on the wafer on which one or more POIs in the design data are printed, and determining whether a defect should have been detected at one or more locations of the POI based on the result of the review step And modifying the inspection process to improve one or more defect capture rates. Each step of this embodiment can be performed as described herein.

다른 실시예에서, 상기 방법은 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 DBC에 대한 KP 값을 결정하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 1 이상의 결함에 할당된 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 이들 단계의 각각은 여기에 기술하는 바와 같이 수행할 수 있다. 일부 실시예에서, 상기 방법은 1 이상의 DBC에 대한 KP 값을 모니터링하는 단계와, 그 결함에 할당된 DBC에 대한 KP 값을 그 결함에 할당하는 단계를 포함한다. 1 이상의 DBC에 대한 KP 값은 여기에 기술하는 바와 같이 모니터링될 수 있다. 이러한 방식에서, 1 이상의 DBC에 대한 KP 값은 시간에 걸쳐 수정될 수 있고, 및/또는 결함이 검출된 시간에서, 결함에 할당된 DBC에 대한 KP 값은 비교적 높은 정확도로 결함에 할당될 수 있다. 결함에 할당된 DBC에 기초하여 KP 값을 결함에 할당하는 단계는 여기에 기술하는 바와 같이 추가로 수행할 수 있다.In another embodiment, the method includes determining a KP value for one or more defects. In a further embodiment, the method includes determining a KP value for one or more DBCs based on one or more attributes of the design data corresponding to the DBC. In a further embodiment, the method includes determining a KP value for one or more defects based on at least one attribute of the design data corresponding to the DBC assigned to the one or more defects. Each of these steps may be performed as described herein. In some embodiments, the method includes monitoring a KP value for one or more DBCs and assigning a KP value for the DBC assigned to the defect to the defect. The KP values for one or more DBCs can be monitored as described herein. In this manner, the KP value for one or more DBCs can be modified over time, and / or at the time the defect is detected, the KP value for the DBC assigned to the defect can be assigned to the defect with relatively high accuracy . The step of assigning the KP value to the defect based on the DBC assigned to the defect may be further performed as described herein.

일부 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 리뷰를 위한 적어도 일부의 결함을 선택하는 단계를 포함한다. 예컨대, 할당 단계의 결과는 어느 결함이 여기에 기술한 바와 같이 가장 중요한지를 결정하기 위해 사용될 수 있고(예컨대, 결함에 할당된 DBC의 1 이상의 속성에 기초하여), 가장 중요한 결함이 리뷰를 위해 선택될 수 있다.In some embodiments, the method includes selecting at least some of the defects for review based on the results of the allocating step. For example, the result of the assignment step can be used to determine which defects are most important as described herein (e.g., based on one or more attributes of the DBC assigned to the defects) and the most important defects are selected for review .

다른 예에서, 그 할당 결과는 여기에 기술하는 바와 같이 어느 결함이 체계적 결함인지를 결정하는데 사용될 수 있다. 이러한 방식에서, 상기 방법은 DOI가 발생할 경향이 있는 설계 데이터 내의 영역으로부터의 리뷰 샘플링을 포함할 수 있다.In another example, the result of the allocation can be used to determine which defect is a systematic defect, as described herein. In this way, the method may include review sampling from areas in the design data where DOIs are likely to occur.

일 실시예에서, 상기 방법은 결함에 할당된 DBC가 리뷰 시스템에 가시적인 체계적 결함에 대응하는지를 판정하는 단계와, 리뷰 시스템에 가시적인 결함만을 리뷰를 위해 선택하여 리뷰를 위한 결함을 샘플링하는 단계를 포함한다. 리뷰 시스템에 가시적이거나 가시적이지 않은 체계적 결함에 대응하는 DBC는 당업계에 알려진 임의의 방식으로 결정할 수 있다. 리뷰 시스템에 가시적인 체계적 결함에 대응하는 DBC는 상기 방법 이전에 결정될 수 있고, DBC에는 그 DBC가 가시적이거나 가시적이지 않은 결함에 대응하는지를 지시하는 일부 아이덴티티가 할당될 수 있다. 이러한 방식에서, 결함은 이러한 아이덴티티에 기초하여 리뷰를 위해 선택될 수 있다. 리뷰 시스템에 가시적인 결함만을 선택하는 단계는, SEM과 같은 리뷰 시스템에 가시적이지 않은 결함이 리뷰를 위해 선택되지 않도록 수행될 수 있다. 리뷰 동안에 결함의 재-위치화가 비교적 어렵고, 특히 리뷰 시스템이 그 리뷰 시스템에 실제 비가시적인 결함을 찾는데 많은 시간을 소비하는 경우 비교적 시간 소모적일 수 있기 때문에, 그러한 방식에서의 결함 선택이 특히 이롭다. 리뷰를 위한 결함 선택 결과는 웨이퍼 상의 리뷰를 위한 선택 결함의 위치와, 여기에 기술한 방법의 임의의 단계의 다른 결과를 포함할 수 있다.In one embodiment, the method further comprises the steps of determining whether the DBC assigned to the defect corresponds to a systematic defect visible to the review system, and selecting only the defect that is visible to the review system for review and sampling the defect for review . The DBC corresponding to systematic defects that are not visible or visible to the review system can be determined in any manner known in the art. A DBC corresponding to systematic defects visible to the review system may be determined prior to the method and the DBC may be assigned some identity indicating whether the DBC corresponds to a defect that is not visible or visible. In this way, defects can be selected for review based on this identity. Selecting only the defects that are visible to the review system may be performed so that defects that are not visible to the review system, such as SEM, are not selected for review. Defect selection in such a manner is particularly advantageous, since re-localization of defects during review is relatively difficult and can be relatively time consuming, especially if the review system spends a lot of time looking for actual invisible defects in the review system. The defect selection result for review may include the location of the selection defect for review on the wafer and other results of any of the steps described herein.

상기 방법은 할당 단계의 결과에 기초하여 프로세스, 측정 또는 테스트를 채택하는 단계를 포함할 수 있다. 예컨대, 다른 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 리뷰를 위한 결함을 샘플링하는 프로세스를 생성하는 단계를 포함한다. 따라서, 리뷰를 위한 결함의 선택 대신에 또는 그것에 부가하여, 상기 방법은 리뷰를 위한 결함을 샘플링하기 위하여 그 방법, 다른 방법, 그 방법을 수행하도록 구성된 시스템, 또는 다른 시스템에 의해 사용될 수 있는 프로세스를 생성하는 단계를 포함할 수 있다. 그러한 프로세스는 리뷰를 위해 복수의 웨이퍼 상에서 검출된 결함의 샘플링 및/또는 복수의 리뷰 시스템에 의해 수행되는 리뷰를 위한 결함의 샘플링에 사용할 수 있다. 샘플링을 위한 프로세스는 동일한 DBC가 할당된 비교적 큰 수의 결함이 동일한 DBC가 할당된 비교적 작은 수의 결함보다 더 중점적으로 샘플링될 수 있도록, 할당 단계의 결과에 기초하여 생성될 수 있다. 리뷰를 위한 결함을 샘플링을 위한 프로세스는 결함에 대한 DCI, 결함에 대한 KP 값 등과 같이 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과와 조합하여 상기 할당 단계의 결과에 기초하여 생성할 수 있다.The method may include employing a process, measurement or test based on the results of the assigning step. For example, in another embodiment, the method includes generating a process for sampling a defect for review based on a result of the assigning step. Thus, instead of or in addition to a choice of defects for review, the method can be used to sample a defect for review, a method configured otherwise, a system configured to perform the method, or a process that can be used by another system And a step of generating the data. Such a process can be used for sampling of defects detected on a plurality of wafers for review and / or for defects for review performed by a plurality of review systems for review. The process for sampling may be generated based on the result of the allocation step such that a relatively large number of defects assigned the same DBC can be sampled more focusfully than a relatively small number of defects allocated the same DBC. The process for sampling the defect for review is generated based on the result of the assignment step in combination with DCI for the defect, KP value for the defect, and any other result of any of the steps described herein can do.

추가적인 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 웨이퍼 검사용 프로세스를 변경하는 단계를 포함한다. 웨이퍼 검사용 프로세스의 임의의 매개변수는 본 실시예에서 변경될 수 있다. 예컨대, 할당 단계의 결과에 기초하여 변경될 수 있는 웨이퍼 검사용 프로세스의 1 이상의 매개변수는 주의 영역(또는 대안적으로는 비-주의 영역), 감도, 인-라인 비닝 프로세스, 검사 영역, 어느 웨이퍼가 검사될 것인지, 또는 이들의 일부 조합을 비한정적으로 포함할 수 있다. 일 특정 예에서, 할당 단계의 결과는 상이한 DBC가 할당된 결함의 수를 지시할 수 있고, 주의 영역은, 비교적 많은 수의 결함이 할당되는 DBC에 대응하는 설계 데이터를 또한 포함하는 설계 데이터 스페이스 내의 추가적인 위치에 대응하는 웨이퍼 상의 위치를 포함하도록 변경될 수 있다. 다른 예에서, 웨이퍼 검사용 프로세스는 할당 단계의 결과에 기초하여 더 또는 상이하게 검사하기 위하여 변경될 수 있다. 웨이퍼 검사용 프로세스는 여기에 기술한 방법의 임의의 단계의 임의의 결과에 기초하여 변경될 수 있다.In a further embodiment, the method includes modifying the process for wafer inspection based on the result of the allocating step. Any parameters of the process for wafer inspection can be changed in this embodiment. For example, one or more parameters of the process for wafer inspection that can be changed based on the results of the allocation step may include one or more of the following: one or more of the following: a region of interest (or alternatively, a non-region of interest) Will be examined, or some combination thereof. In one particular example, the result of the assigning step may indicate the number of defects for which different DBCs are assigned, and the area of interest may be within a design data space that also includes design data corresponding to the DBC to which a relatively large number of defects are allocated May be changed to include a location on the wafer corresponding to the additional location. In another example, the process for wafer inspection may be modified to further or differently inspect based on the results of the assigning step. The process for wafer inspection may be modified based on any result of any of the steps described herein.

일부 실시예에서, 상기 방법은 그 검사 결과에 기초하여 검사 동안 웨이퍼의 검사용 프로세스를 변경하는 단계를 포함한다. 본 실시예에서 검사용 프로세스를 변경하는 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있다.In some embodiments, the method includes modifying a process for inspection of a wafer during an inspection based on the inspection results. The step of changing the inspection process in this embodiment can be performed as described further herein.

추가의 실시예에서, 상기 방법은 상기 할당 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 변경하는 단계를 포함한다. 예컨대, 계측 프로세스는 할당 단계의 결과로부터 결정되는 바와 같은 가장 중요한 결함이 계측 프로세스 동안에 측정되도록 변경될 수 있다. 따라서, 계측 프로세스를 변경하는 단계는 계측 프로세스 동안에 측정이 수행되는 웨이퍼 상의 위치를 변경하는 단계를 포함할 수 있다. 또한, 측정에 대해 선택된 BF 이미지 및/또는 SEM 이미지와 같은 검사 및/또는 리뷰의 결과는 계측 프로세스에 공급되어, 그 결과는 측정이 수행될 개소를 결정하는데 사용될 수 있다. 예컨대, 계측 프로세스는 웨이퍼 상의 결함의 가까운 위치의 이미지를 생성하는 단계를 포함할 수 있고, 필요한 경우, 계측이 정확한 웨이퍼 위치에서 따라서 정확한 결함에 대하여 수행되도록, 계측 시스템이 웨이퍼의 위치를 보정할 수 있도록, 그러한 이미지는 결함에 대한 검사 및/또는 리뷰의 결과에 비교될 수 있다. 이러한 방식에서, 상기 측정은 웨이퍼 상의 실질적으로 정확한 위치에서 수행될 수 있다. 계측 프로세스를 변경하는 단계는 수행된 측정의 유형, 측정이 수행되는 파장, 측정이 수행되는 각도 등, 또는 이들의 일부 조합과 같은 계측 프로세스의 임의의 다른 1 이상의 매개변수를 변경하는 단계를 또한 포함할 수 있다. 계측 프로세스는 CD 측정 계측 프로세스와 같이 당업계에 알려진 임의의 적합한 계측 프로세스를 포함할 수 있다.In a further embodiment, the method includes modifying the metrology process for the wafer based on the result of the assigning step. For example, the metrology process may be modified such that the most critical defects, as determined from the results of the assignment step, are measured during the metrology process. Thus, modifying the metrology process may include changing the position on the wafer where measurements are performed during the metrology process. In addition, the results of the inspection and / or review, such as the BF image and / or the SEM image selected for the measurement, are supplied to the metering process and the result can be used to determine where the measurement is to be performed. For example, the metrology process can include generating an image of the near position of the defect on the wafer, and if necessary, the metrology system can calibrate the position of the wafer so that metrology can be performed on the correct defect along with the correct wafer location , Such an image may be compared to the results of the inspection and / or review of the defect. In this way, the measurement can be performed at a substantially precise location on the wafer. Modifying the metrology process also includes changing any one or more other parameters of the metrology process, such as the type of measurement being performed, the wavelength at which the measurement is performed, the angle at which the measurement is performed, etc., or some combination thereof can do. The metrology process may include any suitable metrology process known in the art, such as a CD metrology process.

일부 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 계측 프로세스에 대한 샘플링 플랜을 변경하는 단계를 포함한다. 따라서, 상기 방법은 적응형 샘플링을 포함할 수 있다. 예컨대, 계측 프로세스에 대한 샘플링 플랜은 비닝 스텝의 결과로부터 결정된 바와 같은 가장 중요한 결함의 많은 수가 계측 프로세스 동안에 측정되도록 변경될 수 있다. 이러한 방식에서, 가장 중요한 결함은 계측 프로세스 동안에 더욱 중점적으로 샘플링될 수 있고, 이에 의해 가장 중요한 결함에 대한 다량의 정보를 이롭게 생성할 수 있다. 계측 프로세스는 당업계에 알려진 임의의 계측 프로세스를 포함할 수 있다. 또한, 계측 프로세스는 SEM과 같이 당업계에서 알려진 임의의 적합한 계측 시스템에 의해 수행할 수 있다. 또한, 계측 프로세스는 프로파일, 두께, CD 등과 같이 웨이퍼 상에 형성된 특징 또는 결함의 임의의 적합한 속성의 당업계에 알려진 임의의 적합한 측정을 수행하는 단계를 포함할 수 있다.In some embodiments, the method includes modifying a sampling plan for a metrology process based on a result of the assigning step. Thus, the method may include adaptive sampling. For example, the sampling plan for the metrology process can be varied such that a large number of the most significant defects as determined from the results of the binning step are measured during the metrology process. In this manner, the most critical defects can be sampled more intensively during the metrology process, thereby beneficially generating a large amount of information about the most critical defects. The metrology process may include any metrology process known in the art. In addition, the metrology process can be performed by any suitable metrology system known in the art, such as SEM. The metrology process may also include performing any suitable measurements known in the art for any suitable properties of features or defects formed on the wafer, such as profile, thickness, CD, and the like.

다른 실시예에서, 상기 방법은 1 이상의 DBC(예컨대, 결함에 할당된 DBC)를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여 설계 데이터가 인쇄될 웨이퍼에 대하여 수행될 1 이상의 프로세스를 최적화하는 단계를 포함한다. 그러한 일 실시예에서, DBC는 그 DBC가 할당된 결함의 수에 기초하여 우선순위화될 수 있다. DBC가 할당된 결함의 수는 할당 단계의 결과로부터 결정할 수 있다. 그러한 일 예에서, 최대 수의 결함에 할당된 DBC는 가장 높은 우선순위가 할당될 수 있고, 다음으로 최대인 수의 결함에 할당된 DBC에는 다음으로 높은 우선순위가 할당될 수 있다.In another embodiment, the method includes prioritizing one or more DBCs (e.g., DBCs assigned to defects), and determining, based on the results of the prioritizing step, one or more And optimizing the process. In such an embodiment, the DBC may be prioritized based on the number of defects to which the DBC is assigned. The number of DBC allocated faults can be determined from the result of the allocation step. In such an example, the DBCs allocated to the maximum number of faults may be assigned the highest priority, and the DBCs allocated to the next maximum number of faults may be assigned the next highest priority.

또한(또는 대안적으로), DBC는 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과와, 여기에 기술한 임의의 방법의 임의의 단계 결과의 임의 조합에 기초하여 우선순위화될 수 있다. 예컨대, DBC 우선순위화는 DBC가 할당되는 1 이상의 결함에 대한 DCI를 결정하는 단계와, 1 이상의 결함에 대한 DCI에 기초하여 DBC를 우선순위화하는 단계를 포함할 수 있다. DCI는 본 실시예에서 여기에 추가로 기술하는 바와 같이 결정될 수 있다. 다른 예에서, DBC를 우선순위화하는 단계는, DBC가 할당된 1 이상의 결함에 대한 KP 값을 결정하는 단계와, 1 이상의 결함에 대한 KP 값에 기초하여 DBC를 우선순위화하는 단계를 포함할 수 있다. 또 다른 예에서, DBC는 그 DBC가 할당된 결함의 수와, DBC가 할당된 1 이상의 결함에 대한 DCI의 조합에 기초하여 우선순위화될 수 있다. 이러한 방식에서, DBC를 우선순위화하는 단계는, 가장 높은 결함도에 대응하는 DBC에 가장 높은 우선순위가 할당되도록, DBC에 대응하는 설계 데이터 내에서 검출된 결함도에 기초하여 DBC를 우선순위화하는 단계를 포함할 수 있다.(Or alternatively), the DBC may be prioritized based on any other result of any of the steps of any of the methods described herein, and any step result of any of the methods described herein . For example, DBC prioritization may include determining a DCI for one or more defects to which the DBC is assigned, and prioritizing the DBC based on the DCI for the one or more defects. The DCI may be determined as described further herein in this embodiment. In another example, prioritizing the DBC includes determining a KP value for one or more defects to which the DBC is assigned, and prioritizing the DBC based on the KP value for the one or more defects . In yet another example, the DBC may be prioritized based on the number of defects that the DBC is assigned and the combination of the DCI for one or more defects to which the DBC is assigned. In this manner, the step of prioritizing the DBC prioritizes the DBC based on the degree of defect detected in the design data corresponding to the DBC so that the highest priority is assigned to the DBC corresponding to the highest degree of defectiveness .

또한, DBC는 가능하게는 여기에 기술한 다른 결과와 조합하여, 그 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 우선순위화될 수 있다. 설계 데이터의 1 이상의 속성은 예컨대, 설계 데이터 내의 특징의 치수, 설계 데이터 내의 특징의 밀도, 설계 데이터에 포함되는 특징의 유형, 설계 내의 DBC에 대응하는 설계 데이터의 위치, 결함에 대한 설계 데이터의 수율 영향의 민감성 등, 또는 이들의 일부 조합을 포함할 수 있다. 그러한 일 예에서, 결함에 의한 수율 영향에 더욱 민감한 설계 데이터에 대응하는 DBC에는 수율에 대한 결함의 영향이 덜 민감한 설계 데이터에 대응하는 DBC보다 더 높은 우선순위가 할당될 수 있다.In addition, the DBC may be prioritized based on one or more attributes of the design data corresponding to the DBC, possibly in combination with other results described herein. The one or more attributes of the design data may include, for example, the dimensions of the features in the design data, the density of the features in the design data, the types of features included in the design data, the location of the design data corresponding to the DBC in the design, The sensitivity of the effect, etc., or some combination thereof. In such an example, the DBC corresponding to the design data more sensitive to the yield impact due to defects may be assigned a higher priority than the DBC corresponding to less sensitive design data of the impact of defects on the yield.

또한, DBC는 가능하게는 그 DBC에 대응하는 설계 데이터의 1 이상의 속성 및/또는 여기에 기술한 다른 결과와 조합하여, 설계의 1 이상의 속성에 기초하여 우선순위화될 수 있다. 설계의 1 이상의 속성은 예컨대, 용장도, 네트 리스트 등, 또는 이들의 일부 조합을 포함할 수 있다. 특히, 설계 데이터 내의 POI는 POI 내에 포함된 패턴 너머의 콘텍스트를 가질 수 있다. 그러한 콘텍스트는 예컨대, POI를 포함하는 셀의 라벨, POI를 포함하는 셀 위의 셀의 계층, POI에 대한 체계적 결함의 용장도의 영향 등을 포함할 수 있다. 따라서, 여기에 기술한 실시예에 사용된 1 이상의 속성은 DBC에 대응하는 설계 데이터가 위치하는 POI의 콘텍스트를 포함할 수 있고, 이는 설계 데이터 스페이스 내의 DBC에 대응하는 설계 데이터의 위치 및/또는 DBC에 대응하는 설계 데이터에 기초하여 결정될 수 있다(DBC에 대응하는 설계 데이터가 설계 데이터 내의 셀에 특정한 경우). 그러한 일 예에서, 용장도를 갖지 않아서 체계적 결함이 현저한 수율 영향을 가질 수 있는 설계 데이터에 대응하는 DBC보다, 용장도를 가져서 체계적 결함이 설계 내의 수율 영향을 갖지 않을 수 있는 설계 데이터에 대응하는 DBC에 더 낮은 우선순위가 할당될 수 있다. 셀의 그러한 콘텍스트는 당업계에 알려진 임의의 방식으로 취득 및/또는 결정될 수 있다.In addition, the DBC may be prioritized based on one or more attributes of the design, possibly in combination with one or more attributes of the design data corresponding to the DBC and / or other results described herein. One or more attributes of the design may include, for example, redundancy, netlist, etc., or some combination thereof. In particular, the POI in the design data may have a context beyond the pattern contained within the POI. Such context may include, for example, the label of the cell containing the POI, the hierarchy of cells on the cell containing the POI, the effect of redundancy of systematic defects on the POI, and the like. Thus, the one or more attributes used in the embodiments described herein may include the context of the POI in which the design data corresponding to the DBC is located, which may include the location of the design data corresponding to the DBC in the design data space and / (When the design data corresponding to the DBC is specific to the cell in the design data). In such an example, a DBC corresponding to design data that has redundancy and systematic defects may not have a yield effect in the design, rather than a DBC corresponding to design data that does not have redundancy and can have a significant yield effect, May be assigned a lower priority. Such context of the cell may be acquired and / or determined in any manner known in the art.

본 실시예에서의 1 이상의 프로세스를 최적화하는 단계는 초점, 조사량, 노광 툴, 레지스트, PEB 시간, PEB 온도, 에치 시간, 에치 가스 조성, 에치 툴, 퇴적 툴, 퇴적 시간, CMP 툴, CMP 프로세스의 1 이상의 매개변수 등과 같은 1 이상 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 바람직하게는, 프로세스의 매개변수는 DBC에 대응하는 설계 데이터의 결함도(예컨대, DBC에 대응하는 설계 데이터 내에서 검출된 결함의 수)를 저하시키기 위해, DBC에 대응하는 설계 데이터 내에서 선택된 결함의 1 이상의 속성(예컨대, DCI, KP 등)를 변경하기 위해서, 및/또는 DBC에 대응하는 설계 데이터가 포함되는 장치의 수율을 증가시키기 위해 변경된다.The optimization of the one or more processes in this embodiment may be performed in any suitable manner, including but not limited to focus, exposure dose, exposure tool, resist, PEB time, PEB temperature, etch time, etch gas composition, etch tool, deposition tool, deposition time, CMP tool, One or more parameters of one or more processes, such as one or more parameters, and so on. Preferably, the parameters of the process are selected in the design data corresponding to the DBC, in order to reduce the degree of defect of the design data corresponding to the DBC (for example, the number of defects detected in the design data corresponding to the DBC) To change the one or more attributes (e.g., DCI, KP, etc.) of the DBC, and / or to increase the yield of the device containing the design data corresponding to the DBC.

또한, 1 이상의 프로세스의 1 이상의 매개변수는 우선순위화 단계에 의해 결정되는 바와 같이 최고 우선순위를 갖는 DBC 또는 우선순위화 단계에 의해 결정된 바와 같이 비교적 높은 우선순위를 갖는 DBC에 대해서만 최적화될 수 있다. 이러한 방식에서, 1 이상의 프로세스의 1 이상의 매개변수는 최대 결함도 및/또는 최대 수율 영향을 갖는 결함도를 나타내는 DBC에 대응하는 설계 데이터에 기초하여 변경 및/또는 최적화될 수 있다. 그와 같이, 우선순위화 단계의 결과는 수율에서의 최대 개선을 나타내기 위해, 1 이상의 프로세스의 1 이상의 매개변수를 변경 및/또는 최적화하기 위해 어느 DBC가 사용되어야 하는지를 지시한다.In addition, one or more parameters of the one or more processes may be optimized only for the DBC having the highest priority as determined by the prioritization step or for the DBC having the relatively higher priority as determined by the prioritization step . In this manner, one or more parameters of the one or more processes may be altered and / or optimized based on the design data corresponding to the DBC that represents the degree of defect with maximum defectivity and / or maximum yield impact. As such, the result of the prioritization step indicates which DBC should be used to modify and / or optimize one or more parameters of the one or more processes to indicate the maximum improvement in yield.

따라서, 본 실시예는, 어느 DBC가 수율에 대하여 가장 큰 영향을 갖는지에 관한 안내 없이, 여러 변경이 수율에서의 큰 또는 임의의 개선 없이 프로세스에 이루어질 수 있어서, 프로세스 최적화에 대한 변경 시간 및 비용을 증가시키는 프로세스를 변경 및/또는 최적화하기 위한 이전에 사용된 방법 및 시스템보다 본 실시예가 유리하다.Thus, the present embodiment can be made to the process without any guidance on which DBC has the greatest effect on the yield, with many changes being made to the process without any major or any improvement in throughput, so that the change time and cost The present embodiment is advantageous over previously used methods and systems for changing and /

또한, 이러한 단계에서 변경 및/또는 최적화되는 프로세스가 여기에 기술한 실시예에서 DBC가 할당된 결함의 검출 이전에 웨이퍼 상의 DBC에 대응하는 설계 데이터를 인쇄하는데 사용된 프로세스만을 포함할지라도, 변경 및/또는 최적화된 1 이상의 프로세스는 DBC에 대응하는 설계 데이터를 포함하는 다른 설계를 인쇄하는데 사용되는 임의의 프로세스를 포함할 수 있다. 예컨대, 1 이상의 설계가 우선순위화 및/또는 여기에 기술한 방법의 임의의 다른 결과에 기초하여 DBC에 대응하는 설계 데이터를 포함하는 경우, 1 이상의 설계를 인쇄하는데 사용된 1 이상의 프로세스가 변경 및 최적화될 수 있어서, 각각의 다른 설계로 제조된 장치의 수율을 증가시킨다.Also, even though the process that is changed and / or optimized at this stage includes only the process used to print the design data corresponding to the DBC on the wafer prior to the detection of the DBC assigned defect in the embodiments described herein, / Or one or more processes optimized may include any process used to print other designs that contain design data corresponding to the DBC. For example, if one or more designs include design data corresponding to a DBC based on prioritization and / or any other result of the methods described herein, then one or more processes used to print one or more designs may be changed and / Can be optimized, thereby increasing the yield of the device made with each different design.

추가의 실시예에서, 상기 방법은 결함에 할당된 DBC에 기초하여 결함의 근본 원인을 결정하는 단계를 포함한다. 예컨대, 근본 원인은 결함에 할당된 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 결정될 수 있다. 근본 원인을 결정하는데 사용된 설계 데이터의 속성은 여기에 기술한 임의의 설계 데이터 속성을 포함할 수 있다. 또한, 임의의 다른 정보 및/또는 여기에 기술한 임의의 방법의 임의의 단계의 결과가, 설계 데이터의 속성과 조합하여 결함의 근본 원인을 결정하기 위해 사용될 수 있다.In a further embodiment, the method includes determining the root cause of the defect based on the DBC assigned to the defect. For example, the root cause may be determined based on at least one attribute of the design data corresponding to the DBC assigned to the defect. The attributes of the design data used to determine the root cause may include any of the design data attributes described herein. In addition, any other information and / or the results of any of the steps of any of the methods described herein may be used in combination with the attributes of the design data to determine the root cause of the defect.

추가의 실시예에서, 상기 방법은 결함의 적어도 일부를 실험 프로세스 창 결과에 매핑하여 결함의 적어도 일부의 근본 원인을 결정하는 단계를 포함하며, 이는 여기에 기술하는 바와 같이 수행할 수 있다.In a further embodiment, the method includes mapping at least a portion of the defect to an experimental process window result to determine the root cause of at least a portion of the defect, which may be performed as described herein.

다른 실시예에서, 상기 방법은 1 이상의 DBC에 대응하는 근본 원인을 결정하는 단계와, 결함에 할당된 DBC에 대응하는 근본 원인에 기초하여 근본 원인을 결함에 할당하는 단계를 포함한다. 예컨대, DBC에 대응하는 설계 데이터에서 이전에 검출된 결함의 근본 원인은 DBC와 관련될 수 있다. 이전에 검출한 결함의 근본 원인은 여기에 기술한 임의의 방식 또는 당업계에 알려진 임의의 다른 적합한 방식으로 결정할 수 있다. 이러한 방식에서, 결함의 근본 원인은 결함에 할당된 DBC와 관련된 근본 원인일 수 있다.In another embodiment, the method includes determining a root cause corresponding to one or more DBCs and assigning a root cause to a defect based on a root cause corresponding to the DBC assigned to the defect. For example, the root cause of a previously detected defect in the design data corresponding to the DBC may be related to the DBC. The root cause of previously detected defects can be determined in any of the ways described herein or in any other suitable manner known in the art. In this manner, the root cause of the fault may be the root cause associated with the DBC assigned to the fault.

추가의 실시예에서, 상기 방법은 1 이상의 DBC가 할당되는 결함에 의해 영향을 받는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 예컨대, 그 퍼센티지는 동일한 DBC가 할당된 결함이 적어도 한번 검출되는 웨이퍼에 걸친 다이의 수에 의해 결정될 수 있다. 그러한 퍼센티지는 동일한 DBC가 할당되는 적어도 하나의 결함이 검출되는 다이의 수를 검사된 전체 다이의 수로 나누어서 결정될 수 있다. 그러한 단계의 결과에 100을 곱해 퍼센티지에 이른다. 따라서, 퍼센티지는 동일한 DBC가 할당된 결함의 다이 영향 마진을 반영한다. 그러한 퍼센티지는 결함에 할당된 1 이상의 DBC에 대해 결정될 수 있고, 퍼센티지의 각각 또는 적어도 일부는 상기 방법에 의해 생성될 수 있는 바 차트와 같은 차트로 표시될 수 있다. 따라서, 그 차트는 결함에 할당된 DBC의 함수로서 다이 영향 마진을 나타낸다. 그러한 차트는 여기에 추가로 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 나타내질 수 있다. 상기 방법은 본 실시예에서 결정된 퍼센티지에 기초하여 1 이상의 DBC가 할당된 결함을 우선순위화하는 단계를 또한 포함할 수 있다.In a further embodiment, the method includes determining a percentage of die formed on a wafer affected by a defect to which more than one DBC is assigned. For example, the percentage may be determined by the number of dies across the wafer at which defects assigned the same DBC are detected at least once. Such a percentage may be determined by dividing the number of dies where at least one defect assigned the same DBC is detected divided by the total number of dies inspected. The result of such a step is multiplied by 100 to reach a percentage. Thus, the percentage reflects the die impact margin of the defect to which the same DBC is assigned. Such a percentage may be determined for one or more DBCs assigned to the defect, and each or at least some of the percentages may be represented by a chart such as a bar chart that may be generated by the method. Thus, the chart shows the die impact margin as a function of the DBC assigned to the defect. Such a chart can be represented in a user interface that can be configured as further described herein. The method may also include prioritizing defects assigned by one or more DBCs based on the percentage determined in the present embodiment.

일부 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 웨이퍼 상의 위치의 수에 대한 적어도 하나의 DBC가 할당된 결함의 수의 비율을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 웨이퍼 상에 인쇄된 DBC에 대응하는 POI의 위치의 수에 대한 DBC가 할당된 수의 비율 또는 퍼센티지를 결정하여 마진 분석을 수행하는 단계를 포함할 수 있다. 그러한 실시예에서, 웨이퍼 상의 POI의 위치는 임의의 패턴 검색에 의해 식별될 수 있다. 또한, 여기에 기술한 상기 방법은 설계의 검사 영역 내의 POI의 위치를 식별하기 위한 임의의 패턴 검색 단계와, 설계의 검사 영역 내의 POI의 누적 영역을 결정하는 단계를 포함할 수 있다. 설계의 검사 영역 내의 POI의 누적 영역에 대한 DBC가 할당된 결함의 수의 비율은 POI에 대응하는 DBC의 결함 밀도를 결정하기 위해 사용될 수 있다. 상기 방법은 본 실시예에서 결정된 1 이상의 DBC를 우선순위화하는 단계를 또한 포함할 수 있다.In some embodiments, the method includes determining a POI in design data corresponding to at least one DBC and determining a ratio of the number of defects assigned by at least one DBC to the number of locations on the wafer do. In this manner, the method may include performing a margin analysis by determining a percentage or percentage of the number of DBCs allocated to the number of positions of the POI corresponding to the printed DBC on the wafer. In such an embodiment, the position of the POI on the wafer may be identified by any pattern search. The method described herein may also include an optional pattern searching step to identify the location of the POI in the inspection area of the design and a step of determining the accumulation area of the POI in the inspection area of the design. The ratio of the number of DBC allocated defects to the cumulative area of the POI in the inspection area of the design can be used to determine the defect density of the DBC corresponding to the POI. The method may also include prioritizing one or more DBCs determined in the present embodiment.

다른 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 설계 데이터 내의 1 이상의 POI의 위치의 수에 대한 DBC가 할당된 적어도 하나의 결함의 수의 비율을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 웨이퍼의 검사 영역에 걸친 설계 내의 POI의 위치의 수에 대한 웨이퍼 상에서 발견된 POI에 대응하는 DBC가 할당된 결함의 수의 비율 또는 퍼센티지를 결정하여 마진 분석을 수행하는 단계를 포함할 수 있다. 그러한 실시예에서, 웨이퍼 상의 POI의 위치는 임의의 패턴 검색에 의해 식별될 수 있다. 이러한 방법은 본 실시예에서 결정된 비율에 기초하여 1 이상의 DBC를 우선순위화하는 단계를 또한 포함할 수 있다.In another embodiment, the method includes determining at least one POI in design data corresponding to at least one DBC, determining a number of POIs in the design data corresponding to at least one POI in the design data, And determining a ratio. In this manner, the method comprises performing a margin analysis by determining the percentage or percentage of the number of defects allocated by the DBC corresponding to the POI found on the wafer relative to the number of positions of the POI in the design over the inspection area of the wafer . ≪ / RTI > In such an embodiment, the position of the POI on the wafer may be identified by any pattern search. This method may also include prioritizing one or more DBCs based on the ratio determined in the present embodiment.

추가적인 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 적어도 하나의 DBC가 할당된 결함이 위치되는 웨이퍼 상에서 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 POI에 우선순위를 할당하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함에 의해 영향을 받은 다이의 퍼센티지에 기초하여 마진 분석을 수행하는 단계를 포함할 수 있다. 예컨대, 동일한 DBC가 할당된 결함의 수는 웨이퍼 상의 검사 영역에 설계 데이터를 인쇄하는데 사용되는 레티클 내의 POI의 설계 인스턴스의 수와, 레티클이 웨이퍼 상에 인쇄되고 검사되는 회수로 나뉠 수 있다. 이러한 단계의 결과에 100을 곱해서 퍼센티지에 이른다. 이러한 방식에서, 상기 방법은 알려진 체계적 결함을 결함이 적어도 한번 검출되는 웨이퍼에 걸친 다이의 수에 의해 우선순위화하는 단계를 포함할 수 있다. 예컨대, POI가 다이의 1%에 비하여 다이의 10%에서 출현하는 경우 체계적 결함이 검출되는 POI에 높은 우선순위가 할당될 수 있다. 다른 예에서, 웨이퍼 상의 큰 수의 다이에서 검출된, 동일한 DBC가 할당된 결함에는 웨이퍼 상의 더 작은 수의 다이에서 검출된, 상이한 DBC가 할당된 결함보다 더 높은 우선순위가 할당될 수 있다. 또한, 상기 방법은 상이한 DBC가 할당된 결함이 위치하는 웨이퍼 상에 형성된 다이의 퍼센티지를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 따라서, 그러한 차트는 상이한 DBC에 대한 다이 기반 마진을 그래픽 식으로 나타낸다. 그러한 차트는 여기에 기술한 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. In a further embodiment, the method further comprises the steps of determining a POI in the design data corresponding to at least one DBC, determining a percentage of the die formed on the wafer on which the at least one DBC is assigned the defect, And assigning a priority to the POI based on the POI. In this manner, the method may include performing a margin analysis based on a percentage of the die affected by the defect. For example, the number of defects assigned the same DBC can be divided by the number of design instances of the POI in the reticle used to print the design data in the inspection area on the wafer and the number of times the reticle is printed and inspected on the wafer. The result of this step is multiplied by 100 to reach a percentage. In this manner, the method may include prioritizing known systematic defects by the number of dies across the wafer at least once the defects are detected. For example, if the POI appears at 10% of the die compared to 1% of the die, a higher priority may be assigned to the POI where systematic defects are detected. In another example, defects assigned to the same DBC, detected in a large number of dies on the wafer, may be assigned a higher priority than defects assigned different DBCs, which are detected in a smaller number of dies on the wafer. The method may also include generating a chart such as a bar chart showing the percentage of dies formed on a wafer on which defects with different DBCs are located. Thus, such charts graphically represent die-based margins for different DBCs. Such a chart may be displayed on a user interface that may be configured as described herein.

추가의 실시예에서, 상기 방법은 1 이상의 DBC가 할당되는 결함이 검출되는 수에 의해 1 이상의 DBC를 우선순위화하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 DBC가 할당되는 결함의 전체 결함 수에 의해 알려진 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 그와 같이, 상기 방법은 웨이퍼-기반 마진에 기초하여 알려진 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 예컨대, 웨이퍼 상의 큰 수의 설계 인스턴스에서 검출된 결함에 할당된 DBC에는, 웨이퍼 상의 작은 수의 설계 인스턴스에서 검출된 결함에 할당되는 DBC보다 더 높은 우선순위가 할당된다. 그러한 우선 순위화는 결함이 검출된 웨이퍼에 걸친 설계 인스턴스의 장소의 퍼센티지에 기초하여 수행될 수 있다. 예컨대, 검출되고 DBC가 할당된 결함의 수는 웨이퍼에 걸친 DBC에 대응하는 전체 검사된 설계 인스턴스로 나뉠 수 있다. 이러한 단계의 결과에 100을 곱해 전술한 퍼센티지를 생성한다. 또한, 상기 방법은 상이한 DBC가 할당된 레티클에 걸친 설계 인스턴스의 수를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다.In a further embodiment, the method includes prioritizing one or more DBCs by the number of defects for which more than one DBC is assigned to be detected. In this manner, the method may include prioritizing systematic defects known by the total number of defects of the defects to which the DBC is assigned. As such, the method may include prioritizing known systematic defects based on wafer-based margins. For example, a DBC assigned to a defect detected in a large number of design instances on a wafer is assigned a higher priority than a DBC assigned to a defect detected in a small number of design instances on the wafer. Such prioritization may be performed based on a percentage of the location of the design instance across the wafer on which the defect was detected. For example, the number of detected and DBC allocated defects can be divided into a fully inspected design instance corresponding to a DBC across the wafer. The result of this step is multiplied by 100 to generate the above-mentioned percentage. The method may also include generating a chart such as a bar chart showing the number of design instances across the reticle to which different DBCs are assigned. Such charts may be displayed on a user interface that may be configured as described herein.

일부 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 적어도 한번 검출되는 웨이퍼 상의 설계 데이터를 인쇄하는데 사용된 레티클 상의 설계 인스턴스의 수에 의해 1 이상의 DBC를 우선순위화하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함이 적어도 한번 발견되는 레티클에 걸친 설계 인스턴스의 수에 의해 알려진 체계적 결함을 우선순위화하는 단계를 포함한다. 예컨대, 레티클 상에서 큰 수의 설계 인스턴스에서 검출된 결함에 할당되는 DBC에는, 레티클 상의 작은 수의 설계 인스턴스에서 검출된 결함에 할당되는 DBC보다 높은 우선순위가 할당될 수 있다. 또한, 상기 방법은 상이한 DBC가 할당된 결함이 검출되는 레티클에 걸친 설계 인스턴스의 수를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다.In some embodiments, the method includes prioritizing one or more DBCs by the number of design instances on the reticle used to print the design data on the wafer for which at least one DBC assigned defect has been detected at least once. In this manner, the method includes prioritizing systematic defects known by the number of design instances across the reticle where the defects are found at least once. For example, a DBC assigned to a defect detected in a large number of design instances on the reticle may be assigned a higher priority than a DBC assigned to a defect detected in a small number of design instances on the reticle. The method may also include generating a chart such as a bar chart showing the number of design instances across the reticle for which different DBCs have been assigned defects. Such charts may be displayed on a user interface that may be configured as described herein.

다른 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 검출되는 레티클 상의 장소의 수와, 1 이상의 DBC가 할당된 결함의 위치에 가까운 설계 데이터의 부분에 적어도 유사한 레티클 상에 인쇄된 설계 데이터의 부분의 전체 수에 기초하여 1 이상의 DBC에 대한 레티클-기반 마진을 결정하는 단계를 포함한다. 예컨대, 레티클-기반 마진은 DBC가 할당된 적어도 하나의 결함이 검출된 적층 레티클 맵 내의 장소의 수를 레티클에 걸친 전체 검사 설계 인스턴스로 나눔으로써 결정될 수 있다. 이러한 단계의 결과에 100을 곱해서, DBC가 할당된 결함이 검출된 DBC에 대응하는 설계 인스턴스의 장소의 퍼센티지를 생성한다. 또한, 상기 방법은 상이한 DBC가 할당된 결함이 검출된 장소의 퍼센티지 또는 레티클-기반 마진을 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 추가로 기술한 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 상기 방법은 1 이상의 DBC에 대하여 결정된 레티클-기반 마진에 기초하여 1 이상의 DBC를 우선순위화하는 단계를 포함할 수 있다. 예컨대, 비교적 높은 레티클-기반 마진을 보이는 DBC에는 낮은 레티클-기반 마진을 보이는 DBC보다 더 높은 우선순위가 할당될 수 있다. 여기에 기술한 실시예의 단계는 동일한 DBC가 할당된 결함의 그룹에 대해 또는 DBC가 할당된 개별 결함에 대해 수행될 수 있다.In another embodiment, the method further comprises designing data that is printed on a reticle at least similar to a portion of design data that is closer to the location of a defect on which more than one DBC is assigned, Based margins for one or more DBCs based on the total number of portions of the reticle-based margin. For example, the reticle-based margins can be determined by dividing the number of places in the laminate reticle map in which at least one defect assigned DBC has been detected by the total inspection design instance across the reticle. The result of this step is multiplied by 100 to generate a percentage of the location of the design instance corresponding to the DBC to which the DBC is assigned the detected defect. The method may also include generating a chart such as a bar chart showing the percentage of locations where defects assigned different DBCs have been detected or reticle-based margins. Such charts may be displayed on a user interface that may be configured as further described herein. The method may include prioritizing one or more DBCs based on the reticle-based margins determined for one or more DBCs. For example, a DBC exhibiting a relatively high reticle-based margin may be assigned a higher priority than a DBC exhibiting a low reticle-based margin. The steps of the embodiments described herein may be performed on a group of defects to which the same DBC is assigned or on individual defects to which the DBC is assigned.

전술한 결함에 범주를 할당하기 위한 방법의 각 실시예는 여기에 기술한 임의의 방법 실시예를 포함할 수 있다. 또한, 전술한 결함에 범주를 할당하기 위한 방법의 각 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행될 수 있다.Each embodiment of a method for assigning a category to the above-described defects may include any method embodiment described herein. In addition, each embodiment of the method for assigning categories to the aforementioned defects can be performed by any of the system embodiments described herein.

다른 실시예는 웨이퍼에 대한 검사 프로세스를 변경하는 방법에 관한 것이다. 이러한 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 장소를 리뷰하는 단계를 포함한다. 상기 방법은 상기 리뷰 단계의 결과에 기초하여, 1 이상의 POI의 장소에서 결함이 검출되었어야 하는지를 판정하는 단계를 또한 포함한다. 또한, 상기 방법은 1 이상의 결함 포착률 및/또는 적어도 하나의 POI의 적어도 일부에 위치된 결함에 대한 S/N을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다. 이들 단계의 각각은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 예컨대, 검사 프로세스의 1 이상의 매개변수는, 여기에 기술하는 바와 같이 결정될 수 있는, POI의 우선순위화에 기초하여 변경될 수 있다.Another embodiment is directed to a method of altering an inspection process for a wafer. This method includes reviewing a location on the wafer where at least one POI in the design data is printed. The method also includes determining whether a defect should have been detected at one or more POI locations based on the results of the review step. The method also includes modifying the inspection process to improve the S / N for one or more defect coverage and / or defects located in at least a portion of the at least one POI. Each of these steps may be performed as further described herein. For example, one or more parameters of the inspection process may be changed based on prioritization of the POI, which may be determined as described herein.

상기 방법에 대한 하나의 이용 케이스는, 광 감지도 애플리케이션이다. 예컨대, 일 실시예에서, 검사 프로세스를 변경하는 단계는 검사 프로세스를 수행하기 위해 사용된 검사 시스템의 광학 모드를 변경하는 단계를 포함한다. 이러한 방식에서, 검사를 위해 사용된 광학 모드는 1 이상의 POI의 적어도 일부에 대응하는 1 이상의 결함을 검출하는 S/N을 향상시키기 위해 변경될 수 있다. 광학 모드는 당업계에 알려진 임의의 광학 모드를 포함할 수 있다.One use case for the above method is a photo sensing application. For example, in one embodiment, modifying the inspection process includes altering the optical mode of the inspection system used to perform the inspection process. In this manner, the optical mode used for inspection may be modified to improve the S / N to detect one or more defects corresponding to at least a portion of one or more POIs. The optical mode may comprise any optical mode known in the art.

다른 실시예에서, 상기 방법은 1 이상의 POI의 장소에서 결함이 검출되었어야 하는지를 판정하는 단계의 결과에 기초하여 검사 프로세스를 수행하는데 사용된 검사 시스템의 광학 모드를 결정하는 단계를 포함한다. 이러한 방식에서, 검출되었어야 하는 결함에 대한 가장 높은 S/N를 갖는 광학 모드가 결정될 수 있다. 광학 모드는 당업계에 알려진 임의의 광학 모드를 포함할 수 있다. 또한, 결정된 광학 모드 및/또는 검출되었어야 하는 결함은 검사 프로세스를 수행하기 위해 사용된 검사 시스템의 유형과 같이 변경된 검사 프로세스의 다른 매개변수를 선택하는데 사용될 수 있다.In another embodiment, the method includes determining an optical mode of the inspection system used to perform the inspection process based on a result of determining whether a defect should have been detected at a location of one or more POIs. In this way, the optical mode with the highest S / N for the defect that should have been detected can be determined. The optical mode may comprise any optical mode known in the art. In addition, the determined optical mode and / or defects that should have been detected can be used to select other parameters of the modified inspection process, such as the type of inspection system used to perform the inspection process.

일부 실시예에서, 검사 프로세스를 변경하는 단계는 1 이상의 POI와 관련된 DOI의 포착을 증가시키기 위해 검사 프로세스를 변경하는 단계를 포함한다. 포착을 증가시키기 위해 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 검사 프로세스의 매개변수를 변경함으로써 강화된 검출은 검사 결과 내의 POI와 관련된 DOI의 검출을 포함할 수 있다(예컨대, 수율 결정적 체계적 DOI 등에 대한 결함 카운트를 증가시키는 것). 포착을 증가시키기 위해 변경된 1 이상의 매개변수는 검사 프로세스의 임의의 결과 및/또는 리뷰 단계의 임의의 결과(예컨대, 1 이상의 POI가 인쇄되는 웨이퍼 상의 장소를 리뷰하는 단계의 결과만이 아님)에 기초하여 선택될 수 있다.In some embodiments, modifying the inspection process includes modifying the inspection process to increase acquisition of the DOI associated with the one or more POIs. Modifying the inspection process to increase acquisition may include modifying any one or more parameters of the inspection process. Enhanced detection by changing the parameters of the inspection process can include detection of DOIs associated with the POIs in the inspection results (e.g., increasing defect counts for yield deterministic systematic DOI, etc.). One or more parameters modified to increase acquisition may be based on any result of the inspection process and / or any result of the review step (e.g., not only as a result of reviewing a location on the wafer on which one or more POIs are printed) Can be selected.

일부 실시예에서, 검사 프로세스를 변경하는 단계는 검사 프로세스의 결과 내의 노이즈를 억제하기 위해 검사 프로세스를 변경하는 단계를 포함한다. 노이즈를 억제하기 위해 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 검사 프로세스의 매개변수를 변경함으로써 억제되는 노이즈는 검사 결과 내의 임의의 노이즈(예컨대, 백그라운드 노이즈, 뉴슨스 결함 등)를 포함할 수 있다. 노이즈를 억제하기 위해 변경되는 1 이상의 매개변수는 검사 프로세스의 임의의 결과 및/또는 리뷰 단계의 임의의 결과(예컨대, 1 이상의 POI가 인쇄되는 웨이퍼 상의 장소를 리뷰하는 단계의 결과만이 아님)에 기초하여 선택할 수 있다.In some embodiments, modifying the inspection process includes modifying the inspection process to suppress noise in the results of the inspection process. Modifying the inspection process to suppress noise may include modifying any one or more parameters of the inspection process. The noise suppressed by changing the parameters of the inspection process may include any noise (e. G., Background noise, Newson defect, etc.) in the inspection results. One or more parameters that are altered to suppress noise may be included in any result of the inspection process and / or any result of the review step (e.g., not only as a result of reviewing a location on the wafer on which one or more POIs are printed) Can be selected on the basis of.

추가의 실시예에서, 검사 프로세스는 관심없는 결함의 검출을 줄이고, 관심없는 결함의 비닝을 개선하기 위해 검사 프로세스를 변경하는 단계를 포함한다. 관심없는 결함의 검출을 줄이기 위해 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 검사 프로세스의 매개변수를 변경함으로써 덜 검사되는 관심없는 결함은 임의의 관심없는 결함을 포함할 수 있다(예컨대, 비-수율 관련적 체계적 결함, 콜드 스폿에서의 결함 등). 관심없는 결함의 검출을 줄이기 위해 변경된 1 이상의 매개변수는 검사 프로세스의 임의의 결과 및/또는 리뷰 단계의 임의의 결과(예컨대, 1 이상의 POI가 인쇄되는 웨이퍼 상의 장소를 리뷰하는 단계의 결과만이 아님)에 기초하여 선택될 수 있다.In a further embodiment, the inspection process includes modifying the inspection process to reduce the detection of uninteresting defects and to improve the binning of uninteresting defects. Modifying the inspection process to reduce the detection of unattractive defects may include modifying any one or more parameters of the inspection process. Unfamiliar defects that are less well inspected by changing the parameters of the inspection process may include any uninteresting defects (e.g., non-yield related systematic defects, defects in cold spots, etc.). One or more parameters altered to reduce the detection of uninteresting defects may include any result of the inspection process and / or any result of the review step (e.g., not only the result of reviewing a location on the wafer on which one or more POIs are printed ). ≪ / RTI >

1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 예컨대, 일 실시예에서, 검사 프로세스를 변경하는 단계는 검사 프로세스에서 사용된 알고리즘을 변경하는 단계를 포함한다. 변경되는 알고리즘은 결함 검출 알고리즘 또는 검사 프로세스에 사용된 임의의 다른 알고리즘일 수 있다. 변경된 알고리즘은 당업계에 알려진 임의의 적합한 알고리즘을 포함할 수 있다. 또한, 검사 프로세스를 변경하는 단계는 검사 프로세스에 사용된 1 이상의 알고리즘을 변경하는 단계를 포함할 수 있다.Modifying the inspection process to improve one or more defect capture rates may include modifying any one or more parameters of the inspection process. For example, in one embodiment, modifying the inspection process includes modifying the algorithm used in the inspection process. The altered algorithm may be a defect detection algorithm or any other algorithm used in the inspection process. The altered algorithm may comprise any suitable algorithm known in the art. In addition, altering the inspection process may include altering one or more algorithms used in the inspection process.

추가적인 실시예에서, 검사 프로세스를 변경하는 단계는 검사 프로세스에서 사용된 알고리즘의 1 이상의 매개변수를 변경하는 단계를 포함한다. 1 이상의 매개변수가 변경된 알고리즘은 결함 검출 알고리즘 또는 검사 프로세스에서 사용된 임의의 다른 알고리즘을 포함할 수 있다. 또한, 검사 프로세스를 변경하는 단계는 검사 프로세스에서 사용된 1 이상의 알고리즘의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 알고리즘 내의 1 이상의 매개변수는 알고리즘의 임의의 매개변수, 바람직하게는 결함 포착률에 영향을 미치는 매개변수를 포함할 수 있다.In a further embodiment, modifying the inspection process includes modifying one or more parameters of the algorithm used in the inspection process. An algorithm in which one or more parameters have been altered may include a defect detection algorithm or any other algorithm used in the inspection process. In addition, altering the inspection process may include altering one or more parameters of the one or more algorithms used in the inspection process. One or more parameters in the algorithm may include parameters that affect any parameters of the algorithm, preferably defect coverage.

위에서 기술한 웨이퍼에 대한 검사 프로세스를 변경하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 방법 실시예의 임의의 다른 단계를 포함할 수 있다. 또한, 위에서 기술한 웨이퍼에 대한 검사 프로세스를 변경하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행될 수 있다.Each embodiment of a method for modifying an inspection process for a wafer as described above may include any other steps of any method embodiment described herein. Further, each embodiment of the method for modifying the inspection process for a wafer as described above may be performed by any of the system embodiments described herein.

추가적인 실시예는 설계 및 결함 데이터를 표시 및 분석하도록 구성된 시스템에 관한 것이다. 그러한 시스템의 일 실시예는 도 25에 도시된다. 도 25에 도시된 바와 같이, 시스템은 유저 인터페이스(182)를 포함한다. 유저 인터페이스(182)는 반도체 장치에 대한 1 이상의 설계 레이아웃(184), 반도체 장치의 적어도 일부가 형성된 웨이퍼에 대해 취득된 인라인 검사 데이터(186), 웨이퍼에 대해 취득된 전기 테스트 데이터(188)를 표시하도록 구성된다. 일 실시예에서, 전기 테스트 데이터는 로직 비트맵 데이터를 포함한다. 설계, 검사(또는 계측), 테스트 및 오버레이 데이터는 설계, 장치, 레티클 또는 웨이퍼 스페이스에 표현된다. 유저 인터페이스는 반도체 장치에 대한 모델링된 데이터 및/또는 웨이퍼에 대한 FA 데이터를 표시하도록 구성될 수 있다. 또한, 유저 인터페이스는 유저로부터의 입력(예컨대, 유저에 의한 핫 스팟 또는 DOI의 선택)에 기초하여 특정 핫 스팟 또는 DOI에 대한 정보를 표시하도록 구성될 수 있다. 이러한 방식에서, 유저 인터페이스는 상이한 핫 스팟 또는 DOI에 관한 정보를 상이한 시간에 표시하도록 구성될 수 있다. 하지만, 유저 인터페이스는 상이한 핫 스팟 또는 DOI를 지시하도록 1 이상의 상이한 표식(예컨대, 컬러, 심볼 등)을 사용하여 상이한 핫 스팟 또는 DOI에 관한 정보를 동시에 표시하도록 구성될 수 있다(예컨대, 웨이퍼 맵 또는 바 그래프로). 핫 스팟 데이터베이스 내의 정보의 표시를 사용하여, 유저는 주어진 분석 또는 검사 레시피에 의해 관심 있는 핫 스팟의 서브세트를 선택함으로써 1 이상의 핫 스팟 리스트를 생성할 수 있다. 유저 인터페이스는 디스플레이 장치(190) 상에 표시될 수 있다. 디스플레이 장치(190)는 당업계에 알려진 임의의 적합한 디스플레이 장치를 포함할 수 있다.A further embodiment relates to a system configured to display and analyze design and defect data. One embodiment of such a system is shown in Fig. As shown in FIG. 25, the system includes a user interface 182. The user interface 182 displays one or more design layouts 184 for a semiconductor device, inline inspection data 186 obtained for a wafer on which at least a portion of the semiconductor device is formed, electrical test data 188 acquired for the wafer . In one embodiment, the electrical test data includes logic bitmap data. Design, inspection (or measurement), test and overlay data are represented in a design, device, reticle or wafer space. The user interface can be configured to display modeled data for the semiconductor device and / or FA data for the wafer. In addition, the user interface can be configured to display information about a particular hot spot or DOI based on input from the user (e.g., hot spot or DOI selection by the user). In this manner, the user interface can be configured to display information about different hot spots or DOIs at different times. However, the user interface may be configured to simultaneously display information about different hot spots or DOIs using one or more different markers (e.g., color, symbol, etc.) to indicate different hot spots or DOIs (e.g., Bar graph). Using the display of information in the hotspot database, the user can create one or more hotspot lists by selecting a subset of hotspots of interest by a given analysis or inspection recipe. The user interface may be displayed on the display device 190. Display device 190 may include any suitable display device known in the art.

시스템은 프로세서(192)를 또한 포함한다. 프로세서(192)는 유저 인터페이스를 통하여 유저로부터 분석을 수행하라는 지령을 수신시, 1 이상의 설계 레이아웃, 인라인 검사 데이터, 및 전기 테스트 데이터를 분석하도록 구성된다. 프로세서는 전술한 바와 같이 모델링된 데이터 및/또는 FA 데이터를 분석하도록 구성될 수 있다. 예컨대, 유저 인터페이스(182)는 1 이상의 아이콘(194)을 표시하도록 구성될 수 있다. 각각의 아이콘은 프로세서에 의해 수행될 수 있는 다른 기능에 대응할 수 있다. 이러한 방식에서, 5개의 아이콘이 도 25에 도시되지만, 유저 인터페이스는 가능한 기능의 수에 대응하는 임의의 수의 아이콘을 표시하도록 구성될 수 있다. 유저는 1 이상의 아이콘을 선택(예컨대, 클릭)함으로써, 프로세서가 1 이상의 기능을 수행하도록 지시할 수 있다. 또한, 유저 인터페이스는 당업계에 알려진 임의의 다른 방식(예컨대, 드롭다운 메뉴)으로 유저에게 이용가능하게 되는 각종 기능을 표시할 수 있다. 이러한 방식에서, 유저 인터페이스는 설계/레이아웃 시각화 및 분석 조작을, 인라인 프로세스 데이터 시각화 및 분석 조작, 및 기능/구조적 전기 테스트 데이터 시각화 및 분석 조작과 결합시키는 단일 통합 유저 인터페이스로서 구성될 수 있다.The system also includes a processor 192. The processor 192 is configured to analyze one or more design layouts, inline inspection data, and electrical test data upon receiving an instruction from the user to perform an analysis via a user interface. The processor may be configured to analyze the modeled data and / or FA data as described above. For example, the user interface 182 may be configured to display one or more icons 194. Each icon may correspond to another function that may be performed by the processor. In this way, although five icons are shown in Fig. 25, the user interface can be configured to display any number of icons corresponding to the number of possible functions. A user may instruct the processor to perform one or more functions by selecting (e.g., clicking) one or more icons. In addition, the user interface may display various functions that are made available to the user in any other manner known in the art (e.g., a drop-down menu). In this manner, the user interface can be configured as a single integrated user interface that combines design / layout visualization and analysis operations with inline process data visualization and analysis operations and functional / structural electrical test data visualization and analysis operations.

시스템은 증가한 해상도로 데이터를 처리하도록 구성될 수 있으며, 이는 통상적으로 "드릴 다운 능력(drill down capabilities)"라 칭한다. 예컨대, 시스템은 적층을 위한 2 이상의 다이를 선택하고, 다이 적층 결과 내에 나타낸 결함을 선택하고, 결함에 대한 일부 기능을 수행하기 위해, 웨이퍼 상에서 검출된 결함을 나타내는 웨이퍼 맵과 같은 입력을 사용하도록 구성될 수 있다. 시스템은 1 이상의 도메인으로부터의 데이터를 함께 사용하도록 구성될 수 있으며, 이는 통상적으로 "드릴 어크로스 능력(drill across capabilities)"이라 칭한다.The system can be configured to process data at increased resolution, which is commonly referred to as "drill down capabilities. &Quot; For example, the system may be configured to select two or more dies for stacking, to select the defects shown in die stack results, and to use inputs such as wafer maps representing defects detected on the wafer to perform some function on defects . The system may be configured to use data from more than one domain together, which is commonly referred to as "drill across capabilities ".

일 실시예에서, 유저 인터페이스는 설계 레이아웃, 인라인 검사 데이터, 전기 테스트 데이터, 및 여기에 기술한 임의의 다른 정보의 적어도 2개의 오버레이(196)를 표시하도록 구성된다. 그러한 일 실시예에서, 전기 테스트 데이터는 로직 비트맵 데이터를 포함한다. 그러한 실시예에서, 프로세서는 여기에 기술한 임의의 실시예에 따라 상이한 데이터를 오버레이 하도록 구성될 수 있다. 이러한 방식에서, 시스템은 3개의 도메인(예컨대, 설계, 검사, 및 전기 테스트)의 2 이상으로부터의 데이터의 오버레이를 생성 및 표시하도록 구성될 수 있다. 데이터의 그러한 오버레이는 전기 테스트 결과에 영향을 미치는 결함(예컨대, 전기적 오류를 야기함으로써)을 식별하기 위해 매핑 및 전기 테스트 결과(예컨대, 전기적 오류)를 사용하여 결함의 물리적 위치를 논리적 위치에 매핑하는데 사용될 수 있다.In one embodiment, the user interface is configured to display at least two overlays 196 of the design layout, inline inspection data, electrical test data, and any other information described herein. In one such embodiment, the electrical test data includes logic bitmap data. In such an embodiment, the processor may be configured to overlay different data according to any of the embodiments described herein. In this manner, the system can be configured to generate and display an overlay of data from two or more of the three domains (e.g., design, test, and electrical tests). Such overlay of data maps the physical location of the defect to a logical location using mapping and electrical test results (e.g., electrical errors) to identify defects that affect the electrical test results (e.g., by causing electrical errors) Can be used.

일 실시예에서, 프로세서는 유저 인터페이스를 통하여 유저로부터의 결정을 수행하도록 하는 지령을 수신시 설계 데이터 스페이스 내의 결함 밀도를 결정하도록 또한 구성된다. 이러한 방식에서, 시스템은 여기에 추가로 기술하는 바와 같이 오류 밀도 계산을 수행하도록 구성될 수 있다. 유저 인터페이스는 오류 밀도 계산의 결과를 표시하도록 구성될 수 있다.In one embodiment, the processor is also configured to determine a defect density in the design data space upon receiving a command to perform a determination from the user via the user interface. In this manner, the system may be configured to perform error density calculations as further described herein. The user interface can be configured to display the result of the error density calculation.

추가적인 실시예에서, 프로세서는 유저 인터페이스를 통하여 유저로부터 결함 샘플링을 수행하라는 지령을 수신이 리뷰를 위한 결함 샘플링을 수행하도록 구성된다. 추가의 실시예에서, 프로세서는 유저 인터페이스를 통하여 유저로부터 그룹화를 수행하라는 지령 수신이 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 레이아웃의 유사도에 기초하여 결함을 그룹화하도록 구성된다. 이러한 방식에서, 시스템은 샘플링 및 데이터 저감(예컨대, 패턴 의존적 비닝에 의한 데이터 저감) 기술을 수행하도록 구성될 수 있다. 이러한 기술은 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In a further embodiment, the processor is configured to receive an instruction to perform defect sampling from a user via the user interface and to perform defect sampling for review. In a further embodiment, the processor is configured to group defects based on the similarity of the design layouts close to the location of the defects in the design data space to receive grouping from the user via the user interface. In this manner, the system can be configured to perform sampling and data reduction (e.g., data reduction by pattern dependent binning) techniques. Such techniques may be performed as further described herein.

일부 실시예에서, 프로세서는 시간에 걸친 결함 그룹의 KP 값을 모니터링하고, 시간에 걸친 그 KP 값에 기초하여 결함 그룹의 중요도를 결정하도록 구성된다. 이러한 방식에서, 시스템은 결함 트래킹(tracking)을 위해 구성될 수 있다(예컨대, DTT 방법을 사용하여 및/또는 이미지를 사용하여). 유저 인터페이스는 시간에 결친 KP 값 및 결함 그룹의 중요도의 모니터링 결과를 표시하도록 구성될 수 있다. 도 25에 도시된 프로세서 및 시스템은 여기에 추가로 기술하는 바와 같이 구성될 수 있다. 예컨대, 프로세서 및 시스템은 여기에 기술한 임의의 다른 방법의 임의의 다른 단계를 수행하도록 구성될 수 있다. 또한, 도 25에 도시한 시스템은 검사 시스템과 같이 여기에 기술한 다른 구성요소를 포함할 수 있고, 그것은 위에서 추가로 기술한 바와 같이 구성될 수 있다. 도 25에 도시한 시스템은 여기에 기술한 방법의 모든 이점을 갖는다.In some embodiments, the processor is configured to monitor the KP value of the defective group over time and to determine the importance of the defect group based on the KP value over time. In this manner, the system may be configured for defect tracking (e.g., using the DTT method and / or using an image). The user interface can be configured to display the result of monitoring the importance of the defect group and the KP value that is time-correlated. The processor and system shown in Fig. 25 may be configured as described further herein. For example, the processor and system may be configured to perform any of the other steps of any of the other methods described herein. Further, the system shown in Fig. 25 may include other components as described herein, such as an inspection system, which may be configured as described further above. The system shown in Fig. 25 has all the advantages of the method described herein.

추가의 실시예는 웨이퍼 상에서 검출되는 전기적 결함의 근본 원인을 결정하는 컴퓨터-구현 방법에 관한 것이다. 그러한 일 실시예에서, 전기적 결함에 대한 웨이퍼의 검사 결과는 로직 장치에 대한 비트맵을 포함할 수 있다. 상기 방법은 설계 데이터 스페이스 내의 전기적 결함의 위치를 결정하는 단계를 포함한다. 설계 데이터 스페이스 내의 전기적 결함의 위치는 여기에 기술한 바와 같이 결정할 수 있다.A further embodiment relates to a computer-implemented method for determining the root cause of an electrical defect detected on a wafer. In one such embodiment, the result of the inspection of the wafer for electrical defects may include a bitmap for the logic device. The method includes determining the location of an electrical defect in the design data space. The location of electrical defects in the design data space can be determined as described herein.

일부 실시예에서, 상기 방법은 체계적 결함과 같은 결함의 공간적 시그네처를 프로세스 조건에 상호 연관짓는 단계를 포함한다. 예컨대, 스캔-기반 및 구조적 테스트 결과를 웨이퍼 스페이스 좌표로 변환시킨 후에, 특정 공간적 시그네처는 1 이상의 프로세스 조건에 상호 연관지어질 수 있다. 결함 데이터의 공간적 시그네처 분석을 수행하기 위한 방법 및 시스템은 미국 특허 제5,991,699호(Kulkarni et al.), 제6,445,199호(Satya et al.), 및 제6,718,526호(Eldredge et al.)에 개시되며, 그 특허문헌은 여기에 완전히 언급된 것과 같이 참고자료로 포함된다. 여기에 기술된 방법 및 시스템은 그 특허문헌에 기재된 임의의 방법의 임의의 단계를 수행하도록 구성될 수 있다.In some embodiments, the method includes correlating a spatial signature of a defect, such as a systematic defect, to a process condition. For example, after converting scan-based and structural test results to wafer space coordinates, a particular spatial signature may be correlated to one or more process conditions. Methods and systems for performing spatial signature analysis of defect data are disclosed in U.S. Patent 5,991,699 (Kulkarni et al.), 6,445,199 (Satya et al.), And 6,718,526 (Eldredge et al. , The patent document is incorporated by reference as fully described herein. The methods and systems described herein can be configured to perform any of the steps of any of the methods described in that patent document.

상기 방법은 전기적 결함 부분의 위치가 1 이상의 프로세스 조건에 대응하는 공간적 시그네처를 규정하는지를 판정하는 단계를 포함한다. 이러한 단계는 전기적 결함 부분의 공간적 시그네처를 프로세스 조건에 대응하는 공간적 시그네처 세트에 비교하거나, 전기적 결함 부분의 위치에 룰을 적용하거나, 임의의 적합한 방식으로 수행될 수 있다. 또한, 전기적 결함 부분의 위치가 1 이상의 프로세스 조건에 대응하는 공간적 시그네처를 규정하는 경우, 상기 방법은 전기적 결함 부분의 근본 원인을 1 이상의 프로세스 조건으로서 식별하는 단계를 포함한다. 이러한 방식에서, 전술한 방법은 로직 비트맵 데이터에 대한 공간적 시그네처 분석을 수행하는 단계를 포함할 수 있다. 상기 방법은 식별 단계의 결과를 저장 매체에 저장하는 단계를 추가로 포함한다. 식별 단계의 결과는 여기에 기술한 임의의 결과를 포함할 수 있다. 또한, 상기 방법은 여기에 추가로 기술한 바와 같이 저장 단계를 수행할 수 있다. 저장 매체는 여기에 기술한 임의의 저장 매체를 포함할 수 있다.The method includes determining whether the location of the electrically defective portion defines a spatial signature corresponding to one or more process conditions. This step can be performed in any suitable manner, by comparing the spatial signature of the electrically defective portion to a set of spatial signatures corresponding to the process conditions, applying the rule to the location of the electrically defective portion, or the like. Further, when the location of the electrically defective portion defines a spatial signature corresponding to one or more process conditions, the method includes identifying the root cause of the electrically defective portion as one or more process conditions. In this manner, the method described above may include performing a spatial signature analysis on the logic bitmap data. The method further comprises storing the result of the identifying step on a storage medium. The result of the identifying step may include any result described herein. The method can also perform a storage step as further described herein. The storage medium may comprise any of the storage media described herein.

전술한 전기적 결함의 근본 원인을 결정하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 방법 실시예의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 전기적 결함의 근본 원인을 결정하기 위한 방법의 실시예의 각각은 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each embodiment of the method for determining the root cause of the electrical defects described above may include any other step of any method embodiment described herein. Further, each of the embodiments of the method for determining the root cause of the above-described electrical defects can be performed by any of the system embodiments described herein.

다른 결함의 근본 원인이 여기에 기술한 방법에서 또한 결정될 수 있다. 예컨대, 프로세스 창에 걸쳐 매핑된 패턴 그룹에 의한 웨이퍼 기반 또는 레티클 기반 공간적 시그네처(및 그것의 조합)는 근본원인 결정에서의 보조를 위한 상관관계 결정에 특히 유용하다. 일 예에서, 프로세스 창의 일 에지에서, 결함 x 및 y는 마진적이고, 웨이퍼의 외부로부터 먼저 오류가 될 경향이 있다. 프로세스 창의 다른 에지에서, 결함 z는 웨이퍼의 에지에서 먼저 오류가 되는 경향이 있다. 그리하여, 가능한 근본 원인은 어느 체계적 결함이 웨이퍼 상에서 가장 자주(그리고 아마도 외측 환형 링에 대해서) 오류가 되는지를 관찰함으로써 결정될 수 있다.The root cause of other defects can also be determined in the methods described herein. For example, wafer-based or reticle-based spatial signatures (and combinations thereof) by pattern groups mapped across process windows are particularly useful for correlations for assistance in root cause determination. In one example, at one edge of the process window, defects x and y are marginal and tend to be erroneous from the outside of the wafer first. At the other edge of the process window, the defect z tends to be erroneous at the edge of the wafer first. Thus, the possible root cause can be determined by observing which systematic defect is most often (and probably against the outer annular ring) on the wafer.

다른 실시예는 리뷰, 범주/조사를 위한 탐색, 및 온-툴, 오프-툴 및 온-SEM을 포함하는 입증/근본 원인 분석을 위해, 웨이퍼 상에서 검출된 결함을 선택하는 컴퓨터-구현 방법에 관한 것이다. 그 방법은 웨이퍼의 1 이상의 영역을 식별하는 단계를 포함한다. 1 이상의 영역은 웨이퍼 상의 1 이상의 결함 유형의 위치와 관련된다. 1 이상의 그러한 영역의 일 실시예는 도 26에 도시된다. 도 26에 도시한 바와 같이, 웨이퍼(200) 상의 영역(198)은 웨이퍼 상의 1 이상의 결함 유형의 위치와 관련되는 것으로서 식별될 수 있다. 예컨대, 이러한 영역은 리소그라피 프로세스 또는 웨이퍼 중앙으로부터 웨이퍼 에지로의 에치 변화 동안, 웨이퍼의 외측 에지 근처의 초점 에러에 의해 야기되는 결함 유형과 관련될 수 있다.Another embodiment relates to a computer-implemented method for selecting defects detected on a wafer for verification / root cause analysis, including review, search for category / investigation, and on-tool, off-tool and on- will be. The method includes identifying at least one region of the wafer. One or more regions are associated with the location of one or more defect types on the wafer. One embodiment of one or more such regions is shown in Fig. As shown in FIG. 26, the area 198 on the wafer 200 can be identified as being associated with the location of one or more defect types on the wafer. For example, such areas may be associated with types of defects caused by focus errors near the outer edge of the wafer during lithography processes or etch changes from wafer center to wafer edge.

상기 방법은 리뷰를 위해 1 이상의 영역에서만 검출된 결함을 선택하는 단계를 포함한다. 예컨대, 도 26에 도시한 바와 같이, 웨이퍼 맵(202)은 영역(198)의 레이아웃과 중첩될 수 있다. 이러한 방식에서, 웨이퍼 맵(202)에서 도시된 결함은 그것들이 위치한 영역 및 그 영역과 관련된 1 이상의 결함 유형에 기초하여 리뷰를 위해 선택될 수 있다. 그러한 일 예에서, 도 26에 도시한 영역이 웨이퍼의 외측 에지 근처에서의 탈-초점 에러와 관련되면, 상기 방법은 영역(198) 내의 결함을(단지, 우선적으로, 또는 중점적으로) 선택할 수 있다. 대안적으로, 상기 결함은 영역(198) 이외의 웨이퍼 상의 영역으로부터 선택될 수 있다.The method includes selecting defects detected in at least one region for review. For example, as shown in FIG. 26, the wafer map 202 may overlap with the layout of the area 198. In this manner, the defects shown in the wafer map 202 can be selected for review based on the area in which they are located and one or more defect types associated with that area. In such an example, if the area shown in FIG. 26 is associated with a de-focus error near the outer edge of the wafer, the method may select (only, preferentially, or focus) . Alternatively, the defect can be selected from a region on the wafer other than the region 198.

도 26에 단지 하나의 영역이 도시될지라도, 웨이퍼는 임의의 복수의 적합한 영역으로 분리될 수 있다는 것을 이해해야 한다. 또한, 영역은 도 26에 도시한 바와 같은 환형 영역, 각도 영역 및 반경 방향 영역, 및 직사각형 영역으로서 웨이퍼 상에서 규정될 수 있다. 하지만, 영역은 불규칙한(예컨대, 다각형) 형상을 가질 수 있다. 또한, 영역의 모든, 일부 또는 아무것도 형상 및/또는 사이즈와 같은 동일한 특성을 가질 수 있다.Although only one region is shown in FIG. 26, it should be understood that the wafer may be separated into any suitable plurality of regions. Further, the region can be defined on the wafer as an annular region, an angular region and a radial region as shown in Fig. 26, and a rectangular region. However, the region may have an irregular (e.g., polygonal) shape. Also, all, some, or none of the regions may have the same characteristics, such as shape and / or size.

전술한 방법은 결함 샘플의 리뷰 결과가 다이로부터 웨이퍼로 보간될 수 있도록 결함 샘플을 제공하는데 사용될 수 있다. 대조적으로, 통상적인 리뷰 샘플 플랜은 레시피 최적화를 위한 100 내지 200개의 결함과, 전체 웨이퍼에 걸친 퍼짐을 모니터링하기 위한 10 내지 100개의 결함을 포함한다. 하지만, 수만의 핫 스팟이 하나의 다이에만 존재할 수 있다. 핫 스팟은 탐색을 위해 리뷰될 수 있다. 체계적 결함은 모니터링 및 입증을 위해 리뷰될 수 있다. 따라서, 이러한 모집단으로부터 100 또는 200개의 결함을 선택한 후에도, 바람직하게는 그 모두를 동일한 다이 상에서 리뷰하지는 않는다. 대신에, 선택된 결함은 복수의 다이에 걸쳐 퍼져 있는 것이 바람직하다. 전술한 방법은 특정 결함 유형과 웨이퍼 상의 특정 영역 사이의 상관관계를 식별하기 위해 영역 분석 결과를 사용한다. 그와 같이, 여기에 기술한 방법은 웨이퍼 위치-특정 결함을 식별하기 위해 사용될 수 있다. 이러한 방식에서, 상기 방법은 다이-웨이퍼 보간에 사용하기에 적합한 결과를 제공하기 위해 샘플링 플랜을 이들 영역으로 편향시키는 단계를 포함할 수 있다. 방법은 선택 단계에서의 결과를 저장 매체에 저장하는 단계를 추가로 포함한다. 선택 단계의 결과는 여기에 기술한 임의의 결과를 포함할 수 있다. 또한, 상기 방법은 저장 단계를 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 저장 매체는 여기에 기술한 임의의 저장 매체를 포함할 수 있다.The method described above can be used to provide a defect sample so that the review result of the defect sample can be interpolated from the die to the wafer. In contrast, a typical review sample plan includes 100 to 200 defects for recipe optimization and 10 to 100 defects for monitoring spread across the entire wafer. However, tens of thousands of hot spots can exist only on one die. Hot spots can be reviewed for navigation. Systematic defects can be reviewed for monitoring and verification. Thus, even after selecting 100 or 200 defects from this population, preferably not all of them are reviewed on the same die. Instead, it is preferable that the selected defects extend over a plurality of dies. The method described above uses area analysis results to identify a correlation between a particular type of defect and a particular area on the wafer. As such, the methods described herein can be used to identify wafer position-specific defects. In this manner, the method may include deflecting the sampling plan into these areas to provide results suitable for use in die-wafer interpolation. The method further includes storing the result of the selection step in a storage medium. The result of the selection step may include any result described herein. The method may also be carried out as described further in the storage step. The storage medium may comprise any of the storage media described herein.

전술한 리뷰를 위한 결함을 선택하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 방법 실시예의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 리뷰를 위한 결함을 선택하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each embodiment of the method for selecting defects for review described above may include any other step of any method embodiment described herein. Further, each embodiment of the method for selecting defects for review as described above may be performed by any of the system embodiments described herein.

다른 실시예는 설계 데이터에 대한 1 이상의 수율 관련 프로세스를 평가하기 위한 컴퓨터-구현 방법에 관한 것이다. 그러한 일 실시예가 도 27에 도시된다. 도 27에 도시한 단계는 그러한 방법을 실시하는데 필수적인 것이 아니다. 1 이상의 단계가 도 27에 도시한 방법으로부터 배제되거나 그것에 부가될 수 있고, 그 방법은 여전히 본 실시예의 범주 내에서 실시할 수 있다.Another embodiment relates to a computer-implemented method for evaluating one or more yield related processes for design data. One such embodiment is shown in Fig. The steps shown in Fig. 27 are not essential for carrying out such a method. One or more steps may be excluded or added to the method shown in FIG. 27, and the method may still be practiced within the scope of this embodiment.

도 27에 도시한 바와 같이, 상기 방법은 단계 204에 도시한 바와 같이, 룰 체킹(rule checking)을 사용하여 설계 데이터 내의 잠재적 오류를 식별하는 단계를 포함한다. 대안적으로, 설계 데이터 내의 잠재적 오류는 리피터 분석 또는 결함 밀도 맵으로부터 관찰된 잠재적 핫 스팟을 사용하여 식별될 수 있다. 이러한 단계에서 식별된 잠재적 오류는 1 이상의 다른 유형의 DOI를 포함할 수 있다. 일부 실시예에서, 이러한 단계에서 식별된 잠재적 오류는 포스트-패턴 잠재적 오류(예컨대, 포스트-에치 잠재적 오류)를 포함할 수 있다. 또한, 잠재적 오류가 일단 식별되면, 설계를 통하여 설계 도처에 전파될 수 있으며, 이는 설계 내의 공통 패턴에 대한 탐색에 의해 검출될 수 있다(예컨대, 임의의 패턴 탐색). 일부 실시예에서, 상기 방법은 모든 유사한 POI의 위치를 식별하기 위한 임의의 패턴 탐색 단계를 포함한다. 공통 패턴은 모든 잠재적 오류를 찾기 위해 회전되거나 플립(flip)된 패턴에 대한 탐색에 의해 식별될 수 있다. 또한, 설계 데이터 내의 잠재적 오류는 당업계에 알려진 임의의 다른 적합한 방법(예컨대, 모델링), 소프트웨어, 및/또는 알고리즘을 사용하여 단계 204에서 식별될 수 있다. 또한, 잠재적 오류는 설계 데이터에 대해서 제조되는 장치의 오류를 야기할 수 있거나, 실제로는 장치의 오류를 야기하지 않고 장치의 1 이상의 전기적 매개변수를 바람직하지 않은 방식으로 변경할 수 있는 설계 데이터 내의 영역 또는 패턴을 포함할 수 있다.As shown in FIG. 27, the method includes identifying potential errors in the design data using rule checking, as shown in step 204. Alternatively, potential errors in the design data may be identified using a potential hot spot observed from a repeater analysis or defect density map. The potential errors identified at this stage may include one or more other types of DOIs. In some embodiments, the potential error identified in this step may include a post-pattern potential error (e.g., post-etch potential error). Also, once a potential error is identified, it can be propagated throughout the design through the design, which can be detected by searching for a common pattern in the design (e.g., an arbitrary pattern search). In some embodiments, the method includes any pattern searching step to identify the location of all similar POIs. The common pattern can be identified by searching for a rotated or flipped pattern to find all potential errors. Further, potential errors in the design data may be identified at step 204 using any other suitable method (e.g., modeling), software, and / or algorithms known in the art. In addition, the potential error may be caused by an area or pattern within the design data that may cause errors in the device being fabricated for the design data, or that may change one or more of the electrical parameters of the device in an undesirable manner, . ≪ / RTI >

단계 206에 도시한 바와 같이, 상기 방법은 잠재적 오류의 1 이상의 속성을 판정하는 단계를 또한 포함한다. 결정된 잠재적 오류의 속성은 예컨대, 유형을 포함할 수 있다. 잠재적 오류의 속성은 실험적 테스트, 시뮬레이션 결과, 설계 데이터 또는 임의의 다른 방법에 의해 취득될 수 있다. 상기 방법이 전술한 바와 같은 잠재적 오류를 식별하는 단계를 포함하기 때문에, 상기 방법은 가능한 한 많은 잠재적 오류를 제거하기 위해 제조 이전에 설계 데이터를 변경하는 단계를 포함할 수 있다. 설계 데이터의 그러한 변경은 여기에 기술하는 바와 같이 수행할 수 있다. 하지만, 모든 잠재적 오류가 제조 이전에 제거될 수 있는 아니라는 것을 고려할 수 있다. 또한, 여기에 기술한 방법에서 식별된 잠재적 오류는 제조 동안 실제로는 오류를 생성하거나 그렇지 않을 수 있거나, 수율에 영향을 주거나 그렇지 않을 수 있다. 따라서, 잠재적 오류의 일부는 제조(따라서 검사) 이전에 제거될 수 있지만, 여기에 기술한 방법은, 잠재적 오류가 실제로 오류가 되는 경우 그것이 가능한 한 빨리 검출될 수 있도록, 설계 검사가 수행되어야 하는 개소에 대한 중요한 정보를 제공할 수 있다. 또한, 여기에 기술한 방법은, 설계 데이터 부분이 설계 내의 잠재적 오류를 포함하고 있는 웨이퍼 상의 영역의 검사가 가장 적합한 검사 매개변수로 수행될 수 있고, 이에 의해 잠재적 오류가 실제 오류를 야기하는 경우, 검사에 의해 검사될 확률을 증가시기 위해, 설계의 얼마나 상이한 영역이 검사되어야하는지에 대한 중요한 정보를 제공할 수 있다.As shown in step 206, the method also includes determining one or more attributes of the potential error. The attribute of the determined potential error may include, for example, a type. The attributes of the potential error can be obtained by an empirical test, a simulation result, design data or any other method. Because the method includes identifying potential errors as described above, the method may include modifying the design data prior to manufacturing to eliminate as many potential errors as possible. Such modification of the design data can be performed as described herein. However, it can be considered that not all potential errors can be eliminated prior to fabrication. In addition, potential errors identified in the methods described herein may or may not actually produce errors during manufacturing, or may or may not affect yield. Thus, although some of the potential errors may be eliminated prior to manufacturing (and thus inspection), the methods described herein may be used to determine where a design check should be performed so that if a potential error actually becomes an error, Can provide important information for the user. It should also be noted that the method described herein can also be applied to the case where the inspection of the area on the wafer where the design data portion contains potential errors in the design can be performed with the most suitable inspection parameters, In order to increase the probability of being inspected by the inspection, it is possible to provide important information as to how different areas of the design should be inspected.

단계 208에 도시한 바와 같이, 상기 방법은 잠재적 오류의 1 이상의 속성에 기초하여 잠재적 오류가 검출가능하지를 결정하는 단계를 포함한다. 잠재적 오류가 검출가능한지의 여부는 각종 검사 시스템의 알려진 능력과 조합하여 잠재적 오류의 속성에 기초하여 결정될 수 있다. 단계 210에 도시한 바와 같이, 상기 방법은 1 이상의 속성에 기초하여 복수의 상이한 검사 시스템(예컨대, BF, DF, 전압 콘트라스트, EC, 전자 빔 등)의 어느 것이 잠재적 오류를 검출하는데 가장 적합한지를 결정하는 단계를 포함한다.As shown in step 208, the method includes determining that a potential error is not detectable based on one or more attributes of the potential error. Whether or not a potential error is detectable can be determined based on the nature of the potential error in combination with the known capabilities of the various inspection systems. As shown in step 210, the method determines which of a plurality of different inspection systems (e.g., BF, DF, voltage contrast, EC, electron beam, etc.) is most suitable for detecting a potential error based on one or more attributes .

일부 실시예에서, 상기 방법은 단계 212에 도시한 바와 같이, 가장 적합하다고 결정된 검사 시스템의 1 이상의 매개변수를 선택하는 단계를 포함한다. 그러한 일 실시예에서, 그 매개변수는 잠재적 결함의 1 이상의 매개변수에 기초하여 선택된다. 매개변수는 여기에 추가로 기술하는 바와 같이 선택될 수 있다. 또한, 이러한 단계에서 선택된 매개변수는 변경 및/또는 제어가능한 검사 시스템의 임의의 매개변수를 포함할 수 있다. 그러한 매개변수의 일 예는 광학 모드 또는 검사 모드이다. 바람직하게는, 매개변수는 잠재적 오류에 대한 웨이퍼의 검사를 최적화하기 위해(예컨대, 잠재적 오류의 장소에서의 결함의 결함 포착률을 증가시키고, 잠재적 오류에서의 결함에 대한 감지도를 증가시키는 등) 선택된다.In some embodiments, the method includes selecting one or more parameters of the inspection system that are determined to be most suitable, as shown in step 212. In one such embodiment, the parameter is selected based on one or more parameters of the potential defect. The parameters may be selected as further described herein. Also, the parameters selected at this stage may include any parameters of the inspection system that can be changed and / or controlled. An example of such a parameter is an optical mode or an inspection mode. Preferably, the parameter is selected to optimize the inspection of the wafer for potential errors (e.g., to increase the defect coverage of the defect at the location of the potential error, increase the sensitivity to the defect in the potential error, etc.) do.

일부 실시예에서, 상기 방법은, 가능하게는 여기에 기술한 임의의 다른 정보(예컨대, 결함에 대한 설계 데이터의 민감도, 결함에 대한 설계 데이터에 대응하는 전기적 매개변수의 민감도 등)와 조합하여, 잠재적 오류의 위치에 가까운 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 잠재적 오류를 우선순위화하는 단계를 포함한다. 그러한 우선순위화는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 가장 적합한 검사 시스템 및 검사 시스템의 매개변수는 여기에 추가로 기술하는 바와 같이 그러한 우선순위화의 결과에 기초하여 선택될 수 있다. 예컨대, 그러한 실시예에서, 가장 중요한 결함이 검사 프로세스에서 검출되도록, 가장 적합한 검사 시스템 및 검사 시스템의 매개변수는 가장 높은 우선순위를 갖는 잠재적 오류에 대한 검사를 최적화하도록 선택될 수 있다. 그러한 가장 적합한 검사 시스템의 결정 및 매개변수의 선택은 가장 낮은 우선순위를 갖는 잠재적 오류에 대한 검사의 최적화를 가져오거나 그렇지 않을 수 있다.In some embodiments, the method may be implemented in combination with any other information possibly described herein (e.g., sensitivity of design data for a defect, sensitivity of an electrical parameter corresponding to design data for a defect, etc.) And prioritizing one or more potential errors based on one or more attributes of the design data near the location of the potential errors. Such prioritization may be performed as further described herein. In addition, the parameters of the most suitable inspection system and inspection system can be selected based on the results of such prioritization as further described herein. For example, in such an embodiment, the most appropriate inspection system and the parameters of the inspection system may be selected to optimize inspection for potential errors with the highest priority so that the most critical defects are detected in the inspection process. The determination of such a most suitable inspection system and the selection of parameters may or may not result in optimization of the test for potential errors with the lowest priority.

다른 실시예에서, 상기 방법은, 단계 214에 도시한 바와 같이, 설계 데이터로 제조된 장치의 수율에 대한 잠재적 오류의 영향을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 레시피 최적화 및 모니터링을 위해 사용될 수 있다. 추가의 실시예에서, 상기 방법은 검출 불가능하지만 수율에 영향을 미치는 것으로 결정된 잠재적 오류의 영향을 결정하는 단계를 포함할 수 있다. 이러한 방식에서, 상기 방법은 검사에 의해 검출 불가능한 수율 손실의 퍼센티지를 결정하는 단계를 포함할 수 있다. 여기에 개시된 방법에 사용될 수 있는 수율 예측 방법의 일 예는 여기에 완전히 언급한 것과 같이 참고자료로 포함 미국 특허 제6,813,572(Satya et al.)에 개시된다.In another embodiment, the method includes determining the effect of a potential error on the yield of the device fabricated with the design data, as shown in step 214. In this way, the method can be used for recipe optimization and monitoring. In a further embodiment, the method may include determining the effect of a potential error that is undetectable but determined to affect yield. In this manner, the method may include determining a percentage of yield loss that is not detectable by inspection. An example of a yield prediction method that can be used in the methods disclosed herein is disclosed in U.S. Patent No. 6,813,572 (Satya et al.), Which is incorporated herein by reference as fully mentioned herein.

따라서, 전술한 방법은 완전히 자동적인 예측, 트래킹, 및 핫 스팟의 유효화에 사용될 수 있다(일부 초기의 수동 셋업이 수행된 후). 전술한 방법은 복수의 상이한 검사 시스템의 어느 것이 잠재적 오류를 검출하는데 적합한지의 결정 결과를 저장 매체에 저장하는 단계를 추가로 포함한다. 이러한 단계의 결과는 여기에 기술한 임의의 결과일 수 있다. 또한, 이러한 방법은 여기에 추가로 기술하는 바와 같은 저장 단계를 수행할 수 있다. 저장 매체는 여기에 기술한 임의의 저장 매체를 포함할 수 있다.Thus, the method described above can be used for fully automatic prediction, tracking, and validation of hot spots (after some initial manual setup is performed). The method further comprises storing the determination result in a storage medium which of the plurality of different inspection systems is suitable for detecting a potential error. The result of this step may be any result described herein. In addition, this method can perform a storage step as further described herein. The storage medium may comprise any of the storage media described herein.

전술한 1 이상의 수율 관련 프로세스를 평가하기 위한 실시예의 각각은 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 1 이상의 수율 관련 프로세스를 평가하기 위한 각 실시예는 여기에 기술한 임의의 시스템에 의해 수행할 수 있다.Each of the embodiments for evaluating the one or more yield related processes described above may include any other steps of any of the methods described herein. In addition, each embodiment for evaluating one or more of the above-described yield related processes may be performed by any of the systems described herein.

여기에 기술한 방법 및 시스템 실시예는 토털 설계(total design)를 제공하기 위해 사용될 수 있다. 예컨대, 전술한 바와 같이, 상기 방법은 결함(인라인 및/또는 전기적 검사에 의해 검출된)을 체계적 결함 및 랜덤 결함으로 분리하는 단계를 포함할 수 있다. 여기에 기술한 상기 방법 및 시스템은 핫 스팟을 관리하는데 사용할 수 있다.The methods and system embodiments described herein can be used to provide a total design. For example, as described above, the method may include separating defects (as detected by inline and / or electrical inspection) into systematic defects and random defects. The methods and systems described herein can be used to manage hot spots.

결함관련 매개변수적 수율 손실은 반도체 제조 프로세스의 일 매개변수에 기초하여 장치의 전기적 매개변수를 결정하는 시뮬레이션과 같은 시뮬레이션에 대한 입력으로서 사용될 수 있다. 이러한 방식에서, 매개변수적 수율 손실에 관련된 결함은 시뮬레이션을 조정 또는 최적화하기 위해 웨이퍼에 대해 수행되는 프로세스에 관한 정보와 조합하여 사용될 수 있다. 또한, 시뮬레이션 결과는 매개변수적 수율 손실에 관련된 결함을 줄이기 위해 변경될 수 있는 웨이퍼에 대해 수행된 프로세스의 매개변수를 식별하는데 사용될 수 있다. 또한, 여기에 기술한 시뮬레이션 및 방법의 결과는 매개변수적 수율 손실을 줄이기 위해 프로세스의 어느 매개변수가 중요한지를 식별하기 위해 사용될 수 있다.The defect-related parametric yield loss can be used as an input to a simulation, such as a simulation, that determines the electrical parameters of the device based on one parameter of the semiconductor manufacturing process. In this manner, defects associated with loss of parametric yield can be used in combination with information about the process performed on the wafer to adjust or optimize the simulation. In addition, the simulation results can be used to identify parameters of a process performed on a wafer that may be altered to reduce defects associated with parametric yield loss. In addition, the results of the simulations and methods described herein can be used to identify which parameters of the process are important to reduce parametric yield loss.

체계적 패터닝 손실에 관련된 결함은 장치의 설계와 프로세스 사이의 상호작용에 관련된 패턴 결함을 식별하기 위해 사용될 수 있다. 이러한 방식에서, 결함에 대한 정보는, 결함을 줄이기 위해, 프로세스를 변경하거나, 설계를 변경하거나, 프로세스 및 설계를 변경하기 위하여 사용될 수 있다.Defects related to systematic patterning losses can be used to identify pattern defects associated with the interaction between the device design and the process. In this manner, information about defects can be used to reduce defects, change processes, change designs, and change processes and designs.

전술한 단계는 학습한 레슨을 고려하여 미래의 설계를 개선하기 위해 사용되는 설계 피드백 단계 동안에 수행될 수 있다. 즉, 핫 스팟 데이터베이스로부터의 지식 전달 및 모니터링 단계는 설계 단계에 제공될 수 있다(예컨대, 기술 검색 및 개발, 제품 설계, EET 설계 등). 이러한 단계는 다중-소스 스페이스 내에서 수행될 수 있다(예컨대, 설계, 웨이퍼, 테스트 및 프로세스 스페이스의 임의의 것 사이의 상관관계를 사용하여). 이러한 단계는 특정 셀 설계에 강한 상관관계를 갖는 핫 스팟에 기초하여 설계를 개선하는 단계를 포함할 수 있다. 또한, 이러한 단계는 제안된 설계 룰에 강한 상관관계를 갖는 핫 스팟을 사용하여 설계를 개선하는 단계를 포함할 수 있다.The above steps may be performed during a design feedback step that is used to improve the future design in view of the lessons learned. That is, knowledge transfer and monitoring steps from the hot spot database may be provided at the design stage (e.g., technology search and development, product design, EET design, etc.). This step may be performed in a multi-source space (e.g., using a correlation between any of the designs, wafers, tests, and process space). This step may include improving the design based on a hot spot having a strong correlation to a particular cell design. This step may also include the step of improving the design using a hot spot having a strong correlation to the proposed design rule.

랜덤 결함에 대한 정보는 결함 한계 수율(defect limited yield)(즉, 모든 체계적 및 리피터 결함이 제거되는 경우 달성될 수 있는 최대 가능 수율)을 결정하기 위해 사용될 수 있다. 그러한 정보는 상위 수율 손상자인 랜덤 결함을 식별하기 위해 장치에 대한 랜덤 결함의 영향을 결정하는 시뮬레이션과 조합하여, 온라인 및 오프라인 모니터링에 사용될 수 있다.Information about random defects can be used to determine the defect limited yield (i. E., The maximum possible yield that can be achieved if all systematic and repeater defects are removed). Such information can be used for on-line and off-line monitoring, in combination with simulations that determine the impact of random defects on the device to identify random defects that are high yield defects.

여기에 기술한 방법은 그 방법의 결과를 사용하여 반도체 제조 프로세스를 모니터링하는 단계를 포함할 수 있다. 반도체 제조 프로세스를 모니터링하는데 사용된 결과는 여기에 기술한 임의의 결과(예컨대, 인라인 검사 데이터, 체계적 결함 정보, 랜덤 결함 정보, 오류 밀도 맵, 비닝 결과 등) 또는 여기에 기술한 결과의 임의의 조합을 포함할 수 있다. 여기에 기술한 방법은 여기에 기술한 임의의 방법의 결과에 기초하여 1 이상의 반도체 제조 프로세스의 1 이상의 매개변수를 변경하는 단계를 또한 포함할 수 있다. 반도체 제조 프로세스의 매개변수는 피드백 기술, 피드포워드 기술, 인 시투 기술, 또는 이들의 일부 조합을 사용하여 제어할 수 있다. 이러한 방식에서, 여기에 기술한 방법 및 그 방법에 의해 생성한 결과는 SPC 애플리케이션을 위해 사용할 수 있다.The method described herein may include monitoring the semiconductor manufacturing process using the results of the method. The results used to monitor the semiconductor manufacturing process may be based on any of the results described herein (e.g., inline inspection data, systematic defect information, random defect information, error density maps, binning results, etc.) . ≪ / RTI > The methods described herein may also include altering one or more parameters of one or more semiconductor manufacturing processes based on the results of any of the methods described herein. The parameters of the semiconductor manufacturing process may be controlled using feedback techniques, feedforward techniques, in situ techniques, or some combination thereof. In this way, the methods described herein and the results produced by the method can be used for SPC applications.

여기에 추가로 기술하는 바와 같이, 여기에 기술한 방법 및 시스템은 개선된 비닝, 리뷰 샘플링, 검사 셋업, 및 여기에 기술한 임의의 다른 분석에 대해 설계 데이터에 기초하여 온-툴 수율 예측을 위해 사용될 수 있다. 여기에 기술한 방법 및 시스템은 현재 사용되는 방법 및 시스템에 비하여 수많은 장점을 갖는다. 예컨대, KP 분석을 위해 현재 사용되는 방법 및 시스템은 사이즈 분포 및/또는 범주에 의한 결함 밀도를 고려함으로써 전체 랜덤 수율 손실 예측을 위해 이력 수율 데이터를 사용한다. 그러한 방법 및 시스템의 하나의 단점은, 다른 결함 그룹화(예컨대, 사이즈 빈, 범주 빔, 계층)가 1 이상의 결함이 다이를 파손하는 확률을 계산시 고려되지 않는다는 것이다. 또한, 이들 방법 및 시스템은 셋 업을 위해 통계적으로 현저한 이력 데이터를 필요로 한다. 다른 예에서, KP 분석을 위해 현재 사용되는 방법 및 시스템은, 검출된 결함의 KP를 잘 예측하기 위해 일 영역 내의 사이즈 및/또는 범주를 고려함으로써, 이력 수율 데이터 및 결함당 수율 손실 예측을 사용한다. 그러한 방법 및 시스템의 하나의 단점은 통계적으로 현저한 이력 데이터가 셋업을 위해 필요하다는 것이다. 추가의 예에서, 주요 영역 분석(CAA; critical area analysis)을 위해 현재 사용되는 방법 및 시스템은, 결함에 의해 수율 손실 예측을 결정하고, 각종 결함 사이즈에 대한 구조(라인 폭, 간격)에 의해 전체 다이에 걸친 주요 영역의 사전-계산에 의존한다는 것이다. 그 접근법은 비교적 연산 집약적이지만, 일단 계산되면, 장소에 기초하여 주요 영역보다 큰 영역을 갖는 결함은 파손자로서 예측된다. 그러한 방법 및 시스템의 하나의 단점은 셋업을 위해 통계적으로 현저한 이력 데이터가 필요하다는 것이다. 또한, 그러한 방법 및 시스템은 연산 집약적인 사전-처리를 포함하고, 그러한 방법 및 시스템의 정확도는 결함 좌표 정확도에 의해 제한된다는 것이다.As further described herein, the methods and systems described herein may be used for on-tool yield prediction based on design data for improved binning, review sampling, test setup, and any other analysis described herein Can be used. The methods and systems described herein have numerous advantages over currently available methods and systems. For example, methods and systems currently used for KP analysis use historical yield data for predicting overall random yield loss by considering defect density by size distribution and / or category. One disadvantage of such methods and systems is that other defect groupings (e.g., size bin, category beam, layer) are not considered in calculating the probability that one or more defects break the die. In addition, these methods and systems require statistically significant historical data for setup. In another example, methods and systems currently used for KP analysis use historical yield data and yield loss per defect prediction by considering the size and / or category within a region to better predict the KP of the detected defect . One disadvantage of such methods and systems is that statistically significant historical data is needed for setup. In a further example, the methods and systems currently used for critical area analysis (CAA) determine the yield loss prediction by a defect and determine the yield loss prediction by the structure (line width, spacing) for various defect sizes Calculation of the major area over the die. The approach is relatively computationally intensive, but once computed, defects with areas larger than the major area based on location are predicted as the breaker. One disadvantage of such methods and systems is that statistically significant historical data is required for setup. In addition, such methods and systems include computationally intensive pre-processing, and the accuracy of such methods and systems is limited by fault location accuracy.

대조적으로, 여기에 기술한 방법 및 시스템은 매우 높은 좌표 정밀도를 활용하며, 이는 여기에 기술한 CAA 및 방법에 대한 개선된 수율 예측 정확도의 결과를 낳는다. 여기에 기술한 방법 및 시스템은 액티브 CAA에 대해 사용될 수 있다. 예컨대, 여러 사이즈 및 장소에 걸쳐 룩업 테이블을 생성하기 위해 데이터를 사전-처리하는 대신에, 본 접근법은 개선된 장소 및 사이즈에 기초하여 수율을 계산한다. 이는 설계 데이터가 검사 시스템에 이용가능하게 되는 것을 필요로 하고, 더욱 연산적으로 효율적인 잠재성을 갖는다. 또한, 여기에 기술한 방법 및 시스템은 체계적 결함에 대한 분석을 또는 패턴 그룹화에 의해 세이브하는 것을 포함하며, 이는 연산 효율성을 추가로 개선할 수 있다. 또한, 여기에 기술한 방법 및 시스템은 온-툴 결과의 수율을 예측하는데 사용할 수 있으며, 이는 웨이퍼가 척(chuck) 상에 있는 동안 그 결과가 리뷰(예컨대, 레시피 최적화를 위한 수동 리뷰, 고 해상도 이미지 그랩(grab) 등)를 위한 결함을 우선순위화하는데 사용되게 한다.In contrast, the methods and systems described herein utilize very high coordinate accuracy, which results in improved yield prediction accuracy for the CAA and method described herein. The methods and systems described herein can be used for active CAA. For example, instead of pre-processing data to create a look-up table across multiple sizes and locations, this approach calculates yield based on the improved location and size. This requires the design data to be available to the inspection system and has a more computationally efficient potential. In addition, the methods and systems described herein may include saving an analysis of systematic defects or by pattern grouping, which may further improve operational efficiency. In addition, the methods and systems described herein can be used to predict the yield of on-tool results, as the results are reviewed (e.g., manual review for recipe optimization, high resolution Image grab, etc.) that are used to prioritize defects.

본 발명의 각종 측면의 추가의 변형예 및 대안적인 실시예는 본 설명의 관점으로부터 당업자에게 자명할 것이다. 예컨대, 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템이 제공된다. 따라서, 본 설명은 단지 예시적인 것이며, 본 발명을 수행하기 위한 일반적 방식을 당업자에게 교시하기 위한 것으로서 이해되어야 한다. 여기에 도시하고 기술한 본 발명의 형태는 현재의 바람직한 실시예로서 취해진 것을 이해해야 한다. 본 발명의 본 실시예의 이점을 취한 후에 당업자에게 자명해지는 바와 같이, 요소 및 재료는 여기에 도시하고 기술한 것으로 대체될 수 있으며, 부분 및 프로세스는 역전될 수 있으며, 본 발명의 특정 특징은 독립적으로 활용될 수 있다. 이하의 청구의 범위에 기술하는 바와 같은 본 발명의 정신 및 범주를 이탈하지 않고, 여기에 기술한 요소에 변형이 이루어질 수 있다.
Additional variations and alternate embodiments of various aspects of the invention will be apparent to those skilled in the art in light of the present description. For example, a method and system for utilizing design data in combination with inspection data is provided. Accordingly, the description is merely illustrative and should be understood as directed to teach those of ordinary skill in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are taken as presently preferred embodiments. As will be apparent to those skilled in the art after taking advantage of the present embodiments of the present invention, elements and materials may be substituted for those shown and described herein, and portions and processes may be reversed, Can be utilized. Modifications may be made to the elements described herein without departing from the spirit and scope of the invention as set forth in the following claims.

Claims (3)

웨이퍼를 검사하는 방법에 있어서,
상기 웨이퍼에 대한 콘텍스트(context) 데이터에 기초하여 웨이퍼 상에서 수행되는 검사 프로세스를 위한 하나 이상의 매개변수를 결정하는 단계; 및
상기 검사 프로세스에 따라 상기 웨이퍼를 검사하는 단계를 포함하고,
상기 콘텍스트 데이터는 하나 이상의 속성의 상이한 값들을 가지는, 상기 웨이퍼를 위한 장치 설계 내의 기하학적(geometrical) 영역을 규정(define)하고,
상기 콘텍스트 데이터는 상기 웨이퍼 상에 있거나, 상기 웨이퍼 상에 형성될 것이거나, 또는 상기 웨이퍼의 프로세싱 내의 이전 단계에서 형성되었던, 하나 보다 많은 층(layer)에 대한 콘텍스트 데이터를 포함하는 것인, 웨이퍼 검사 방법.
A method of inspecting a wafer,
Determining one or more parameters for an inspection process performed on the wafer based on context data for the wafer; And
And inspecting the wafer according to the inspection process,
The context data defining a geometrical area in the device design for the wafer having different values of one or more attributes,
Wherein the context data comprises context data for more than one layer, which is on the wafer, or to be formed on the wafer, or formed in a previous step in the processing of the wafer. Way.
제1항에 있어서, 상기 하나 이상의 매개변수는 상기 웨이퍼의 상이한 부분들 상의 결함을 검출하기 위한 감지도(sensitivity)를 포함하는 것인, 웨이퍼 검사 방법.2. The method of claim 1, wherein the at least one parameter comprises sensitivity to detect defects on different portions of the wafer. 웨이퍼를 검사하는 방법에 있어서,
상기 웨이퍼에 대한 콘텍스트 데이터에 기초하여 웨이퍼 상에서 수행되는 검사 프로세스를 위한 하나 이상의 매개변수를 결정하는 단계; 및
상기 검사 프로세스에 따라 상기 웨이퍼를 검사 시스템의 하나 보다 많은 채널로 검사하는 단계를 포함하고,
상기 콘텍스트 데이터는 하나 이상의 속성의 상이한 값들을 가지는, 상기 웨이퍼를 위한 장치 설계 내의 기하학적 영역을 규정하는 것인, 웨이퍼 검사 방법.
A method of inspecting a wafer,
Determining one or more parameters for an inspection process performed on the wafer based on context data for the wafer; And
And inspecting the wafer with more than one channel of the inspection system in accordance with the inspection process,
Wherein the context data defines a geometric area in the device design for the wafer having different values of one or more attributes.
KR1020147015035A 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data KR101565071B1 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US73794705P 2005-11-18 2005-11-18
US73829005P 2005-11-18 2005-11-18
US60/738,290 2005-11-18
US60/737,947 2005-11-18
US11/561,735 US7676077B2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
US11/561,659 2006-11-20
PCT/US2006/061113 WO2007120280A2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
US11/561,735 2006-11-20
US11/561,659 US7570796B2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137006368A Division KR101665168B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020157002473A Division KR101682838B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157030359A Division KR101672157B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Publications (2)

Publication Number Publication Date
KR20140091575A true KR20140091575A (en) 2014-07-21
KR101565071B1 KR101565071B1 (en) 2015-11-03

Family

ID=38610775

Family Applications (11)

Application Number Title Priority Date Filing Date
KR1020167033159A KR101789004B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157000055A KR101613048B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020147015035A KR101565071B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020187021977A KR20180088924A (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009231A KR101370154B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020177029140A KR101885585B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157030359A KR101672157B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157002473A KR101682838B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137006368A KR101665168B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020087014775A KR101285967B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009234A KR101530456B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020167033159A KR101789004B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157000055A KR101613048B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Family Applications After (8)

Application Number Title Priority Date Filing Date
KR1020187021977A KR20180088924A (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009231A KR101370154B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020177029140A KR101885585B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157030359A KR101672157B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157002473A KR101682838B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137006368A KR101665168B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020087014775A KR101285967B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009234A KR101530456B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Country Status (5)

Country Link
EP (1) EP1955225A4 (en)
JP (12) JP5465880B2 (en)
KR (11) KR101789004B1 (en)
IL (14) IL191527A (en)
WO (2) WO2007120279A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10242921B2 (en) 2015-11-20 2019-03-26 Samsung Electronics Co., Ltd. Method of forming pattern of semiconductor device from which various types of pattern defects are removed

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
EP1955225A4 (en) * 2005-11-18 2009-11-04 Kla Tencor Tech Corp Methods and systems for utilizing design data in combination with inspection data
WO2009020885A1 (en) * 2007-08-03 2009-02-12 Flir Systems, Inc. Wireless remote detector systems and methods
US9710903B2 (en) 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
KR101841897B1 (en) * 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
WO2011004534A1 (en) * 2009-07-09 2011-01-13 株式会社 日立ハイテクノロジーズ Semiconductor defect classifying method, semiconductor defect classifying apparatus, and semiconductor defect classifying program
CN102484084B (en) * 2009-07-17 2014-12-10 克拉-坦科股份有限公司 Scanner performance comparison and matching using design and defect data
US20130068949A1 (en) * 2010-05-31 2013-03-21 Kotoko Urano Charged particle beam device provided with automatic aberration correction method
EP2447889A1 (en) * 2010-10-29 2012-05-02 Siemens Aktiengesellschaft Method for modeling a defect management in a manufacturing process and for handling the defect during the production process based on said modeled defect management
TWI574136B (en) * 2012-02-03 2017-03-11 應用材料以色列公司 Method of design-based defect classification and system thereof
US8718353B2 (en) * 2012-03-08 2014-05-06 Kla-Tencor Corporation Reticle defect inspection with systematic defect filter
JP5943722B2 (en) 2012-06-08 2016-07-05 三菱重工業株式会社 Defect determination apparatus, radiation imaging system, and defect determination method
JP6092602B2 (en) * 2012-12-04 2017-03-08 株式会社安永 Defect inspection apparatus and defect inspection method
US9202763B2 (en) 2013-01-16 2015-12-01 Kabushiki Kaisha Toshiba Defect pattern evaluation method, defect pattern evaluation apparatus, and recording media
JP6152281B2 (en) 2013-02-25 2017-06-21 株式会社ニューフレアテクノロジー Pattern inspection method and pattern inspection apparatus
US10114368B2 (en) * 2013-07-22 2018-10-30 Applied Materials Israel Ltd. Closed-loop automatic defect inspection and classification
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
TWI660856B (en) * 2014-07-08 2019-06-01 以色列奧寶科技有限公司 Improved systems and methods for computerized direct writing
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
SG11201703585RA (en) * 2014-11-25 2017-06-29 Kla Tencor Corp Analyzing and utilizing landscapes
US9830421B2 (en) * 2014-12-31 2017-11-28 Kla-Tencor Corp. Alignment of inspection to design using built in targets
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US9875536B2 (en) 2015-03-31 2018-01-23 Kla-Tencor Corp. Sub-pixel and sub-resolution localization of defects on patterned wafers
US10539612B2 (en) * 2015-05-20 2020-01-21 Kla-Tencor Corporation Voltage contrast based fault and defect inference in logic chips
US9639645B2 (en) 2015-06-18 2017-05-02 Globalfoundries Inc. Integrated circuit chip reliability using reliability-optimized failure mechanism targeting
US9940704B2 (en) 2015-06-19 2018-04-10 KLA—Tencor Corporation Pre-layer defect site review using design
US9891275B2 (en) 2015-06-24 2018-02-13 International Business Machines Corporation Integrated circuit chip reliability qualification using a sample-specific expected fail rate
TWI684225B (en) * 2015-08-28 2020-02-01 美商克萊譚克公司 Self directed metrology and pattern classification
US9576772B1 (en) * 2015-08-31 2017-02-21 Fei Company CAD-assisted TEM prep recipe creation
US10387601B2 (en) * 2015-11-26 2019-08-20 Kla-Tencor Corporation Methods to store dynamic layer content inside a design file
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
CN108700818B (en) * 2015-12-22 2020-10-16 Asml荷兰有限公司 Apparatus and method for process window characterization
US11205119B2 (en) * 2015-12-22 2021-12-21 Applied Materials Israel Ltd. Method of deep learning-based examination of a semiconductor specimen and system thereof
KR102451650B1 (en) * 2016-02-05 2022-10-11 에스케이하이닉스 주식회사 Stacked type seniconductor apparatus
KR102483787B1 (en) * 2016-02-25 2023-01-04 에스케이하이닉스 주식회사 Apparatus and Method for Modeling of Defect to Semiconductor Apparatus, and Computer Program Therefor, and System for Inspection of Defect to Semiconductor Apparatus
US10339262B2 (en) * 2016-03-29 2019-07-02 Kla-Tencor Corporation System and method for defining care areas in repeating structures of design data
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9646961B1 (en) 2016-04-04 2017-05-09 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, TS-short-configured, and metal-short-configured, NCEM-enabled fill cells
US10740888B2 (en) * 2016-04-22 2020-08-11 Kla-Tencor Corporation Computer assisted weak pattern detection and quantification system
US11443083B2 (en) * 2016-05-12 2022-09-13 Asml Netherlands B.V. Identification of hot spots or defects by machine learning
US11010886B2 (en) * 2016-05-17 2021-05-18 Kla-Tencor Corporation Systems and methods for automatic correction of drift between inspection and design for massive pattern searching
US10192302B2 (en) * 2016-05-25 2019-01-29 Kla-Tencor Corporation Combined patch and design-based defect detection
US10304177B2 (en) * 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
US10902576B2 (en) * 2016-08-12 2021-01-26 Texas Instruments Incorporated System and method for electronic die inking after automatic visual defect inspection
US10204290B2 (en) * 2016-10-14 2019-02-12 Kla-Tencor Corporation Defect review sampling and normalization based on defect and design attributes
US10395358B2 (en) * 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US11047806B2 (en) * 2016-11-30 2021-06-29 Kla-Tencor Corporation Defect discovery and recipe optimization for inspection of three-dimensional semiconductor structures
US11237119B2 (en) * 2017-01-10 2022-02-01 Kla-Tencor Corporation Diagnostic methods for the classifiers and the defects captured by optical tools
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
JP6819451B2 (en) * 2017-05-08 2021-01-27 信越化学工業株式会社 Large synthetic quartz glass substrate and its evaluation method and manufacturing method
KR102301556B1 (en) * 2017-05-22 2021-09-13 케이엘에이 코포레이션 Zone analysis for recipe optimization and measurement
KR102440742B1 (en) * 2017-05-25 2022-09-05 삼성전자주식회사 Inspecting system for semiconductor device and operating method of the same
US10648925B2 (en) * 2017-06-05 2020-05-12 Kla-Tencor Corporation Repeater defect detection
JP2020529621A (en) * 2017-06-06 2020-10-08 ケーエルエー コーポレイション Reticle optimization algorithm and optimal target design
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10620135B2 (en) * 2017-07-19 2020-04-14 Kla-Tencor Corp. Identifying a source of nuisance defects on a wafer
KR102614266B1 (en) * 2017-08-22 2023-12-14 삼성전자주식회사 A method for wafer inspection, and a method for manufacturing a semiconductor device using the same
US11037286B2 (en) * 2017-09-28 2021-06-15 Applied Materials Israel Ltd. Method of classifying defects in a semiconductor specimen and system thereof
KR20190073756A (en) 2017-12-19 2019-06-27 삼성전자주식회사 Semiconductor defect classification device, method for classifying defect of semiconductor, and semiconductor defect classification system
RU2754208C1 (en) * 2018-02-26 2021-08-30 Ф. Хоффманн-Ля Рош Аг Methods and systems for calibration and use of camera for determination of analyte in sample
US10585049B2 (en) * 2018-03-10 2020-03-10 Kla-Tencor Corporation Process-induced excursion characterization
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
US11797950B2 (en) * 2018-08-27 2023-10-24 Basf Corporation Method and system to digitally track and monitor an automotive refinish repair process
JP7113086B2 (en) * 2018-10-18 2022-08-04 株式会社図研 Design support device, design support method and program
US11049745B2 (en) * 2018-10-19 2021-06-29 Kla Corporation Defect-location determination using correction loop for pixel alignment
US11600505B2 (en) 2018-10-31 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for systematic physical failure analysis (PFA) fault localization
CN109583721A (en) * 2018-11-16 2019-04-05 北京奇虎科技有限公司 A kind of appraisal procedure of channel, device and electronic equipment
JP2020161769A (en) * 2019-03-28 2020-10-01 Tasmit株式会社 Image generation method
TWI700644B (en) * 2019-04-02 2020-08-01 精英電腦股份有限公司 Synchronous positioning device and method for circuit board or plate member
JP7245733B2 (en) * 2019-06-26 2023-03-24 株式会社日立ハイテク Wafer observation device and wafer observation method
US11526152B2 (en) * 2019-12-19 2022-12-13 X Development Llc Techniques for determining fabricability of designs by searching for forbidden patterns
CN111708255B (en) * 2020-06-19 2023-03-07 上海华虹宏力半导体制造有限公司 Method for forming SSA table of OPC
JP2022047442A (en) * 2020-09-11 2022-03-24 パナソニックIpマネジメント株式会社 Information processing method and information processing system
US20240053278A1 (en) * 2021-03-30 2024-02-15 Siemens Industry Software Inc. Method and system for detecting a false error on a component of a board inspected by an aoi machine
KR20230033445A (en) 2021-09-01 2023-03-08 에스케이하이닉스 주식회사 Method for failure analysis on semiconductor wafer and system thereof
KR102518783B1 (en) * 2022-06-23 2023-04-06 큐알티 주식회사 Beam controller capable of adaptive deformation, a test apparatus for semiconductor device using the same, and a test method for semiconductor device using the same
US20240112326A1 (en) * 2022-09-30 2024-04-04 Kla Corporation Inspection of adaptive patterned workpieces with dynamic design and deep learning-based rendering
KR102594471B1 (en) * 2022-12-07 2023-10-26 주식회사디아이 Multi-test zone controller for semiconductor test equipment

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02110565A (en) * 1988-10-20 1990-04-23 Matsushita Electron Corp Method and device for photo-mask inspection
US5054097A (en) * 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5252507A (en) * 1990-03-30 1993-10-12 Tactical Fabs, Inc. Very high density wafer scale device architecture
JP3017839B2 (en) * 1991-06-10 2000-03-13 東芝機械株式会社 Defect inspection method and inspection device
JP3730263B2 (en) * 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション Apparatus and method for automatic substrate inspection using charged particle beam
JPH06119452A (en) * 1992-10-02 1994-04-28 Fujitsu Ltd Device and method for processing image
JPH06265480A (en) * 1993-03-12 1994-09-22 Toshiba Corp Pattern defect inspection method and device
JP3370379B2 (en) * 1993-03-19 2003-01-27 株式会社日立製作所 Method for manufacturing semiconductor device
JP3051279B2 (en) * 1993-05-13 2000-06-12 シャープ株式会社 Bump appearance inspection method and bump appearance inspection device
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
JP3466286B2 (en) * 1994-08-09 2003-11-10 富士通株式会社 Pattern inspection method and pattern inspection device
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
JPH0915161A (en) * 1995-06-27 1997-01-17 Hitachi Ltd Method and equipment for defect inspection
JPH10260011A (en) * 1997-03-19 1998-09-29 Olympus Optical Co Ltd Positioning device
JP3564958B2 (en) * 1997-08-07 2004-09-15 株式会社日立製作所 Inspection method and inspection apparatus using electron beam
JPH11251377A (en) * 1998-03-02 1999-09-17 Hitachi Ltd Defect inspection method/device and observation or analysis method/system for defect
JP4073088B2 (en) * 1998-08-20 2008-04-09 株式会社ルネサステクノロジ Manufacturing method of semiconductor substrate
JP2000065747A (en) * 1998-08-26 2000-03-03 Toshiba Corp Apparatus and method for inspection of defect of pattern
JP2000306964A (en) * 1999-04-22 2000-11-02 Hitachi Ltd Inspection data processing method, and inspection data processor
JP2000200356A (en) * 1999-01-08 2000-07-18 Hitachi Ltd Method and device for defect classification
JP3350477B2 (en) * 1999-04-02 2002-11-25 セイコーインスツルメンツ株式会社 Wafer inspection equipment
JP2001230289A (en) * 2000-02-15 2001-08-24 Hitachi Ltd Fault analyzing method and system
US7120285B1 (en) * 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6862142B2 (en) * 2000-03-10 2005-03-01 Kla-Tencor Technologies Corporation Multi-detector microscopic inspection system
US6507800B1 (en) * 2000-03-13 2003-01-14 Promos Technologies, Inc. Method for testing semiconductor wafers
JP3920003B2 (en) * 2000-04-25 2007-05-30 株式会社ルネサステクノロジ Inspection data processing method and apparatus
FR2813145B1 (en) * 2000-08-18 2002-11-29 St Microelectronics Sa METHOD FOR MANUFACTURING A CAPACITOR WITHIN AN INTEGRATED CIRCUIT, AND CORRESPONDING INTEGRATED CIRCUIT
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP3678133B2 (en) * 2000-10-30 2005-08-03 株式会社日立製作所 Inspection system and semiconductor device manufacturing method
EP1337838B1 (en) * 2000-11-30 2007-01-10 SIGMA-C Software AG Method and device for determining the properties of an integrated circuit
JP2002244275A (en) * 2001-02-15 2002-08-30 Toshiba Corp Method and device for defect inspection of photomask and recording medium
JP4014379B2 (en) * 2001-02-21 2007-11-28 株式会社日立製作所 Defect review apparatus and method
JP2003023056A (en) * 2001-07-10 2003-01-24 Hitachi Ltd Method for sorting defect of semiconductor device, method for predicting yield of the semiconductor device, method for manufacturing the semiconductor device, defect-sorting system of semiconductor device and semiconductor device-sorting apparatus, and program used therefor and recording medium
JP4122735B2 (en) * 2001-07-24 2008-07-23 株式会社日立製作所 Semiconductor device inspection method and inspection condition setting method
JP3904419B2 (en) * 2001-09-13 2007-04-11 株式会社日立製作所 Inspection device and inspection system
US6918101B1 (en) * 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6751519B1 (en) * 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
JP2003215060A (en) * 2002-01-22 2003-07-30 Tokyo Seimitsu Co Ltd Pattern inspection method and inspection apparatus
JP2004031709A (en) * 2002-06-27 2004-01-29 Seiko Instruments Inc Waferless measuring recipe generating system
JP4073265B2 (en) * 2002-07-09 2008-04-09 富士通株式会社 Inspection apparatus and inspection method
US6959251B2 (en) * 2002-08-23 2005-10-25 Kla-Tencor Technologies, Corporation Inspection system setup techniques
JP2004117016A (en) * 2002-09-24 2004-04-15 Nec Kansai Ltd Semiconductor wafer defect inspecting apparatus
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
JP2004227886A (en) * 2003-01-22 2004-08-12 Hitachi High-Technologies Corp Scanning electron microscope
JP2004296592A (en) * 2003-03-26 2004-10-21 Dainippon Screen Mfg Co Ltd Defect classification equipment, defect classification method, and program
JP2004294358A (en) * 2003-03-28 2004-10-21 Hitachi High-Technologies Corp Method and apparatus for inspecting defect
JP4230838B2 (en) * 2003-06-27 2009-02-25 株式会社日立ハイテクノロジーズ Inspection recipe setting method and defect inspection method in defect inspection apparatus
US6952653B2 (en) * 2003-04-29 2005-10-04 Kla-Tencor Technologies Corporation Single tool defect classification solution
JP2004333386A (en) * 2003-05-09 2004-11-25 Nec Corp Reticle inspection apparatus and reticle inspection method
JP4229767B2 (en) * 2003-06-30 2009-02-25 株式会社東京精密 Image defect inspection method, image defect inspection apparatus, and appearance inspection apparatus
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
KR20050072166A (en) * 2004-01-02 2005-07-11 삼성전자주식회사 Method for testing wafer
JP4771714B2 (en) * 2004-02-23 2011-09-14 株式会社Ngr Pattern inspection apparatus and method
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
JP4778685B2 (en) * 2004-03-10 2011-09-21 株式会社日立ハイテクノロジーズ Pattern shape evaluation method and apparatus for semiconductor device
JP2005283326A (en) * 2004-03-30 2005-10-13 Hitachi High-Technologies Corp Defect review method and its device
US7137083B2 (en) * 2004-04-01 2006-11-14 Verigy Ipco Verification of integrated circuit tests using test simulation and integrated circuit simulation with simulated failure
JP4154374B2 (en) * 2004-08-25 2008-09-24 株式会社日立ハイテクノロジーズ Pattern matching device and scanning electron microscope using the same
US7760347B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
EP1955225A4 (en) * 2005-11-18 2009-11-04 Kla Tencor Tech Corp Methods and systems for utilizing design data in combination with inspection data

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10242921B2 (en) 2015-11-20 2019-03-26 Samsung Electronics Co., Ltd. Method of forming pattern of semiconductor device from which various types of pattern defects are removed

Also Published As

Publication number Publication date
JP2016029376A (en) 2016-03-03
KR101672157B1 (en) 2016-11-02
JP6127170B2 (en) 2017-05-10
KR20130043223A (en) 2013-04-29
KR101285967B1 (en) 2013-07-12
JP6364036B2 (en) 2018-07-25
JP2016139811A (en) 2016-08-04
JP5965467B2 (en) 2016-08-03
IL234290A (en) 2016-10-31
KR20180088924A (en) 2018-08-07
JP2009516832A (en) 2009-04-23
IL234344A (en) 2016-11-30
IL253189B (en) 2018-11-29
WO2007120280A2 (en) 2007-10-25
IL191527A0 (en) 2008-12-29
KR101565071B1 (en) 2015-11-03
KR20130055014A (en) 2013-05-27
IL234289A (en) 2016-10-31
JP2015062034A (en) 2015-04-02
KR101370154B1 (en) 2014-03-04
KR20130055013A (en) 2013-05-27
WO2007120279A2 (en) 2007-10-25
JP6023116B2 (en) 2016-11-09
JP2013238606A (en) 2013-11-28
KR20080080130A (en) 2008-09-02
IL234318A (en) 2017-04-30
IL234317A (en) 2017-04-30
KR101613048B1 (en) 2016-04-15
JP2012168195A (en) 2012-09-06
JP2014167476A (en) 2014-09-11
JP5932723B2 (en) 2016-06-08
JP5465880B2 (en) 2014-04-09
EP1955225A2 (en) 2008-08-13
IL234343A (en) 2016-11-30
KR101789004B1 (en) 2017-10-20
KR101530456B1 (en) 2015-06-22
JP2016136151A (en) 2016-07-28
KR20160138593A (en) 2016-12-05
IL230260A (en) 2016-05-31
JP6312642B2 (en) 2018-04-18
EP1955225A4 (en) 2009-11-04
KR20150013351A (en) 2015-02-04
KR101885585B1 (en) 2018-08-07
JP5466264B2 (en) 2014-04-09
KR20150033708A (en) 2015-04-01
JP5869657B2 (en) 2016-02-24
JP2016106228A (en) 2016-06-16
IL253189A0 (en) 2017-08-31
IL191527A (en) 2014-09-30
JP2013217940A (en) 2013-10-24
JP2016011962A (en) 2016-01-21
IL234316A (en) 2017-04-30
JP6312641B2 (en) 2018-04-18
KR101665168B1 (en) 2016-10-11
KR20150123962A (en) 2015-11-04
JP2015092173A (en) 2015-05-14
IL234346A (en) 2017-07-31
KR101682838B1 (en) 2016-12-12
IL234319A (en) 2016-10-31
KR20170118240A (en) 2017-10-24
WO2007120280A3 (en) 2008-09-18
IL234345A (en) 2016-11-30
IL230235A (en) 2015-05-31
WO2007120279A3 (en) 2008-11-20
JP6360845B2 (en) 2018-07-18
JP5763712B2 (en) 2015-08-12

Similar Documents

Publication Publication Date Title
KR101613048B1 (en) Methods and systems for utilizing design data in combination with inspection data
US9401014B2 (en) Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) Methods and systems for determining a position of inspection data in design data space
US8139843B2 (en) Methods and systems for utilizing design data in combination with inspection data

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181012

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191011

Year of fee payment: 5