KR20130043223A - Methods and systems for utilizing design data in combination with inspection data - Google Patents

Methods and systems for utilizing design data in combination with inspection data Download PDF

Info

Publication number
KR20130043223A
KR20130043223A KR1020137006368A KR20137006368A KR20130043223A KR 20130043223 A KR20130043223 A KR 20130043223A KR 1020137006368 A KR1020137006368 A KR 1020137006368A KR 20137006368 A KR20137006368 A KR 20137006368A KR 20130043223 A KR20130043223 A KR 20130043223A
Authority
KR
South Korea
Prior art keywords
data
inspection
design data
wafer
location
Prior art date
Application number
KR1020137006368A
Other languages
Korean (ko)
Other versions
KR101665168B1 (en
Inventor
아쇽 쿨카니
브라이언 더피
카이스 마야
고든 로우즈
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Priority claimed from US11/561,735 external-priority patent/US7676077B2/en
Priority claimed from US11/561,659 external-priority patent/US7570796B2/en
Publication of KR20130043223A publication Critical patent/KR20130043223A/en
Application granted granted Critical
Publication of KR101665168B1 publication Critical patent/KR101665168B1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31718Logistic aspects, e.g. binning, selection, sorting of devices under test, tester/handler interaction networks, Test management software, e.g. software for test statistics or test evaluation, yield analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F16/00Information retrieval; Database structures therefor; File system structures therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8854Grading and classifying of flaws
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Quality & Reliability (AREA)
  • Pathology (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Data Mining & Analysis (AREA)
  • Databases & Information Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

검사 데이터와 조합하여 설계 데이터를 활용하는 각종 방법 및 시스템이 제공된다. 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 하나의 컴퓨터-구현 방법은, 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득된 데이터를 소정의 정렬 사이트에 대한 데이터와 정렬하는 단계를 포함한다. 상기 방법은 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계를 또한 포함한다. 또한, 상기 방법은 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대하여 취득된 검사 데이터의 위치를 결정하는 단계를 또한 포함한다. 일 실시예에서, 검사 데이터의 위치는 서브-픽셀 정확도로 결정된다.Various methods and systems are provided for utilizing design data in combination with inspection data. One computer-implemented method for determining the location of inspection data within a design data space includes aligning data obtained by the inspection system with respect to the alignment site on the wafer with data for a given alignment site. The method also includes determining the location of the alignment site on the wafer in the design data space based on the location of the predetermined alignment site in the design data space. The method also includes determining a position of inspection data acquired for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. In one embodiment, the location of the inspection data is determined with sub-pixel accuracy.

Description

검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템{METHODS AND SYSTEMS FOR UTILIZING DESIGN DATA IN COMBINATION WITH INSPECTION DATA}METHODS AND SYSTEMS FOR UTILIZING DESIGN DATA IN COMBINATION WITH INSPECTION DATA}

우선권 주장Priority claim

본 출원은, "Methods and Systems for Utilizing Design data in Combination with Inspection Data"란 제하로 2005년 11월 18일자로 출원된 미국 가특허출원 제60/737,947호, 및 "Methods and Systems for Utilizing Design Data in Combination with Inspection Data"란 제하로 2005년 11월 18일자로 출원된 미국 가특허출원 제60/738,290호의 우선권을 주장하며, 이들 특허 문헌은 여기에 완전히 언급한 것과 같이 참고자료로 포함된다.This application is directed to US Provisional Patent Application No. 60 / 737,947, filed November 18, 2005, entitled "Methods and Systems for Utilizing Design data in Combination with Inspection Data," and "Methods and Systems for Utilizing Design Data in "Combination with Inspection Data" claims the priority of US Provisional Patent Application No. 60 / 738,290, filed November 18, 2005, which is incorporated by reference as if fully set forth herein.

본 발명은 검사 데이터와 조합하여 설계 데이터를 이용하는 방법 및 시스템에 관한 것이다. 특정 실시예는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하고 및/또는 검사 프로세스 동안에 웨이퍼 상의 설계 스페이스 장소의 위치를 실질적으로 정확히 결정하기 위한 컴퓨터-구현 방법에 관한 것이다.The present invention relates to a method and system for using design data in combination with inspection data. Certain embodiments relate to computer-implemented methods for determining the location of inspection data within a design data space and / or for determining the location of a design space location on a wafer substantially accurately during an inspection process.

이하의 설명 및 예는 본 섹션에서의 그것의 포함으로 인하여 종래기술이 되는 것으로 허용되지는 않는다.The following description and examples are not allowed to be prior art due to its inclusion in this section.

집적 회로(IC) 설계는 EDA(electronic design automation), CAD(computer aided design), 및 다른 IC 설계 소프트웨어와 같은 방법 또는 시스템을 사용하여 개발될 수 있다. 회로 패턴 데이터베이스는 IC의 각종 층에 대한 복수의 레이아웃을 표현하는 데이터를 포함한다. 회로 패턴 데이터베이스 내의 데이터는 복수의 레티클에 대한 레이아웃을 결정하는데 사용될 수 있다. 레티클의 레이아웃은 레티클 상의 일 패턴 내의 특징을 규정하는 복수의 다각형을 일반적으로 포함한다. 각각의 레티클은 IC의 각종 층의 하나를 제조하는데 사용될 수 있다. IC의 층은 예컨대, 반도체 기판 내의 연결 패턴, 게이트 절연체 패턴, 게이트 전극 패턴, 층간 절연체 내의 콘택 패턴, 및 금속화 층 상의 상호 접속 패턴을 포함할 수 있다.Integrated circuit (IC) designs may be developed using methods or systems such as electronic design automation (EDA), computer aided design (CAD), and other IC design software. The circuit pattern database contains data representing a plurality of layouts for various layers of the IC. The data in the circuit pattern database can be used to determine the layout for the plurality of reticles. The layout of the reticle generally includes a plurality of polygons that define the features in one pattern on the reticle. Each reticle can be used to make one of the various layers of the IC. The layer of the IC may include, for example, a connection pattern in a semiconductor substrate, a gate insulator pattern, a gate electrode pattern, a contact pattern in an interlayer insulator, and an interconnection pattern on the metallization layer.

여기에 사용된 바와 같은 "설계 데이터"는 IC의 물리적 설계(레이아웃) 및 복잡한 시뮬레이션 또는 간단한 구조 및 불린(Boolean) 조작을 통하여 그 물리적 설계로부터 유도된 데이터를 일반적으로 칭한다.As used herein, "design data" generally refers to data derived from the physical design (layout) and complex simulation of the IC or its physical design through simple structure and Boolean manipulation.

반도체 장치 설계는 IC의 제조 이전에 상이한 프로시저에 의해 입증된다. 예컨대, 반도체 장치 설계는 제조시 리소그라피 후에 모든 특징이 올바르게 인쇄될지를 입증하기 위하여 소프트웨어 시뮬레이션에 의해 체크된다. 그러한 체크는, DRC(design rule checking), ORC(optical rule checking), 및 특정 팹(fab) 및 프로세스에 대하여 측정된 프로세스 시뮬레이션을 포함하는 더욱 복잡한 소프트웨어 기반 입증 접근법과 같은 단계를 통상적으로 포함한다. 물리적 설계 입증 단계의 출력은 잠재적으로 다수의 중요 지점(설계에서는 때때로 "핫 스폿"이라 칭함)을 식별하는데 사용될 수 있다.Semiconductor device design is demonstrated by different procedures prior to fabrication of the IC. For example, semiconductor device designs are checked by software simulation to verify that all features are printed correctly after lithography in manufacturing. Such checks typically include steps such as design rule checking (DRC), optical rule checking (ORC), and more complex software-based verification approaches, including measured process simulation for specific fabs and processes. The output of the physical design verification phase can potentially be used to identify a number of critical points (sometimes referred to in the design as "hot spots").

로직 및 메모리 장치와 같은 반도체 장치를 제조하는 단계는, 반도체 장치의 각종 특징 및 복수의 층을 형성하기 위해, 다수의 반도체 제조 프로세스를 사용하여 반도체 웨이퍼와 같은 기판을 처리하는 단계를 통상적으로 포함한다. 예컨대, 리소그라피는 레티클로부터의 패턴을 반도체 웨이퍼 상에 정렬된 레지스트에 전달하는 단계를 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마(CMP), 에치, 퇴적 및 이온 주입을 비한정적으로 포함한다. 복수의 반도체 장치는 단일 반도체 웨이퍼 상의 배치로 제조되고 나서, 개별적인 반도체 장치로 분리된다.Fabricating semiconductor devices, such as logic and memory devices, typically includes processing a substrate, such as a semiconductor wafer, using a plurality of semiconductor fabrication processes to form various features and multiple layers of the semiconductor device. . For example, lithography is one semiconductor fabrication process that includes transferring a pattern from a reticle to a resist aligned on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical mechanical polishing (CMP), etch, deposition, and ion implantation. The plurality of semiconductor devices are manufactured in batches on a single semiconductor wafer and then separated into individual semiconductor devices.

검사 프로세스는, 제조 프로세스의 높은 수율을 촉진하고 그리하여 높은 수익을 촉진하기 위하여 웨이퍼 상의 결함을 검출하기 위해, 반도체 제조 프로세스 동안의 각종 단계에서 사용된다. 검사는 IC와 같은 반도체 장치 제조의 중요한 부분이 되고 있다. 하지만, 반도체 장치의 치수가 감소함에 따라, 작은 결함이 장치가 고장 나도록 야기할 수 있기 때문에, 수용가능한 반도체 장치의 성공적인 제조에 있어서 검사가 더욱 중요해졌다. 예컨대, 반도체 장치의 치수가 감소함에 따라서, 심지어 비교적 작은 결함도 반도체 장치 내에서 원하지 않는 변형을 야기할 수 있기 때문에, 감소한 사이즈의 결함의 검출이 필요하게 된다.The inspection process is used at various stages during the semiconductor manufacturing process to detect defects on the wafer in order to promote high yield of the manufacturing process and thus high profit. Inspection has become an important part of the manufacture of semiconductor devices such as ICs. However, as the dimensions of the semiconductor device decrease, inspection becomes more important in the successful manufacture of acceptable semiconductor devices because small defects can cause the device to fail. For example, as the dimensions of a semiconductor device are reduced, even a relatively small defect can cause unwanted deformation in the semiconductor device, so a detection of a defect of a reduced size is required.

제조 수율 제어의 다른 중요한 부분은, 결함의 원인이 보정되어, 다른 웨이퍼 또는 레티클 상의 결함의 수를 줄일 수 있도록, 웨이퍼 또는 레티클 상의 결함의 원인을 결정하는 것이다. 종종, 결함의 원인을 결정하는 단계는 결함 유형과, 사이즈, 형태, 구성 등과 같은 결함의 다른 속성(attribute)을 식별하는 단계를 포함한다. 검사가 단지 웨이퍼 상의 결함을 검출하는 단계와, 웨이퍼 또는 레티클 상의 위치, 웨이퍼 또는 레티클 상의 결함의 수, 및 때때로 결함 사이즈와 같은 결함에 관한 제한된 정보를 제공하는 단계를 통상적으로 포함하기 때문에, 결함 리뷰는 검사 결과로부터 결정될 수 있는 개별 결함에 관한 더 많은 정보를 결정하는데 종종 사용된다. 예컨대, 결함 리뷰 툴은 웨이퍼 또는 레티클 상에서 검출된 결함을 재방문하고, 자동 또는 수동의 추가의 방식으로 그 결함을 검사하기 위해 사용될 수 있다.Another important part of manufacturing yield control is determining the cause of defects on a wafer or reticle so that the cause of the defects can be corrected to reduce the number of defects on other wafers or reticles. Often, determining the cause of the defect includes identifying the defect type and other attributes of the defect, such as size, shape, configuration, and the like. Defect review because inspection typically only includes detecting defects on the wafer and providing limited information about the defect, such as the location on the wafer or reticle, the number of defects on the wafer or reticle, and sometimes the defect size Is often used to determine more information about individual defects that can be determined from inspection results. For example, a defect review tool can be used to revisit a detected defect on a wafer or reticle and inspect the defect in an automatic or manual additional manner.

결함 리뷰는 고 배율 광학 시스템 또는 주사형 전자 현미경(SEM)을 사용하여 높은 해상도로 결함에 대한 추가의 정보를 생성하는 단계를 통상적으로 포함한다. 결함 리뷰에 의해 생성된 고 해상도 데이터는 프로파일, 거칠기, 더욱 정확한 사이즈 정보 등과 같은 결함의 속성을 결정하는데 더욱 적합하다. 결함 분석은 전자 분산 x-선 분광학(EDS) 시스템과 같은 시스템을 사용하여 수행될 수 있다. 그러한 결함 분석은 결함의 구성과 같은 정보를 결정하기 위해 수행될 수 있다. 검사, 리뷰, 분석 또는 그것의 일부 조합에 의해 결정된 결함의 속성은 결함의 유형(즉, 결함 범주) 및 가능하게는 결함의 근본 원인을 식별하는데 사용될 수 있다. 이러한 정보는 결함을 줄이거나 제거하기 위해 1 이상의 반도체 제조 프로세스의 1 이상의 매개변수를 감시 및 변경하는데 사용될 수 있다.Defect review typically involves generating additional information about the defect at high resolution using a high magnification optical system or a scanning electron microscope (SEM). The high resolution data generated by the defect review is more suitable for determining the nature of defects such as profile, roughness, more accurate size information, and the like. Defect analysis can be performed using a system such as an electron scattering x-ray spectroscopy (EDS) system. Such defect analysis can be performed to determine information such as the composition of the defect. The nature of a defect determined by inspection, review, analysis or some combination thereof may be used to identify the type of defect (ie, defect category) and possibly the root cause of the defect. This information can be used to monitor and change one or more parameters of one or more semiconductor manufacturing processes to reduce or eliminate defects.

하지만, 설계 룰이 감소함에 따라, 반도체 제조 프로세스는 프로세스의 성능 능력에 대한 한계에 근접하여 조작될 수 있다. 또한, 설계 룰이 감소함에 따라 작은 결함이 장치의 전기적 매개변수에 영향을 미칠 수 있고, 이는 1 이상의 민감한 검사를 유도한다. 따라서, 설계 룰이 감소함에 따라, 검사에 의해 검출된, 잠재적으로 수율 관련적인 결함의 모집단이 극적으로 증가하고, 검사에 의해 검출된 뉴슨스 결함의 모집단 또한 극적으로 증가한다. 따라서, 점점 많은 결함이 웨이퍼 상에서 검출되고, 모든 결함을 제거하기 위해 프로세스를 교정하는 단계가 더욱 어렵고 고-비용적일 수 있다. 그와 같이, 장치의 전기적 매개변수 및 수율에 결함의 어느 것이 실제 영향을 미치는지를 결정하는 단계는, 프로세스 제어 방법이 다른 결함은 크게 무시하면서, 그 결함에만 집중되고 허용한다. 또한, 작은 설계 룰에서, 프로세스 유도 오류는 일부 경우에 체계적일 수 있다. 즉, 프로세스 유도 오류는 설계 내에서 종종 여러 회 반복되는 소정의 설계 패턴에서 오류가 되는 경향이 있다. 공간적으로 체계적이며, 전기적으로 관련적인 결함은, 그러한 결함의 제거가 수율에 대하여 현저한 전체적인 영향을 가질 수 있기 때문에 중요하다. 결함이 장치 매개변수 및 수율에 영향을 미치는지의 여부는 전술한 검사, 리뷰, 및 분석 프로세스로부터 종종 결정될 수 없는데, 이는 이들 프로세스가 전기적 설계에 대한 결함의 위치를 결정할 수 없기 때문이다.However, as the design rules decrease, the semiconductor manufacturing process can be manipulated close to the limits on the performance capabilities of the process. In addition, as design rules decrease, small defects can affect the electrical parameters of the device, leading to one or more sensitive inspections. Thus, as the design rules decrease, the population of potentially yield-related defects detected by the inspection dramatically increases, and the population of Newson's defects detected by the inspection also increases dramatically. Thus, more and more defects are detected on the wafer, and the step of calibrating the process to remove all the defects can be more difficult and expensive. As such, the step of determining which of the defects actually affects the electrical parameters and yield of the device is that the process control method concentrates and permits only those defects, while largely ignoring other defects. Also, in small design rules, process guidance errors can be systematic in some cases. That is, process induction errors tend to be errors in certain design patterns that are often repeated several times in a design. Spatially, systematically and electrically related defects are important because the removal of such defects can have a significant overall impact on yield. Whether a defect affects device parameters and yield can often not be determined from the inspection, review, and analysis processes described above, because these processes cannot determine the location of the defect with respect to the electrical design.

결함 정보를 전기적 설계에 정렬하기 위한 일부 방법 및 시스템이 개발되고 있다. 예컨대, SEM 리뷰 시스템은 겸함의 샘플에 대하여 결함 위치의 더욱 정확한 좌표를 결정하는데 사용될 수 있고, SEM 리뷰 시스템에 의해 보고된 결함 좌표는 전기적 설계 내의 결함의 위치를 결정하는데 사용될 수 있다. 다른 방법은 검사 주의 영역(예컨대, 검사가 수행될 웨이퍼 상에 형성된 장치 패턴의 영역)을 웨이퍼 상에 인쇄된 패턴의 물리적 위치에 정렬시키는 단계를 포함한다. 하지만, 현재, 그 주의 영역은 시스템 에러 및 결점으로 인하여 불과 2㎛의 정확도로 웨이퍼 상에 인쇄되는 패턴에 정렬될 수 있다. 예컨대, 일부 BF(bright field) 검사 시스템은 약 +/- 1㎛의 좌표 정확도를 갖는다. 또한, 현재 사용되는 방법에서의 검사 주의 영역은 비교적 크고, 소망하는 주요 특징뿐만 아니라 여러 비-주요 특징을 포함한다. 설계와 프로세스 상호 의존성으로부터 기인하는 공간적으로 체계적인 DFM(design-for-manufacturability) 결함을 포착하기 위해 검사 시스템의 감지도를 최대화하려는 시도에서, 그 시스템은 CMP 충전 영역과 같은 비-주요 영역 내의 수백만 개의 이벤트에 의해 압도될 수 있다. 예컨대, 이들 뉴슨스 이벤트는 검사 데이터의 포스트-처리에 의해 검사 결과로부터 필터링될 필요가 있다. 또한, 뉴슨스 이벤트 검출은 DFM 애플리케이션에 대한 검사 시스템의 궁극적으로 달성가능한 감지도를 제한시킨다. 높은 비율의 뉴슨스 결함 데이터는 검사 시스템의 런 타임 데이터 처리 능력에 과부하를 걸 수 있어서, 처리량을 저하하고 및/또는 데이터의 손실을 야기한다.Some methods and systems have been developed to align defect information into electrical designs. For example, the SEM review system can be used to determine more accurate coordinates of the defect location for the combined sample, and the defect coordinates reported by the SEM review system can be used to determine the location of the defect in the electrical design. Another method includes aligning an inspection attention area (eg, an area of the device pattern formed on the wafer on which the inspection is to be performed) to a physical location of the pattern printed on the wafer. However, at present, the area of interest can be aligned to the pattern printed on the wafer with an accuracy of only 2 μm due to system errors and defects. For example, some bright field (BF) inspection systems have a coordinate accuracy of about +/− 1 μm. In addition, the test attention area in the currently used methods is relatively large and includes several non-major features as well as the desired main features. In an attempt to maximize the sensitivity of an inspection system to capture spatially systematic design-for-manufacturability (DMF) defects resulting from design and process interdependencies, the system can generate millions of non-critical regions, such as CMP filled regions. Can be overwhelmed by events. For example, these Newsons events need to be filtered from the test results by post-processing the test data. In addition, Newsons event detection limits the ultimately achievable sensitivity of the inspection system for DFM applications. High rates of Newson's defect data can overload the run-time data processing capability of the inspection system, resulting in lower throughput and / or loss of data.

설계 데이터의 실질적으로 매우 정확한 "콘텍스트"가 비한정적으로 결함 검출 알고리즘 또는 방법, 검출 감지도 테일러링(tailoring), 뉴슨스 결함 필터링, 결함 분류, 결함 그룹화, 및 샘플링 기술의 일부로서 설계 콘텍스트 사용에 의한 리뷰를 위한 결함 샘플링과 같은 1 이상의 콘텍스트-기반 기능을 수행하도록 활용될 수 있도록, 검사 데이터를 설계 데이터에 서브-픽셀 정확도(픽셀의 사이즈는 검사되고 있는 구조의 크기 정도일 수 있다)로 정렬시키는 방법 및 시스템을 개발하는 것이 이로울 수 있다.Substantially very accurate "contexts" of design data are not limited to defect detection algorithms or methods, detection sensitivity tailoring, nusons defect filtering, defect classification, defect grouping, and use of design context as part of sampling techniques. How to align inspection data with sub-pixel accuracy (the size of a pixel can be as large as the structure being inspected) in the design data so that it can be utilized to perform one or more context-based functions such as defect sampling for review. And developing a system may be beneficial.

발명의 개요Summary of the Invention

방법 및 시스템의 각종 실시예의 이하의 설명은 첨부한 청구의 범위의 주안점을 한정하는 것으로서 간주하지 않는다.The following description of various embodiments of the methods and systems is not to be taken as limiting the scope of the appended claims.

일 실시예는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득한 데이터를 소정의 정렬 사이트에 대한 데이터(예컨대, 설계 데이터)와 정렬시키는 단계를 포함한다. 소정의 정렬 사이트에 대한 데이터 및 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득된 데이터는 개별적으로 얻어진다. 예컨대, 소정의 정렬 사이트에 대한 데이터는 그 위에 정렬 사이트가 인쇄되는 웨이퍼를 사용하여 취득되지 않는다. 상기 방법은 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계를 또한 포함한다. 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계는 웨이퍼 상의 설계 레이아웃 및/또는 검사 동안의 웨이퍼의 배향에 기초하여 수행될 수 있다. 또한, 상기 방법은 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여, 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대해 취득된 검사 데이터의 위치를 결정하는 단계를 포함한다. 검사 데이터의 위치는 여기에 추가로 기술하는 바와 같이 저장 및 사용될 수 있다. 일 실시예에서, 검사 데이터의 위치는 서브-픽셀(sub-pixel) 정확도로 결정된다.One embodiment relates to a computer-implemented method for determining the location of inspection data within a design data space. The method includes aligning data acquired by the inspection system with respect to alignment sites on the wafer with data (eg, design data) for a given alignment site. Data for a given alignment site and data acquired by the inspection system for the alignment site on the wafer are obtained separately. For example, data for a given alignment site is not obtained using a wafer on which the alignment site is printed. The method also includes determining a location of the alignment site on the wafer in the design data based on the location of the predetermined alignment site in the design data space. Determining the position of the alignment site on the wafer within the design data space may be performed based on the design layout on the wafer and / or the orientation of the wafer during inspection. The method also includes determining a position of inspection data acquired for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. The location of the test data can be stored and used as described further herein. In one embodiment, the location of the inspection data is determined with sub-pixel accuracy.

다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는, 그래픽 데이터 스트림(GDS) 파일, 임의의 다른 표준 기계-판독 가능 파일, 당업계에 알려진 임의의 다른 적합한 파일, 및 설계 데이터베이스와 같은 데이터 구조에 저장되는 설계 데이터를 포함한다. GDSII 파일은 설계 레이아웃 데이터의 표현을 위해 사용된 파일의 일 범주이다. 그러한 파일의 다른 예는 GL1 및 OASIS 파일을 포함한다. 일부 실시예는 여기에서 GDS 또는 GDSII 파일에 대해서 기술되지만, 그 실시예는 데이터 구조 구성, 저장 포맷, 또는 저장 메커니즘에 관계없이 파일의 전체 범주에 동일하게 적용할 수 있다. 다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트가 웨이퍼 상에 어떻게 인쇄될지를 나타내는 1 이상의 시뮬레이션된 이미지를 포함한다.In other embodiments, data for a given alignment site may be stored in a data structure such as a graphical data stream (GDS) file, any other standard machine-readable file, any other suitable file known in the art, and a design database. Contains design data to be stored. GDSII files are a category of files used for the representation of design layout data. Other examples of such files include GL1 and OASIS files. Some embodiments are described herein with respect to GDS or GDSII files, but the embodiments are equally applicable to the entire category of files, regardless of data structure organization, storage format, or storage mechanism. In another embodiment, the data for a given alignment site includes one or more simulated images that indicate how the given alignment site will be printed on the wafer.

일부 실시예에서, 소정의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트의 1 이상의 속성을 포함하고, 정렬 사이트에 대한 데이터는 정렬 사이트의 1 이상의 속성을 포함하고, 정렬 단계는 소정의 정렬 사이트의 1 이상의 속성을 정렬 사이트의 1 이상의 정렬 사이트에 정렬하는 단계를 포함한다. 그러한 일 실시예에서, 소정의 정렬 사이트의 1 이상의 속성은 소정의 정렬 사이트의 중심을 포함하고, 정렬 사이트의 1 이상의 속성은 정렬 사이트의 중심을 포함한다.In some embodiments, the data for a given sorting site includes one or more attributes of a given sorting site, the data for the sorting site includes one or more attributes of a sorting site, and the sorting step includes one of the sorting sites. Sorting the at least one attribute to at least one sorting site of the sorting site. In one such embodiment, one or more attributes of a given alignment site include the center of a given alignment site and one or more attributes of the alignment site include the center of the alignment site.

추가적인 실시예에서, 소정의 정렬 사이트에 대한 데이터는 검사 시스템 또는 다른 이미지 취득 시스템에 의해 취득되고, 설계 데이터에 대한 GDSII 파일과 같은 데이터 구조에 저장된 설계 데이터에 정렬된 데이터를 포함한다. 또 다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는 설계 데이터 스페이스 내의 설계 좌표에 정렬된 표준 기준 다이 이미지의 적어도 일부를 포함한다. 표준 기준 다이 이미지는 취득되거나, 시뮬레이션 되거나, 확대되거나, 또는 그 조합이 이루어진 기준 이미지일 수 있다.In a further embodiment, the data for a given alignment site includes data that is acquired by an inspection system or other image acquisition system and aligned to design data stored in a data structure, such as a GDSII file for design data. In yet another embodiment, the data for a given alignment site includes at least a portion of a standard reference die image aligned to design coordinates in the design data space. The standard reference die image may be a reference image obtained, simulated, enlarged, or a combination thereof.

일부 실시예에서, 소정의 정렬 사이트는 x 및 y 방향에서 특유한 1 이상의 속성을 갖는 적어도 하나의 정렬 특징을 포함한다. 다른 실시예에서, 소정의 정렬 사이트는 적어도 두 개의 정렬 특징을 포함한다. 두 정렬 특징의 첫 번째는 x 방향에서 특유한 1 이상의 속성을 갖는다. 두 정렬 특징의 두 번째는 y 방향에서 특유한 1 이상의 속성을 갖는다.In some embodiments, a given alignment site includes at least one alignment feature having one or more attributes unique in the x and y directions. In another embodiment, a given alignment site includes at least two alignment features. The first of the two alignment features has one or more attributes unique in the x direction. The second of the two alignment features has one or more attributes unique in the y direction.

추가적인 실시예에서, 상기 방법은 검사 시스템을 사용하여 소정의 정렬 사이트를 선택하는 단계를 포함한다. 그러한 일 실시예에서, 소정의 정렬 사이트를 선택하기 위해 사용된 검사 시스템 또는 다른 이미지 취득 시스템의 촬상 모드는 검사 데이터를 취득하기 위해 사용된 검사 시스템의 촬상 모드와는 상이하다. 일부 실시예에서, 정렬 사이트의 위치를 결정하는 단계는 웨이퍼의 검사 이전에 수행되며, 검사 데이터의 위치를 결정하는 단계는 웨이퍼의 검사 동안에 수행된다. 다른 실시예에서, 검사 데이터의 위치를 결정하는 단계는 웨이퍼의 검사에 후속하여 수행된다. 그러한 일 실시예에서, 검사 데이터의 위치를 결정하는 단계는, 결함에 대응하지 않는 검사 데이터의 부분에 대해서가 아니라, 웨이퍼 상에서 검출된 결함에 대응하는 검사 데이터의 부분에 대해 수행된다. 이러한 방식에서, 설계 데이터 스페이스 내의 검사 데이터의 위치는 웨이퍼 상의 결함 있는 장소에서 취득된 검사 데이터(예컨대, 패치 이미지)에 대해서만 결정된다.In a further embodiment, the method includes selecting a predetermined alignment site using a inspection system. In one such embodiment, the imaging mode of the inspection system or other image acquisition system used to select a given alignment site is different from the imaging mode of the inspection system used to acquire inspection data. In some embodiments, determining the location of the alignment site is performed prior to the inspection of the wafer, and determining the location of the inspection data is performed during the inspection of the wafer. In another embodiment, the step of determining the location of the inspection data is performed subsequent to the inspection of the wafer. In one such embodiment, determining the location of the inspection data is performed on the portion of inspection data corresponding to the defect detected on the wafer, not on the portion of inspection data not corresponding to the defect. In this manner, the location of inspection data in the design data space is determined only for inspection data (eg, patch images) acquired at a defective location on the wafer.

다른 실시예에서, 정렬 사이트에 대한 데이터는 검사 데이터의 스와스(swath) 내에 존재한다. 그러한 일 실시예에서, 설계 데이터 스페이스 내의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 스와스의 위치를 결정하는 단계와, 스와스의 위치에 기초하여 설계 데이터 스페이스 내의 검사 데이터의 추가적인 스와스의 위치를 결정하는 단계를 포함한다.In another embodiment, the data for the alignment site is within a swath of the inspection data. In one such embodiment, determining the position of the swath in the design data space based on the position of the alignment site in the design data space, and the position of the additional swath of inspection data in the design data space based on the position of the swath. Determining a step.

일 실시예에서, 상기 방법은 설계 데이터 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위 상의 결함을 검출하기 위한 감지도(sensitivity)를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 설계 데이터의 1 이상의 속성은 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층, 다른 프로세스 계층 또는 그것의 일부 조합에 대하여, 설계 데이터, 다른 설계 데이터, 또는 그것의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼, 또는 그것의 일부 조합에 대하여 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여 선택된다. 그러한 다른 실시예에서, 설계 데이터의 1 이상의 속성은 다른 부위에서 이전에 검출된 결함의 수율 임계(yield criticality), 상기 다른 부위에서 이전에 검출된 결함의 오류 확률(fault probability), 또는 그것의 일부 조합에 기초하여 선택된다.In one embodiment, the method includes determining a sensitivity for detecting a defect on another portion of the wafer based on the location of the inspection data in the design data and one or more attributes of the design data in the design data space. It includes. In one such embodiment, the one or more attributes of the design data are relative to the process layer, another process layer, or some combination thereof, for the design data, other design data, or some combination thereof, for which the inspection data for the wafer is obtained. The selection is made based on one or more attributes of inspection data previously acquired for a wafer, another wafer, or some combination thereof. In such other embodiments, the one or more attributes of the design data may include yield criticality of defects previously detected at other sites, fault probability of defects previously detected at other sites, or portions thereof. It is selected based on the combination.

다른 실시예에서, 설계 데이터 스페이스 내의 검사 데이터의 위치 및 콘텍스트 맵(context map)에 기초하여, 웨이퍼의 다른 부위 상의 결함을 검출하기 위한 감지도를 결정하는 단계를 포함하며, 콘텍스트 맵은 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성에 대한 값을 포함한다. 그러한 일 실시예에서, 감지도를 결정하는 단계는, 웨이퍼의 다른 부위 상의 결함을 검출하기 위해 검사 데이터에 사용되는 감지도 임계치를 결정하는 단계를 포함한다. 그러한 다른 실시예에서, 감지도를 결정하는 단계는 웨이퍼의 검사 동안에 검사 시스템에 의해 수행된다. 그러한 추가의 실시예에서, 감지도를 결정하는 단계는 웨이퍼에 대한 검사 데이터의 취득이 완료된 후에 수행된다.In another embodiment, determining a sensitivity for detecting a defect on another portion of a wafer based on a context map and a location of inspection data in a design data space, wherein the context map is a design data space. Contains values for one or more attributes of the design data across. In one such embodiment, determining the sensitivity includes determining a sensitivity threshold used for inspection data to detect defects on other portions of the wafer. In another such embodiment, determining the sensitivity is performed by the inspection system during inspection of the wafer. In such further embodiments, determining the sensitivity is performed after acquisition of inspection data for the wafer is complete.

추가적인 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 검사 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위 상의 결함을 검출하기 위한 감지도를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 검사 데이터의 1 이상의 속성은, 1 이상의 이미지 노이즈 속성, 결함이 다른 부위에서 검출되었는지, 또는 그것의 일부 조합을 포함한다.In a further embodiment, the method includes detecting for detecting a defect on another portion of the wafer based on the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. Determining the degree. In one such embodiment, the one or more attributes of the inspection data include one or more image noise attributes, whether a defect was detected at another site, or some combination thereof.

일부 실시예에서, 상기 방법은 웨이퍼 상에서 제조되고 있는 장치의 설계에 대한 개략적인 데이터(schematic data)의 1 이상의 속성, 장치에 대한 물리적 레이아웃의 기대되는 전기적 거동의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여, 웨이퍼 상의 결함을 검출하기 위한 1 이상의 매개변수를 변경하는 단계를 더 포함한다. 다른 실시예에서, 상기 방법은 웨이퍼에 대해 수행되는 전기 테스트 프로세스의 1 이상의 매개변수에 기초하여, 검사 데이터를 사용하여 웨이퍼 상의 결함을 검출하기 위한 1 이상의 매개변수를 변경하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 검사 데이터를 사용하여 웨이퍼 상에서 검출되는 결함에 기초하여 웨이퍼 상에서 수행되는 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다.In some embodiments, the method comprises one or more properties of schematic data about the design of the device being manufactured on a wafer, one or more properties of expected electrical behavior of the physical layout for the device, or some combination thereof. Based on the step of changing the one or more parameters for detecting a defect on the wafer. In another embodiment, the method includes changing one or more parameters for detecting defects on the wafer using inspection data based on one or more parameters of an electrical test process performed on the wafer. In a further embodiment, the method includes changing one or more parameters of an electrical test process performed on the wafer based on defects detected on the wafer using inspection data.

추가의 실시예에서, 상기 방법은 피드백(feedback) 제어 기술을 사용하여, 상기 방법의 1 이상의 단계의 결과에 기초하여, 검사 시스템에 의해 수행되는 검사 프로세스의 1 이상의 매개변수를 주기적으로 변경하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 피드백 제어 기술을 사용하여, 방법의 1 이상의 단계의 결과에 기초하여, 검사 시스템에 의해 수행되는 검사 프로세스의 1 이상의 매개변수를 자동으로 변경하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 그 방법의 1 이상의 단계의 결과를 사용하여 지식 베이스(knowledge base)를 생성하는 단계와, 그 지식 베이스를 사용하여 검사 시스템에 의해 수행되는 검사 프로세스를 생성하는 단계를 포함한다.In a further embodiment, the method uses a feedback control technique to periodically change one or more parameters of the inspection process performed by the inspection system based on the results of the one or more steps of the method. It includes. In another embodiment, the method includes using a feedback control technique to automatically change one or more parameters of the inspection process performed by the inspection system based on the results of the one or more steps of the method. In another embodiment, the method may further comprise generating a knowledge base using the results of one or more steps of the method, and generating a test process performed by the inspection system using the knowledge base. It includes.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 결함에 대응하는 검사 데이터의 부분의 위치와 콘텍스트 맵에 기초하여, 웨이퍼의 다른 부위 상에서 검출된 결함을 분류하는 단계를 포함하며, 그 콘텍스트 맵은 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성에 대한 값을 포함한다. 그러한 일 실시예에서, 분류 단계는 웨이퍼의 검사 동안에 상기 검사 시스템에 의해 수행된다. 그러한 다른 실시예에서, 분류 단계는 웨이퍼에 대한 상기 검사 데이터의 취득이 완료된 후에 수행된다.In another embodiment, the method includes classifying the detected defect on another portion of the wafer based on the location and context map of the portion of the inspection data corresponding to the defect in the design data space, the context map comprising the design. Contains values for one or more attributes of the design data over the data space. In one such embodiment, the sorting step is performed by the inspection system during inspection of the wafer. In another such embodiment, the sorting step is performed after the acquisition of the inspection data for the wafer is completed.

다른 실시예에서, 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함한다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계와, 설계 데이터 스페이스 내의 결함의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함이 뉴슨스(nuisance) 결함인지를 판정하는 단계를 포함한다. 그러한 일 실시예에서, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 뉴슨스 결함인 것으로 판정되지 않은 상기 결함이 체계적(systematic) 또는 랜덤(random) 결함인지를 판정하는 단계를 포함한다. 결함이 공간적으로 체계적 결함 또는 랜덤 결함인지를 판정하는 단계는 이력 팹 데이터 또는 설계 데이터 내의 핫 스폿에 대응하는 다른 데이터와 같은 다른 정보와 조합하여, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 수행할 수 있다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 검사 데이터의 1 이상의 통계적으로 결정된 속성에 기초하여, 결함이 체계적 또는 랜덤 결함인지를 결정하는 단계를 또한 포함할 수 있다. 일 실시예에서, 검사 데이터는 PWQ(process window qualification)에 대해 취득된다. 다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와 상기 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함을 분류하는 단계를 포함한다.In another embodiment, the inspection data includes data about defects on the wafer. In one such embodiment, the method includes determining a location of a defect in a design data space based on a location of inspection data in the design data space, and at least one of the location of the defect in the design data space and the design data in the design data space. Based on the attribute, determining whether the defect is a nuisance defect. In one such embodiment, based on one or more attributes of the design data in the design data space, determining whether the defect that is not determined to be a Newson's defect is a systematic or random defect. Determining whether a defect is spatially systematic or random is based on one or more attributes of the design data in the design data space, in combination with other information, such as historical fab data or other data corresponding to hot spots in the design data. Can be done. In one such embodiment, the method may also include determining whether the defect is a systematic or random defect based on the location of the inspection data in the design data space and one or more statistically determined attributes of the inspection data. In one embodiment, test data is acquired for process window qualification (PWQ). In another embodiment, the method includes classifying the defects based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space.

일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함을 비닝(binning) 하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 설계 데이터가 위에 인쇄되는 레티클에 대하여 취득된 레티클 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 검사 데이터의 1 이상의 속성, 및 설계 데이터가 위에 인쇄되는 레티클에 대하여 취득한 레티클 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 검사 데이터의 1 이상의 속성, 및 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층, 다른 프로세스 계층 또는 그것의 일부 조합에 대하여, 설계 데이터, 다른 설계 데이터 또는 그것의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼 또는 그것의 일부 조합에 대해 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다.In one embodiment, the method includes binning a defect based on a location of the inspection data in the design data space and one or more attributes of the design data in the design data space. In some embodiments, the method is based on the location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the reticle inspection data obtained for the reticle from which the design data is printed above. Binning the defects into groups. In a further embodiment, the method includes binning the defects into groups based on a location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. In some embodiments, the method further includes the location of inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, and the reticle inspection data obtained for the reticle to which the design data is printed above. Based on one or more attributes, binning the defects into groups. In a further embodiment, the method includes a location of inspection data in a design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, and a process hierarchy from which inspection data for the wafer is obtained, another process. For a layer or some combination thereof, group defects based on one or more attributes of inspection data previously acquired for a wafer, another wafer, or some combination thereof, for design data, other design data, or some combination thereof. Binning.

전술한 바와 같이, 검사 데이터는 웨이퍼 상의 결함에 대한 데이터를 포함할 수 있다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 리뷰를 위해 상기 결함의 적어도 일부를 선택하는 단계를 포함한다. 그러한 추가의 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함이 리뷰되는 시퀀스(sequence)를 결정하는 단계를 포함한다. 또 다른 그러한 실시예에서, 상기 방법은 리뷰를 위해 결함의 적어도 일부를 선택하는 단계를 포함하고, 결함의 적어도 일부는 설계 데이터의 1 이상의 속성의 상이한 값을 갖는 설계 데이터 스페이스 내의 설계 데이터의 각각의 부분 내에 위치한 적어도 하나의 결함을 포함한다. 결함 리뷰 샘플링은 결함이 비닝되는 그룹의 1 이상의 속성에 기초하여 또한(또는 대안적으로) 수행될 수 있다. 결함은 여기에 추가로 기술하는 바와 같이 비닝될 수 있고, 그룹의 1 이상의 속성은 설계 데이터의 1 이상의 속성에 기초하여 또는 여기에 기술한 임의의 다른 방식으로 결정될 수 있다.As discussed above, the inspection data may include data about defects on the wafer. In one such embodiment, the method includes selecting at least a portion of the defect for review based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. In such further embodiments, the method includes determining a sequence in which defects are reviewed based on the location of inspection data in the design data space and one or more attributes of the design data in the design data space. In another such embodiment, the method includes selecting at least a portion of a defect for review, wherein at least a portion of each of the design data in the design data space has a different value of one or more attributes of the design data. At least one defect located within the portion. Defect review sampling may also be performed (or alternatively) based on one or more attributes of the group in which the defect is binned. Defects may be binned as described further herein, and one or more attributes of the group may be determined based on one or more attributes of the design data or in any other manner described herein.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위에 대해 취득한, 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 소정의 속성을 추출하는 단계를 포함한다. 그러한 일 실시예에서, 설계 데이터의 1 이상의 속성은, 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층, 다른 프로세스 계층 또는 그것의 일부 조합에 대하여, 설계 데이터, 다른 설계 데이터 또는 그것의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼 또는 그것의 일부 조합에 대해 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여 선택된다.In another embodiment, the method further comprises one of the outputs from one or more detectors of the inspection system, acquired for other portions of the wafer, based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. And extracting the above predetermined attribute. In one such embodiment, the one or more attributes of the design data are relative to the design data, other design data, or some combination thereof, for the process layer, another process layer, or some combination thereof, from which inspection data for the wafer is obtained. The selection is made based on one or more attributes of inspection data previously acquired for a wafer, another wafer, or some combination thereof.

다른 실시예에서, 상기 방법은, 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 상기 검사 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위에 대해 취득한, 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 소정의 속성을 추출하는 단계를 포함한다. 그러한 일 실시예에서, 검사 데이터의 1 이상의 속성은 1 이상의 이미지 노이즈 속성, 1 이상의 결함이 상기 다른 부위에서 검출되었는지, 또는 그것의 일부 조합을 포함한다.In another embodiment, the method includes an inspection acquired for another portion of the wafer based on the location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. Extracting at least one predetermined attribute of the output from at least one detector of the system. In one such embodiment, the at least one attribute of the inspection data includes at least one image noise attribute, whether at least one defect was detected at the other site, or some combination thereof.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 웨이퍼 상에서 검출된 1 이상의 결함에 대한 오류 확률 값을 결정하는 단계를 포함한다.In some embodiments, the method includes determining an error probability value for one or more defects detected on the wafer based on the location of the inspection data in the design data space and the one or more attributes of the design data in the design data space. do.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여, 설계 데이터 스페이스 내의 웨이퍼 상에서 검출된 결함의 위치 좌표를 결정하는 단계와, 설계 데이터의 평면도에 기초하여, 결함의 위치 좌표를 설계 셀 좌표로 이동하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 오버레이 공차(overlay tolerance)를 사용하여 결함 주위의 상이한 영역을 결정하는 단계와, 1 이상의 셀 유형이 체계적으로 결함 있는 셀 유형인지를 결정하고, 체계적으로 결함 있는 셀 유형 내의 1 이상의 체계적으로 결함 있는 구조의 1 이상의 위치를 결정하기 위해, 상기 영역을 사용하여, 1 이상의 셀 유형에 대하여 결함 리피터(repeater) 분석을 수행하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 체계적으로 결함 있는 셀 유형에 가까이 위치한 셀에 대한 설계 데이터의 1 이상의 속성, 구조, 또는 그것의 일부에 기초하여, 공간적으로 체계적인 결함이 체계적으로 결함 있는 셀 유형 내에서 발생하는지를 결정하는 단계를 포함한다.In another embodiment, the method includes determining position coordinates of a defect detected on a wafer in the design data space based on a position of inspection data in the design data space, and based on a plan view of the design data, position coordinates of the defect. Moving to the design cell coordinates. In one such embodiment, the method uses overlay tolerance to determine different areas around the defect, determines whether one or more cell types are systematically defective cell types, and systematically defective cells. Performing defect repeater analysis for one or more cell types, using the region, to determine one or more locations of one or more systematically defective structures in the type. In one such embodiment, the method is based on one or more attributes, structures, or portions thereof of the design data for a cell located close to the systematically defective cell type, wherein the spatially systematic defect is within the systematically defective cell type. Determining whether it occurs in the.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여, 설계 데이터 스페이스 내의 웨이퍼 상에서 검출된 결함의 위치를 결정하는 단계와, 설계 데이터의 1 이상의 속성에 대한 소정 값이 설계 데이터 스페이스 내의 위치의 함수로서 저장되는 데이터 구조를 사용하여, 결함의 위치에 대응하는 설계 데이터의 1 이상의 속성에 대한 값을 결정하는 단계를 포함한다.In another embodiment, the method includes determining a location of a detected defect on a wafer in a design data space based on a location of inspection data in the design data space, and wherein the predetermined value for one or more attributes of the design data is determined. Using a data structure stored as a function of a location within the space, determining a value for one or more attributes of the design data corresponding to the location of the defect.

추가의 실시예에서, 레티클 검사 시스템에 의해 생성된 레티클의 이미지는 설계 데이터 스페이스 내의 설계 데이터로서 사용된다. 레티클은 설계 데이터를 웨이퍼 상에 인쇄하는데 사용된다. 다른 실시예에서, 레티클 이미지가 웨이퍼 상에 어떻게 인쇄될지를 나타내는 시뮬레이션된 이미지는 설계 데이터 스페이스 내의 설계 데이터로서 사용된다. 추가의 실시예에서, 상기 방법은 웨이퍼 상에 설계 데이터를 인쇄하는데 사용되는 레티클에 대해 취득한 레티클 검사 데이터에 기초하여, 설계 데이터 스페이스 내의 설계 데이터에 대한 콘텍스트 맵을 생성하는 단계를 포함한다.In a further embodiment, the image of the reticle generated by the reticle inspection system is used as design data in the design data space. The reticle is used to print design data on the wafer. In another embodiment, a simulated image showing how the reticle image will be printed on the wafer is used as design data in the design data space. In a further embodiment, the method includes generating a context map for the design data in the design data space based on the reticle inspection data obtained for the reticle used to print the design data on the wafer.

일 실시예에서, 설계 데이터 스페이스 내의 검사 데이터의 위치 및 콘텍스트 맵을 사용하여 웨이퍼 상에의 레티클 결함의 인쇄 가능성을 결정하기 위해, 웨이퍼 검사 프로세스를 최적화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 표준 기준 다이 기반 검사에 대해 검사 데이터와, 표준 기준 다이 기반 검사를 위한 표준 기준 다이를 사용하여, 웨이퍼 상의 결함을 검출하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 검사 데이터, 표준 기준 다이, 및 표준 기준 다이 기반 검사에 대한 섭동(攝動) 매트릭스 내의 표준 기준 다이와 관련된 웨이퍼 노이즈의 표현(noise representation)을 사용하여 웨이퍼 상의 결함을 검출하는 단계를 포함한다.In one embodiment, optimizing the wafer inspection process to determine the printability of reticle defects on the wafer using the location and context map of the inspection data within the design data space. In another embodiment, the method includes detecting defects on the wafer using inspection data for standard reference die based inspection and standard reference die for standard reference die based inspection. In a further embodiment, the method detects defects on the wafer using a representation of wafer noise associated with inspection data, standard reference die, and standard reference die in a perturbation matrix for standard reference die based inspection. It includes a step.

추가의 실시예에서, 웨이퍼 및 추가적인 웨이퍼는 웨이퍼 레벨 프로세스 매개변수 조정을 사용하여 처리되며, 상기 방법은 웨이퍼와 추가적인 웨이퍼 상의 다이에 대한 검사 데이터를 통상적인 표준 기준 다이에 비교함으로써, 웨이퍼 및 추가적인 웨이퍼 상의 결함을 검출하는 단계를 포함한다.In further embodiments, the wafer and additional wafers are processed using wafer level process parameter adjustments, which method compares inspection data for the wafers and the die on the additional wafers to conventional standard reference dies, thereby providing a wafer and additional wafers. Detecting a defect in the phase.

전술한 각각의 단계는 설계 데이터 스페이스 내의 검사 데이터의 가까운 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 이력 팹 데이터, 또는 설계 데이터 내의 핫 스폿에 대응하는 다른 데이터에 기초하여 수행할 수 있다. 일부 실시예에서, 상기 방법은 결함과, 그 결함이 비닝되는 그룹의 1 이상의 속성, 또는 여기에 기술한 임의의 방법의 임의의 다른 결과에 기초하여 통계적 프로세스 제어(SPC)를 수행하는 단계를 포함할 수 있다. 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each of the foregoing steps may be performed based on a close location of inspection data in the design data space, one or more attributes of the design data in the design data space, historical fab data, or other data corresponding to hot spots in the design data. In some embodiments, the method includes performing statistical process control (SPC) based on a defect and one or more attributes of the group in which the defect is binned, or any other result of any of the methods described herein. can do. Each embodiment of the foregoing method may include any other step of any of the methods described herein. Each embodiment of the method described above may be performed by any system embodiment described herein.

다른 실시예는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템에 관한 것이다. 상기 시스템은 설계 데이터를 포함하는 저장 매체를 포함한다. 시스템은 저장 매체에 결합된 프로세서를 또한 포함한다. 프로세서는 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득된 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬하도록 구성된다. 프로세서는 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여, 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하도록 또한 구성된다. 또한, 프로세서는 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여, 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대해 취득한 검사 데이터의 위치를 결정하도록 구성된다. 시스템의 본 실시예는 여기에 기술하는 바와 같이 추가로 구성될 수 있다.Another embodiment is directed to a system configured to determine the location of inspection data within a design data space. The system includes a storage medium containing the design data. The system also includes a processor coupled to the storage medium. The processor is configured to align the data obtained by the inspection system with respect to the alignment site on the wafer to the data for a given alignment site. The processor is further configured to determine the location of the alignment site on the wafer in the design data space based on the location of the predetermined alignment site in the design data space. The processor is also configured to determine the position of inspection data acquired for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. This embodiment of the system can be further configured as described herein.

추가의 실시예는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템에 관한 것이다. 이러한 시스템은 웨이퍼 상의 정렬 사이트에 대한 데이터와, 웨이퍼에 대한 검사 데이터를 취득하도록 구성된 검사 시스템을 포함한다. 시스템은 설계 데이터를 포함하는 저장 매체를 또한 포함한다. 또한, 시스템은 검사 시스템 및 저장 매체에 결합되는 프로세서를 포함한다. 프로세서는, 웨이퍼 상의 정렬 사이트에 대한 데이터를 소정의 정렬 사이트에 대한 데이터와 정렬하도록 구성된다. 프로세서는 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하도록 구성된다. 또한, 프로세서는 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된다.Further embodiments relate to a system configured to determine the location of inspection data within a design data space. Such a system includes data for an alignment site on a wafer and an inspection system configured to obtain inspection data for the wafer. The system also includes a storage medium containing the design data. The system also includes a processor coupled to the inspection system and the storage medium. The processor is configured to align data for an alignment site on the wafer with data for a given alignment site. The processor is configured to determine the position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site in the design data space. The processor is also configured to determine the location of the inspection data in the design data space based on the location of the alignment site on the wafer in the design data space.

추가적인 실시예는 구동시(예컨대, 검사 프로세스 동안) 검사 스페이스 내의 설계 데이터-기반 주의 영역(예컨대, 검사 영역, 높은 감지도로 검사될 영역, 또는 낮은 감지도로 검사될 영역)의 위치를 결정하도록 구성된다. 또한, 시스템은 데이터의 취득된 픽셀을 검사 프로세스 동안에 정확한 주의 영역에 실질적으로 정확히 할당하도록 구성될 수 있다. 주의 영역의 사이즈 및 빈도는 다이 상의 설계 구조의 사이즈 및 빈도에 접근할 수 있다. 이러한 시스템은 여기에 기술하는 바와 같이 추가로 구성될 수 있다.An additional embodiment is configured to determine the position of a design data-based attention area (eg, an inspection area, an area to be inspected with high sensitivity, or an area to be inspected with low sensitivity) in the inspection space during operation (eg, during the inspection process). . In addition, the system may be configured to assign the acquired pixels of data substantially accurately to the correct region of interest during the inspection process. The size and frequency of the attention area can approach the size and frequency of the design structure on the die. Such a system may be further configured as described herein.

추가의 실시예는 웨이퍼 상에서 검출된 결함을 비닝하는 컴퓨터-구현 방법에 관한 것이다. 그 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 비교하는 단계를 포함한다. 그 방법은 상기 비교 단계에 기초하여 그 부분 내의 설계 데이터가 적어도 유사한지를 판정하는 단계를 포함한다. 그 부분 내의 설계 데이터가 적어도 유사한지의 판정 단계는, 1 이상의 부분을 회전 및/또는 반사시키는 단계를 포함할 수 있다. 또한, 상기 방법은 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 그 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 추가로 포함한다.Further embodiments relate to computer-implemented methods for binning detected defects on a wafer. The method includes comparing a portion of design data close to the location of a defect in the design data space. The method includes determining whether design data in the portion is at least similar based on the comparing step. Determining whether the design data in the portion is at least similar may include rotating and / or reflecting one or more portions. The method also includes binning the defects into groups such that portions of design data close to the location of the defects within each group are at least similar. The method further includes storing the result of the binning step in a storage medium.

일 실시예에서, 부분의 치수는 결함을 검출하는데 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 부정확성, 설계 데이터의 1 이상의 속성, 검사 시스템의 결함 사이즈 에러, 또는 그것의 일부 조합에 적어도 부분적으로 기초하여 결정된다. 다른 실시예에서, 그 부분의 적어도 일부의 치수는 상이하다.In one embodiment, the dimensions of the portion may include the location of the defect reported by the inspection system used to detect the defect, coordinate inaccuracy of the inspection system, one or more attributes of the design data, a defect size error of the inspection system, or some combination thereof. Is determined based at least in part on. In other embodiments, the dimensions of at least some of the portions are different.

일 실시예에서, 그 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 이러한 방식에서, 여기에 기술한 방법에 사용된 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함할 수 있다. 여기에 기술한 방법에서 1 이상의 설계 층에 대한 설계 데이터를 사용하는 것은, 결함이 1 이상의 층 상의 결함을 검출할 수 있는 BF(bright field) 검사를 사용하여 검출되는 때와, 위치의 임계성이 설계의 이전 또는 이후의 층 상에서 무엇이 발생했는지에 의존할 수 있는지와 같은 인스턴스(instance)에서 유용할 수 있다. 전술한 방법은 관심 있는 데이터의 일부 또는 전부를 적어도 유사한 설계 데이터를 갖는 그룹으로 비닝하는 단계를 포함할 수 있다.In one embodiment, the design data in that portion includes design data for one or more design layers. In this manner, the design data used in the methods described herein may include design data for one or more design layers. The use of design data for one or more design layers in the methods described herein means that when a defect is detected using bright field (BF) inspection that can detect defects on one or more layers, the criticality of the location is designed. It may be useful in instances such as depending on what happened on the layer before or after. The method described above may include binning some or all of the data of interest into a group having at least similar design data.

다른 실시예에서, 비교 단계는 상기 부분의 적어도 일부 내의 설계 데이터의 전체를 그 부분의 다른 부위 내의 설계 데이터에 비교하는 단계를 포함한다. 다른 실시예에서, 비교 단계는 그 부분의 적어도 일부 내의 설계 데이터의 다른 영역을 그 부분의 다른 부위 내의 설계 데이터에 비교하는 단계를 포함한다.In another embodiment, the comparing step includes comparing all of the design data in at least a portion of the portion to design data in another portion of the portion. In another embodiment, the comparing step includes comparing another area of design data in at least a portion of the portion to design data in another portion of the portion.

일 실시예에서, 상기 방법은 웨이퍼 상의 정렬 사이트에 대한 검사 시스템에 의해 취득된 데이터를 소정의 정렬 사이트에 대한 데이터와 비교함으로써 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함 검사 동안에 검사 시스템에 의해 취득한 데이터를 리뷰에 의해 결정된 설계 데이터 내의 위치에 비교함으로써, 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다.In one embodiment, the method includes determining a location of a defect in a design data space by comparing the data obtained by the inspection system for the alignment site on the wafer with data for a given alignment site. In another embodiment, the method includes determining a location of a defect in the design data space by comparing the data acquired by the inspection system during the defect inspection to a location in the design data determined by the review.

정렬 정확도는 설계로부터 웨이퍼로의 좌표 변환 및 검사 시스템의 좌표 정확도 양쪽에 의존한다. 따라서, 바람직하게는, 검사 시스템에 의해 보고된 좌표는 실질적으로 정확하다. 또한, 정렬 사이트에 대한 측정은 논리 검사 좌표를 사용하여 수행될 수 있다. (검사 시스템은 논리 웨이퍼 좌표를 출력하지만, 주사형 전자 현미경(SEM)과 같은 결함 리뷰 툴은 물리적 웨이퍼 좌표를 측정한다. 따라서, 웨이퍼 상의 물리적 좌표는 기대되는 웨이퍼 레이아웃에의 비교시, 레티클 오프셋, 스케일링(scaling) 및 미소한 회전에서의 차이를 고려하기 위해 검사 시스템에 의해 보정될 수 있다. 그와 같이, 그러한 보정은 레티클로부터 레티클로의 두 좌표 시스템 사이에서의 에러를 줄이기 위해 SEM 측정에 인가될 수 있다.Alignment accuracy depends on both the coordinate transformation from the design to the wafer and the coordinate accuracy of the inspection system. Thus, preferably, the coordinates reported by the inspection system are substantially accurate. In addition, measurements for alignment sites can be performed using logical check coordinates. (The inspection system outputs logical wafer coordinates, but defect review tools such as scanning electron microscopes (SEMs) measure the physical wafer coordinates. Thus, the physical coordinates on the wafer are compared with the reticle offset, when compared to the expected wafer layout. Can be corrected by the inspection system to account for differences in scaling and minute rotations As such, such correction is applied to SEM measurements to reduce errors between two coordinate systems from the reticle to the reticle Can be.

일 실시예에서, 비닝 단계는 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 각 그룹 내의 결함의 1 이상의 속성이 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 포함한다. 그러한 실시예에서, 1 이상의 속성은 결함이 검출되는 검사 결과의 1 이상의 속성, 검사의 1 이상의 매개변수, 또는 그것의 일부 조합을 포함한다.In one embodiment, the binning step includes binning the defects into groups such that portions of design data close to the location of the defects in each group are at least similar, and one or more attributes of the defects in each group are at least similar. In such embodiments, the one or more attributes include one or more attributes of the inspection results for which a defect is detected, one or more parameters of the inspection, or some combination thereof.

일부 실시예에서, 결함의 위치에 가까운 설계 데이터의 부분은 결함이 위치하는 설계 데이터를 포함한다. 다른 실시예에서, 결함의 위치에 가까운 설계 데이터의 부분은 결함의 위치 주변의 설계 데이터를 포함한다.In some embodiments, the portion of design data close to the location of the defect includes design data at which the defect is located. In another embodiment, the portion of design data close to the location of the defect includes design data around the location of the defect.

다른 실시예에서, 비닝 단계는 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 그 부분 내의 다각형에 대한 각 그룹 내의 결함의 위치가 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 포함한다.In another embodiment, the binning step includes binning the defects into groups such that portions of design data close to the positions of the defects in each group are at least similar, and that the positions of the defects in each group with respect to the polygons within the portion are at least similar. do.

추가의 실시예에서, 상기 방법은 1 이상의 결함에 대한 결함 임계 인덱스(DCI; defect criticality index)를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 결함을 검출하기 위해 사용된 검사 시스템에 의해서 보고된 결함의 위치, 검사 시스템의 좌표 부정확도, 또는 그것의 일부 조합에 기초하여, 1 이상의 결함이 설계 데이터에 대해 제조되는 장치 내에서 1 이상의 전기 고장을 야기할 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 그 확률에 기초하여 1 이상의 결함에 대한 DCI를 결정하는 단계를 또한 포함한다.In a further embodiment, the method includes determining a defect criticality index (DCI) for one or more defects. In another embodiment, the method includes one or more attributes of the design data close to the position of the defect, one or more attributes of the defect, the location of the defect reported by the inspection system used to detect the defect, the coordinate inaccuracy of the inspection system, Or based on some combination thereof, determining the probability that the one or more defects will cause one or more electrical failures in the device being manufactured for the design data. In one such embodiment, the method also includes determining a DCI for one or more defects based on the probability.

일부 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 설계 데이터 내의 1 이상의 핫 스폿을 식별하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여, 리뷰를 위한 결함의 적어도 일부를 선택하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 결함을 샘플링하기 위한 프로세스를 생성하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 검사 결과에 기초하여 검사 동안에 웨이퍼의 검사를 위한 프로세스를 변경하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스에 대한 샘플링 플랜을 변경하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 비닝 단계의 결과를 사용하여 체계적 결함, 잠재적인 체계적 결함, 또는 그것의 일부 조합을 시간에 걸쳐 감시하는 단계를 포함한다.In some embodiments, the method includes identifying one or more hot spots in the design data based on the results of the binning step. In another embodiment, the method includes selecting at least some of the defects for review based on the results of the binning step. In a further embodiment, the method includes generating a process for sampling a defect for review based on the results of the binning step. In a further embodiment, the method includes changing the process for inspecting the wafer based on the results of the binning step. In some embodiments, the method includes changing a process for inspection of a wafer during inspection based on inspection results. In another embodiment, the method includes changing the metrology process for the wafer based on the results of the binning step. In a further embodiment, the method includes changing the sampling plan for the metrology process for the wafer based on the results of the binning step. In another embodiment, the method includes monitoring over time a systematic defect, a potential systematic defect, or some combination thereof using the results of the binning step.

또 다른 실시예에서, 결함은 검사 프로세스에 의해 검출되며, 상기 방법은 설계 데이터 내의 1 이상의 관심 있는 패턴(POI; pattern of interest)이 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 1 이상의 POI의 위치에서 결함이 검출되었어야 하는지를 리뷰 단계의 결과에 기초하여 결정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다.In another embodiment, a defect is detected by an inspection process, the method comprising reviewing a location on a wafer on which one or more patterns of interest (POI) in the design data are printed, and the location of the one or more POIs. Determining based on the results of the review step and altering the inspection process to improve one or more defect capture rates.

일부 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여, 설계 데이터가 인쇄된 웨이퍼 상에 수행될 1 이상의 프로세스를 최적화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화 하는 단계와, 그 우선순위화 단계의 결과에 기초하여 1 이상의 POI 중의 적어도 하나를 최적화하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여, 1 이상의 POI의 해상도 향상 기술(RET; resolution enhancement technology) 특징을 최적화하는 단계를 포함한다.In some embodiments, the method prioritizes one or more POIs in the design data, and based on the results of the prioritization step, optimizing the one or more processes to be performed on the wafer on which the design data is printed. It includes. In another embodiment, the method includes prioritizing one or more POIs in the design data and optimizing at least one of the one or more POIs based on the result of the prioritizing step. In a further embodiment, the method prioritizes one or more POIs in the design data and optimizes the resolution enhancement technology (RET) features of the one or more POIs based on the results of the prioritization steps. It includes a step.

일 실시예에서, 결함은 광학적 검사에 의해 검출된다. 일부 실시예에서, 결함은 전자 빔 검사에 의해 검출된다. 다른 실시예에서, 결함은 PWQ(process window qualification) 방법에서 검출된다.In one embodiment, the defect is detected by optical inspection. In some embodiments, the defect is detected by electron beam inspection. In another embodiment, defects are detected in a process window qualification (PWQ) method.

일부 실시예에서, 상기 방법은 1 이상 그룹 내의 결함의 적어도 일부를 리뷰하여 1 이상 그룹의 결함이 뉴슨스 결함에 대응하는지를 판정하는 단계와, 검사 프로세스의 결과의 S/N을 증가시키기 위해, 결함이 검출된 검사 프로세스의 결과로부터 뉴슨스 결함에 대응하는 1 이상의 그룹을 제거하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상 그룹 내의 결함의 적어도 일부의 리뷰 결과, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 1 이상 그룹의 결함을 분류하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 1 이상 그룹 내의 결함의 적어도 일부의 리뷰 결과, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 결함의 1 이상 그룹의 근본 원인을 결정하는 단계를 포함한다.In some embodiments, the method reviews at least a portion of the defects in the one or more groups to determine if the defects in the one or more groups correspond to Newsons defects, and to increase the S / N of the results of the inspection process, Removing one or more groups corresponding to the Newson's defect from the results of this detected inspection process. In another embodiment, the method includes classifying the defects in the one or more groups based on a review result of at least some of the defects in the one or more groups, the one or more attributes of the design data, the one or more attributes of the defects, or some combination thereof. It includes. In a further embodiment, the method further determines the root cause of at least one group of defects based on a review of at least some of the defects in the at least one group, at least one attribute of the design data, at least one attribute of the defect, or some combination thereof. Determining.

일 실시예에서, 상기 방법은 1 이상 그룹 내의 결함의 적어도 일부를 실험적 프로세스 창 결과에 매핑함으로써 1 이상 그룹의 결함의 근본 원인을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상 그룹 내의 결함의 적어도 일부를 시뮬레이션된 프로세스 창 결과에 매핑함으로써 1 이상 그룹의 결함의 근본 원인을 결정하는 단계를 포함한다.In one embodiment, the method includes determining the root cause of the defects in one or more groups by mapping at least a portion of the defects in the one or more groups to an experimental process window result. In another embodiment, the method includes determining the root cause of the defects in the one or more groups by mapping at least a portion of the defects in the one or more groups to a simulated process window result.

일부 실시예에서, 상기 방법은 설계 데이터를 사용하여 제조되고 있는 장치의 전기적 특성을 결함 위치에 대하여 모델링하는 단계와, 그 모델링 단계의 결과에 기초하여, 결함 위치에서의 결함의 매개변수 관련성을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 결함의 KP(kill probability) 값을 감시하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI에 대한 KP 값을 감시하는 단계와, 1 이상의 그룹으로 비닝된 결함의 위치에 가까운 설계 데이터의 부분이 1 이상의 POI에 대응하는 경우 1 이상의 POI에 대한 KP 값을 1 이상의 그룹에 할당하는 단계를 포함한다.In some embodiments, the method uses design data to model the electrical properties of the device being manufactured for the defect location, and based on the results of the modeling step, determine a parameter association of the defect at the defect location. It includes a step. In another embodiment, the method includes monitoring a kill probability (KP) value of one or more defects based on one or more attributes of the design data. In a further embodiment, the method further comprises: monitoring KP values for one or more POIs in the design data, and if the portion of the design data close to the location of the defects binned into one or more groups corresponds to the one or more POIs; Assigning a KP value for the at least one group.

일부 실시예에서, 여기에 기술한 방법의 1 이상의 단계는 검사 시스템(즉, "온 툴(on tool)") 또는 물리적으로 분리되지만 전송 매체에 의해 검사 시스템에 결합되는 프로세서(즉, "오프 툴(off tool)")에 의해 수행될 수 있다. 예컨대, 일 실시예에서, 컴퓨터-구현 방법은 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 대안적인 실시예에서, 컴퓨터-구현 방법은 결함을 검출하는데 사용된 검사 시스템 이외의 시스템에 의해 수행된다.In some embodiments, one or more steps of the methods described herein comprise an inspection system (ie, “on tool”) or a processor physically separated but coupled to the inspection system by a transmission medium (ie, “off tool”). (off tool) "). For example, in one embodiment, the computer-implemented method is performed by an inspection system used to detect a defect. In alternative embodiments, the computer-implemented method is performed by a system other than the inspection system used to detect the defect.

다른 실시예에서, 상기 결정 단계는 상기 부분 내의 설계 데이터 내의 공통 패턴이 적어도 유사한지를 결정하는 단계를 포함한다. 추가적인 실시예에서, 그 결정 단계는 상기 부분 내의 설계 데이터의 공통 속성이 적어도 유사한지를 결정하는 단계를 포함한다. 추가의 실시예에서, 상기 결정 단계는 상기 부분 내의 설계 데이터의 특징 스페이스 내의 공통 속성이 적어도 유사한지를 결정하는 단계를 포함한다.In another embodiment, the determining step includes determining whether a common pattern in the design data in the portion is at least similar. In a further embodiment, the determining step includes determining whether common attributes of the design data in the portion are at least similar. In a further embodiment, the determining step includes determining whether common attributes in a feature space of design data in the portion are at least similar.

일 실시예에서, 상기 방법은 1 이상 그룹의 결함에 의해 영향을 받은 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 웨이퍼 상의 1 이상의 POI의 위치의 수에 대한 1 이상의 POI에 대응하는 적어도 하나의 그룹으로 비닝된 결함의 수의 비율을 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 설계 데이터 내의 1 이상의 POI의 위치의 수에 대한 1 이상의 POI에 대응하는 적어도 하나의 그룹으로 비닝된 결함의 수의 비율을 결정하는 단계를 포함한다.In one embodiment, the method includes determining a percentage of the die formed on the wafer affected by the at least one group of defects. In another embodiment, the method includes determining one or more POIs in design data corresponding to one or more groups, binning into at least one group corresponding to one or more POIs for the number of locations of one or more POIs on a wafer. Determining a ratio of the number of defects. In a further embodiment, the method includes determining at least one POI in the design data corresponding to the at least one group, and in at least one group corresponding to the at least one POI for the number of locations of the at least one POI in the design data. Determining a ratio of the number of binned defects.

추가의 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 적어도 하나의 그룹으로 비닝된 결함이 위치되는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 POI에 우선순위를 할당하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 1 이상 그룹의 결함이 검출되는 웨이퍼 상의 전체 설계 인스턴스의 수로 1 이상 그룹을 우선순위화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상 그룹 내의 결함이 적어도 한번 검출되는 웨이퍼 상의 설계 데이터를 인쇄하는데 사용되는 레티클 상의 설계 인스턴스의 수로 1 이상 그룹을 우선순위화하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 1 이상 그룹으로 비닝된 결함이 검출되는 레티클 상의 위치의 수와, 1 이상의 그룹으로 비닝된 결함의 위치에 가까운 설계 데이터의 부분에 적어도 유사한 레티클 상에 인쇄된 설계 데이터 부분의 전체 수에 기초하여, 1 이상의 그룹에 대한 레티클-기반 마진을 결정하는 단계를 포함한다.In a further embodiment, the method includes determining a POI in design data corresponding to at least one group, determining a percentage of the die formed on the wafer where the defects binned into the at least one group are located; Assigning a priority to the POI based on the percentage. In some embodiments, the method includes prioritizing the one or more groups by the number of total design instances on the wafer on which one or more groups of defects are detected. In another embodiment, the method includes prioritizing the one or more groups by the number of design instances on the reticle used to print design data on the wafer at which defects in the one or more groups are detected at least once. In a further embodiment, the method includes design data printed on a reticle that is at least similar to the number of locations on the reticle where defects binned into one or more groups are detected, and portions of design data close to the locations of defects binned into one or more groups. Based on the total number of portions, determining a reticle-based margin for at least one group.

일 실시예에서, 상기 방법은 상기 비교 단계 이전에, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 비트맵으로 변환하는 단계를 포함한다. 그러한 일 실시예에서, 비교 단계는 비트맵을 서로 비교한다.In one embodiment, the method includes converting a portion of the design data close to the location of the defect in the design data space into a bitmap prior to the comparing step. In one such embodiment, the comparing step compares the bitmaps with each other.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다. 또한, 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the foregoing method may include any step of any of the methods described herein. In addition, each embodiment of the method described above may be performed by any of the systems described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함에 대한 DCI를 결정하기 위한 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 장치에 대해, 설계 데이터의 1 이상의 속성에 기초하여, 그 결함이 웨이퍼 상에서 제조되고 있는 장치의 1 이상의 전기적 속성을 변경할 확률을 결정하는 단계를 포함한다. 상기 방법은 결함이 1 이상의 전기적 속성을 변경할 확률에 기초하여 결함에 대한 DCI를 결정하는 단계를 또한 포함한다. 또한, 상기 방법은 저장 매체에 DCI를 저장하는 단계를 포함한다.Another embodiment is directed to a method for determining DCI for a defect detected on a wafer. The method includes for a device near a location of a defect in a design data space, based on one or more attributes of the design data, determining a probability that the defect will change one or more electrical attributes of the device being manufactured on the wafer. . The method also includes determining a DCI for the defect based on the probability that the defect will change one or more electrical properties. The method also includes storing the DCI in a storage medium.

일 실시예에서, 결함은 랜덤 결함을 포함한다. 다른 실시예에서, 결함은 체계적 결함을 포함한다. 추가적인 실시예에서, 1 이상의 전기적 속성은 장치의 기능성을 포함한다. 추가의 실시예에서, 1 이상의 전기적 속성은 장치의 1 이상의 전기적 매개변수를 포함한다.In one embodiment, the defect comprises a random defect. In other embodiments, the defects include systematic defects. In further embodiments, the one or more electrical properties include the functionality of the device. In further embodiments, the one or more electrical properties comprise one or more electrical parameters of the device.

일 실시예에서, 설계 데이터의 1 이상의 속성은 용장도(redundancy), 네트 리스트(net list), 또는 그것의 일부 조합을 포함한다. 다른 실시예에서, 설계 데이터의 1 이상의 속성은 설계 데이터 내의 특징의 치수, 설계 데이터 내의 특징의 밀도, 또는 그것의 일부 조합을 포함한다.In one embodiment, one or more attributes of the design data include redundancy, a net list, or some combination thereof. In another embodiment, the one or more attributes of the design data include the dimensions of the features in the design data, the density of the features in the design data, or some combination thereof.

일 실시예에서, 확률을 결정하는 단계는, 설계 데이터에 대한 전기 테스트 결과와, 설계 데이터의 1 이상의 속성 사이의 상관관계를 사용하여 확률을 결정하는 단계를 포함한다. 다른 실시예에서, 확률을 결정하는 단계는, 설계 데이터 스페이스 내의 결함의 위치, 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 부정확성, 결함의 사이즈, 검사 시스템의 결함 사이즈 에러, 또는 그것의 일부 조합과 조합하여, 설계 데이터의 1 이상의 속성에 기초하여, 그 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 그 결함은 랜덤 결함을 포함한다.In one embodiment, determining the probability comprises determining the probability using a correlation between electrical test results for the design data and one or more attributes of the design data. In another embodiment, determining the probability includes determining the location of a defect in the design data space, the location of the defect as reported by the inspection system used to detect the defect, the coordinate inaccuracy of the inspection system, the size of the defect, Determining the probability based on one or more attributes of the design data, in combination with a defect size error, or some combination thereof. In one such embodiment, the defect includes a random defect.

일부 실시예에서, 확률을 결정하는 단계는 결함의 1 이상의 속성와 조합하여, 설계 데이터의 1 이상의 속성에 기초하여, 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 결함은 체계적 결함을 포함한다.In some embodiments, determining the probability includes determining the probability based on one or more attributes of the design data, in combination with one or more attributes of the defect. In one such embodiment, the defect includes a systematic defect.

일 실시예에서, DCI를 결정하는 단계는, 결함에 할당되는 범주와 조합하여, 확률에 기초하여, 결함에 대한 DCI를 결정하는 단계를 포함한다. 다른 실시예에서, 설계 데이터의 1 이상의 결함은 장치의 1 이상의 설계 층에 대한 설계 데이터의 1 이상의 속성을 포함한다.In one embodiment, determining the DCI includes determining a DCI for the defect, based on the probability, in combination with the category assigned to the defect. In another embodiment, the one or more defects in the design data include one or more attributes of the design data for one or more design layers of the device.

일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하여, 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함 정렬에 의해 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 부정확도, 설계 데이터의 1 이상의 속성, 결함 사이즈, 검사 시스템의 결함 사이즈 에러, 또는 그것의 일부 조합에 적어도 부분적으로 기초하여, 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다.In one embodiment, the method includes determining the location of the inspection data within the design data space to determine design data close to the location of the defect. In another embodiment, the method includes determining design data close to the location of the defect by defect alignment. In some embodiments, the method includes the location of a defect reported by the inspection system used to detect the defect, coordinate inaccuracy of the inspection system, one or more attributes of the design data, defect size, defect size error of the inspection system, or Determining design data close to the location of the defect based at least in part on some combination thereof.

일 실시예에서, 상기 방법은 결함에 대한 설계 데이터의 수율 감지도에 기초하여 DCI를 수정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함에 대해 결정된 DCI에 기초하여 결함에 대해 수행된 프로세스를 변경하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함에 대해 결정된 DCI에 기초하여 결함을 검출하기 위해 사용된 프로세스를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 결함에 대한 DCI에 기초하여, 장치가 위에서 제조될 추가적인 웨이퍼의 검사를 위한 프로세스를 생성하는 단계를 포함한다.In one embodiment, the method includes modifying the DCI based on yield sensitivity of design data for a defect. In another embodiment, the method includes changing the process performed on the defect based on the DCI determined for the defect. In a further embodiment, the method includes changing the process used to detect the defect based on the DCI determined for the defect. In a further embodiment, the method includes generating a process for inspection of an additional wafer to be fabricated above, based on the DCI for the defect.

일 실시예에서, 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템에 의해 수행된다. 다른 실시예에서, 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템 이외의 시스템에 의해 수행된다.In one embodiment, the computer-implemented method is performed by an inspection system used to detect a defect. In another embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect the defect.

전술한 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다. 또한, 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment described above may include any step of any of the methods described herein. In addition, each embodiment of the method described above may be performed by any of the systems described herein.

다른 실시예는 웨이퍼 상에 형성된 메모리 뱅크에 대한 MRI(memory repair index)를 결정하는 컴퓨터 구현 방법에 관한 것이다. 상기 방법은 모리 뱅크의 어레이 블록 영역에 위치한 결함에 기초하여, 메모리 뱅크를 수리하는데 필요한 복수의 용장 열 및 복수의 용장 행을 결정하는 단계를 포함한다. 상기 방법은 메모리 뱅크를 수리하는데 필요한 용장 열의 수를 메모리 뱅크에 대해 이용가능한 용장 열의 수에 비교하는 단계를 또한 포함한다. 또한, 상기 방법은 메모리 뱅크를 수리하는데 필요한 용장 행의 수를 메모리 뱅크에 대해 이용가능한 용장 행의 양에 비교하는 단계를 포함한다. 상기 방법은 용장 열의 수를 비교하는 단계 및 용장 행의 수를 비교하는 단계의 결과에 기초하여, 메모리에 대한 MRI를 결정하는 단계를 더 포함한다. MRI는 메모리 뱅크가 수리가능한지를 지시한다. 상기 방법은 MRI를 저장 매체에 저장하는 단계를 또한 포함한다.Another embodiment relates to a computer implemented method of determining a memory repair index (MRI) for a memory bank formed on a wafer. The method includes determining a plurality of redundant columns and a plurality of redundant rows needed to repair the memory bank based on defects located in the array block area of the memory bank. The method also includes comparing the number of redundant rows required to repair the memory bank to the number of redundant rows available for the memory bank. The method also includes comparing the number of redundant rows needed to repair the memory bank to the amount of redundant rows available for the memory bank. The method further includes determining an MRI for the memory based on the results of comparing the number of redundant columns and comparing the number of redundant rows. The MRI indicates whether the memory bank is repairable. The method also includes storing the MRI in a storage medium.

일 실시예에서, 상기 방법은 어레이 블록 영역 내에 위치한 결함의 어느 것이 메모리 뱅크 내의 비트가 고장이 나게 야기할 수 있는지를 결정하는 단계와, 그 비트가 고장이 나게 야기할 수 있는 결함의 위치에 기초하여, 고장이 날 비트의 위치를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 메모리 뱅크를 수리하는데 필요한 용장 열의 수 및 용장 행의 수를 결정하는 단계는 고장 날 비트의 위치를 사용하여 수행된다.In one embodiment, the method includes determining which of the defects located within the array block area can cause a bit in the memory bank to fail, and based on the location of the defect that can cause the bit to fail. Thereby determining the location of the bit to fail. In one such embodiment, determining the number of redundant rows and redundant rows required to repair the memory bank is performed using the location of the failure day bit.

다른 실시예에서, 상기 방법은 피드 포워드 제어 기술을 사용하여 MRI에 기초하여 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 메모리 뱅크가 수리 불가능한 경우, 메모리 뱅크가 위치하는 다이가 전기 테스트 프로세스 동안에 테스트 되지 않도록, 피드 포워드 기술을 사용하여 MRI에 기초하여 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 메모리 뱅크의 어레이 블록 영역 내에 위치한 결함의 1 이상의 속성, 메모리 뱅크의 어레이 블록 영영 내에 위치한 결함, 또는 그것의 일부 조합에 기초하여 수리 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다.In another embodiment, the method includes changing one or more parameters of the electrical test process based on MRI using a feed forward control technique. In a further embodiment, the method uses feed forward technology to change one or more parameters of the electrical test process based on the MRI such that if the memory bank is unrepairable, the die on which the memory bank is located is not tested during the electrical test process. It includes a step. In a further embodiment, the method alters one or more parameters of the repair process based on one or more attributes of a fault located within the array block area of the memory bank, a fault located within the array block area of the memory bank, or some combination thereof. It includes a step.

일 실시예에서, 결함은 메모리 뱅크의 게이츠 층에서 검출된 결함을 포함한다. 다른 실시예에서, 결함은 메모리 뱅크의 금속 층에서 검출된 결함을 포함한다.In one embodiment, the defect includes a defect detected in the gates layer of the memory bank. In another embodiment, the defect includes a defect detected in the metal layer of the memory bank.

일부 실시예에서, 상기 방법은 메모리 뱅크 내의 결함의 위치에 기초하여 결함의 비트 오류 모드를 예측하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 어레이 블록 영역 내에 위치한 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 메모리 뱅크의 수리를 위해 필요한 용장 열의 수를 결정하는 단계와, 용장 행의 수를 결정하는 단계는 1 이상의 결함에 대한 DCI를 사용하여 수행된다.In some embodiments, the method includes predicting a bit error mode of the defect based on the location of the defect in the memory bank. In another embodiment, the method includes determining a DCI for one or more defects located within the array block area. In one such embodiment, determining the number of redundant columns required for repair of the memory bank and determining the number of redundant rows are performed using DCI for one or more defects.

일 실시예에서, 용장 열의 수를 비교하는 단계는 메모리 다이의 각 뱅크에 대해 개별적으로 수행되고, 용장 행의 수를 비교하는 단계는 메모리 다이의 각 뱅크에 대해 개별적으로 수행된다. 일부 실시예에서, 상기 방법은 메모리 뱅크의 용장 열 및 용장 행 내에 위치한 결함에 기초하여, 가용 용장 열의 양 및 가용 용장 행의 양을 결정하는 단계를 포함한다.In one embodiment, comparing the number of redundant rows is performed separately for each bank of memory dies, and comparing the number of redundant rows is performed separately for each bank of memory dies. In some embodiments, the method includes determining the amount of available redundant rows and the amount of available redundant rows based on defects located within redundant rows and redundant rows of a memory bank.

일 실시예에서, 상기 방법은 다이 내에 형성된 1 이상의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 1 이상의 메모리 뱅크에 대한 MRI에 기초하여 다이에 대한 수리 수율을 예측하는 단계를 포함한다. 다른 실시예에서, 상기 방법은, MRI에 기초하여, 메모리 뱅크 내의 가용 용장 행의 양, 가용 용장 열의 양, 또는 그것의 일부 조합이 메모리 뱅크 설계자에 의해 평가되어야 하는지를 결정하는 단계를 포함한다.In one embodiment, the method includes determining an MRI for at least one memory bank formed in the die and predicting a repair yield for the die based on the MRI for at least one memory bank. In another embodiment, the method includes determining, based on the MRI, whether the amount of available redundant rows in the memory bank, the amount of available redundant columns, or some combination thereof is to be evaluated by the memory bank designer.

일부 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수리 수율을 결정하는 단계를 포함한다. 그러한 일부 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 1 이상의 메모리 수리 수율에 기초하여 웨이퍼 배치를 수행하는 단계를 포함한다.In some embodiments, the method includes determining an MRI for each memory bank in at least one die on a wafer, and determining a memory repair yield for at least one die based on the MRI for each memory bank. . In some such embodiments, the method includes performing a wafer placement based on one or more memory repair yields for one or more die on the wafer.

일 실시예에서, 용장 열의 수를 비교하는 단계는 메모리 뱅크를 수리하는데 필요한 용장 열의 분율을 결정하는 단계를 포함하고, 용장 행의 수를 비교하는 단계는 메모리 뱅크를 수리하는데 필요한 용장 행의 분율을 결정하는 단계를 포함하며, 메모리 뱅크에 대한 MRI를 결정하는 단계는 용장 열의 분율 및 용장 행의 분율에 기초하여 MRI를 결정하는 단계를 포함한다. 그러한 일부 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각각의 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수리 수율을 결정하는 단계를 포함한다. 추가적인 그러한 실시예에서, 상기 방법은 1 이상의 다이 각각에 대한 메모리 수리 수율에 기초하여 웨이퍼에 대한 메모리 수리 수율을 결정하는 단계를 포함한다.In one embodiment, comparing the number of redundant rows includes determining a fraction of redundant rows needed to repair the memory banks, and comparing the number of redundant rows comprises determining the fraction of redundant rows required to repair the memory banks. Determining the MRI for the memory bank, and determining the MRI based on the fraction of redundant columns and the fraction of redundant rows. In some such embodiments, the method includes determining an MRI for each memory bank in at least one die on a wafer and determining a memory repair yield for at least one die based on the MRI for each memory bank. Include. In a further such embodiment, the method includes determining a memory repair yield for the wafer based on the memory repair yield for each of the one or more dies.

일 실시예에서, MRI는 메모리 수리 뱅크가 수리될 수 없는 확률을 또한 지시한다. 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각 메모리 뱅크에 대한 MRI를 결정하는 단계와, 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI에 기초하여, 1 이상의 다이에 대한 MRI를 결정하는 단계를 포함하며, 1 이상의 다이에 대한 MRI는 1 이상의 다이가 수리될 수 없게 될 확률을 지시한다. 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 MRI의 임계에 기초하여 웨이퍼 기반 수율 예측을 결정하는 단계를 포함한다.In one embodiment, the MRI also indicates the probability that the memory repair bank cannot be repaired. In one such embodiment, the method includes determining an MRI for each memory bank in at least one die on a wafer, and based on the MRI for each memory bank in at least one die, determining an MRI for at least one die. Wherein the MRI for one or more dies indicates the probability that one or more dies cannot be repaired. In one such embodiment, the method includes determining a wafer based yield prediction based on a threshold of MRI for one or more dies on a wafer.

일 실시예에서, 상기 방법은, 메모리 뱅크의 디코더 영역 내에 위치한 결함의 수, 메모리 뱅크의 센스 앰프 영역 내에 위치한 결함의 수, 또는 그것의 일부 조합에 기초하여 메모리 뱅크 내의 수리 불가능한 결함의 수를 결정하는 단계를 포함한다.In one embodiment, the method determines the number of non-repairable defects in the memory bank based on the number of defects located in the decoder region of the memory bank, the number of defects located in the sense amplifier region of the memory bank, or some combination thereof. It includes a step.

일부 실시예에서, 용장 열의 수 및 용장 행의 수를 결정하는 단계는, 메모리 뱅크의 어레이 블록 영역 내에 위치한 각각의 결함에 대한 DCI를 결정하는 단계와, 그 DCI를 소정의 임계치와 비교하는 단계와, 소정의 임계치 이상의 DCI를 갖는 모든 결함을 수리하는데 필요한 용장 열의 수 및 용장 행의 수를 결정하는 단계를 포함한다.In some embodiments, determining the number of redundant columns and the number of redundant rows includes determining a DCI for each defect located within an array block area of a memory bank, comparing the DCI with a predetermined threshold; Determining the number of redundant columns and redundant rows required to repair all defects having a DCI above a predetermined threshold.

일 실시예에서, 상기 방법은 메모리 뱅크의 어레이 블록 영역 내에 위치한 결함으로 인한 메모리 뱅크의 오류에 대한 MRI를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 메모리 뱅크의 용장 열 및 용장 행에 위치한 결함으로 인한 메모리 뱅크의 오류에 대한 MRI를 결정하는 단계를 포함한다. In one embodiment, the method includes determining an MRI for an error in the memory bank due to a defect located within the array block area of the memory bank. In another embodiment, the method includes determining an MRI for an error in the memory bank due to a defect located in the redundant column and redundant row of the memory bank.

일부 실시예에서, 상기 방법은 메모리 뱅크 내에서 검출된 결함 사이의 공간적 상관관계를 나타내는 유사 메모리 뱅크 설계의 적층 맵을 생성하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 다이 기반으로 MRI를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 웨이퍼 상의 다이가 어레이 블록 영역에 위치한 결함으로 인하여 고장이 날지를 지시하는 인덱스를 결정하는 단계를 포함한다. In some embodiments, the method includes generating a stacked map of the pseudo memory bank design indicative of the spatial correlation between defects detected within the memory bank. In another embodiment, the method includes determining an MRI on a die basis. In a further embodiment, the method includes determining an index indicating whether a failure on a die on a wafer is due to a defect located in an array block area.

일 실시예에서, 상기 방법은 웨이퍼 상의 다이 내의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 수리 불가능한 것으로 MRI에 의해 지시된 2 이상의 메모리 뱅크 사이의 공간적 상관관계를 나타내는 다이의 적층 맵을 생성하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 웨이퍼 상의 다이 내의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 수리 불가능한 것으로 MIR에 의해 지시된 2 이상의 메모리 뱅크 사이의 공간적 상관관계를 나타내는 웨이퍼 상의 메모리 뱅크를 형성하는데 사용된 레티클의 적층 맵을 생성하는 단계를 포함한다. In one embodiment, the method includes determining an MRI for a memory bank in a die on a wafer and generating a stacked map of the die indicating a spatial correlation between two or more memory banks indicated by the MRI as non-repairable. It includes. In another embodiment, the method is used to determine an MRI for a memory bank in a die on a wafer and to form a memory bank on a wafer that exhibits a spatial correlation between two or more memory banks indicated by the MIR as non-repairable. Generating a stacked map of the reticle.

일부 실시예에서, 상기 방법은 다이 내에서 검출된 결함에 의해 영향을 받은 다이의 메모리 뱅크를 식별하는 단계와, 메모리 뱅크에 대한 결함의 영향에 기초하여 메모리 뱅크의 등급을 매기는 단계를 포함한다. 다른 실시예에서, 상기 방법은 메모리 뱅크의 수리 불가능한 영역 내의 결함에 의해 영향받은 웨이퍼 상에 형성된 메모리 뱅크의 퍼센티지를 결정하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 가능한 오류 사이의 공간적 상관관계를 나타내는 웨이퍼 상에 형성된 메모리 뱅크 내의 가능한 오류의 적층 웨이퍼 맵을 생성하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 웨이퍼 상에 형성된 1 이상의 다이에 대한 MRI를 결정하는 단계와, 그 MRI에 기초하여 1 이상의 다이의 등급을 매기는 단계를 포함한다.In some embodiments, the method includes identifying a memory bank of a die affected by a defect detected in the die, and ranking the memory bank based on the impact of the defect on the memory bank. . In another embodiment, the method includes determining a percentage of the memory bank formed on the wafer affected by a defect in the non-repairable area of the memory bank. In some embodiments, the method includes generating a stacked wafer map of possible errors in a memory bank formed on a wafer that exhibits a spatial correlation between possible errors. In a further embodiment, the method includes determining an MRI for at least one die formed on the wafer and ranking the at least one die based on the MRI.

전술한 방법의 각 실시예는 여기에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다. 또한, 전술한 방법의 각 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the method described above may include any step of any of the methods described herein. In addition, each embodiment of the method described above may be performed by any of the systems described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함을 비닝하기 위한 다른 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 결함의 위치를 설계 데이터 내의 핫 스폿의 위치에 비교하는 단계를 포함한다. 적어도 유사한 설계 데이터에 가까이 위치한 핫 스폿은 서로 상호 연관지어진다. 상기 방법은 적어도 유사한 위치를 갖는 핫 스폿과 결함을 관련시키는 단계를 또한 포함한다. 또한, 상기 방법은 각 그룹 내의 결함이 서로 상호 연관지어진 핫 스폿과만 관련되도록 결함을 그룹으로 비닝하는 단계를 포함한다. 상기 방법은 그 비닝 단계의 결과를 저장 매체에 저장하는 단계를 더 포함한다.Another embodiment is directed to another computer-implemented method for binning detected defects on a wafer. The method includes comparing a location of a defect in a design data space to a location of a hot spot in the design data. Hot spots located at least close to similar design data are correlated with each other. The method also includes associating the defect with a hot spot having at least a similar location. The method also includes binning the defects into groups such that the defects in each group are associated only with hot spots that are correlated with each other. The method further includes storing the results of the binning step in a storage medium.

일 실시예에서, 상기 방법은 체계적 결함과 연관된 설계 데이터 내의 POI의 위치를 식별하여 핫 스폿을 상호 연관짓는 단계와, 설계 데이터 내의 유사한 패턴을 갖는 POI를 상호 연관짓는 단계와, 상호 연관지어진 핫 스폿의 위치로서 설계 데이터 내의 유사한 패턴의 위치와 POI의 위치를 상호 연관짓는 단계를 포함한다.In one embodiment, the method includes correlating hot spots by identifying locations of POIs in design data associated with systematic defects, correlating POIs with similar patterns in design data, and correlated hot spots. Correlating the position of the POI with the position of a similar pattern in the design data as the position of.

일부 실시예에서, 상기 방법은 상기 방법은 DBC를 1 이상의 그룹에 할당하는 단계를 포함한다. 다른 실시예에서, 컴퓨터-구현 방법은 웨이퍼 상의 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 추가의 실시예에서, 상기 방법은 설계 데이터가 인쇄되는 1 이상의 웨이퍼의 검사 결과를 사용하여 핫 스폿을 감시하는 단계를 포함한다.In some embodiments, the method includes assigning a DBC to one or more groups. In another embodiment, the computer-implemented method is performed by an inspection system used to detect defects on the wafer. In a further embodiment, the method includes monitoring hot spots using inspection results of one or more wafers on which design data is printed.

일 실시예에서, 상기 방법은 핫 스폿 사이의 상관관계에 기초하여 웨이퍼를 검사하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 체계적 결함, 잠재적인 체계적 결함, 또는 그것의 일부 조합을 시간에 걸쳐 감시하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 결함의 리뷰를 수행하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 결함을 선택하기 위한 프로세스를 생성하는 단계를 포함한다.In one embodiment, the method includes inspecting the wafer based on the correlation between hot spots. In another embodiment, the method includes monitoring over time a systematic defect, a potential systematic defect, or some combination thereof based on the result of the binning step. In a further embodiment, the method includes performing a review of the defect based on the result of the binning step. In a further embodiment, the method includes creating a process for selecting a defect for review based on the results of the binning step.

일 실시예에서, 상기 방법은 비닝 단계의 결과와, 시간에 걸친 체계적 결함 및 잠재적인 체계적 결함의 출현의 감시 결과에 기초하여, 설계 데이터 내의 체계적 결함 및 잠재적인 체계적 결함을 식별하는 단계를 포함한다. 다른 실시예에서, 비닝 단계의 결과에 기초하여, 설계 데이터가 그 위에 인쇄된 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계를 포함한다. 추가적인 실시예에서, 비닝 단계의 결과에 기초하여 설계 데이터가 그 위에 인쇄된 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계를 포함한다.In one embodiment, the method includes identifying systematic and potential systematic defects in the design data based on the results of the binning step and the monitoring results of the appearance of systematic and potential systematic defects over time. . In another embodiment, based on the results of the binning step, generating a process for inspecting a wafer having design data printed thereon. In a further embodiment, modifying the process for inspecting a wafer having design data printed thereon based on the results of the binning step.

일부 실시예에서, 상기 방법은 1 이상 그룹의 결함에 의해 영향을 받은 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 적어도 하나의 그룹으로 비닝된 결함이 위치하는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 적어도 하나의 그룹에 우선순위를 할당하는 단계를 포함한다.In some embodiments, the method includes determining a percentage of the die formed on the wafer affected by the at least one group of defects. In another embodiment, the method includes determining a DCI for one or more defects. In a further embodiment, the method includes determining a percentage of the die formed on the wafer at which the defects binned into the at least one group are located, and assigning a priority to the at least one group based on the percentage. do.

일 실시예에서, 상기 방법은 1 이상 그룹 내의 결함과 관련된 핫 스폿과 상호 연관지어진 전체 핫 스폿의 수와, 1 이상 그룹 내의 결함의 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상 그룹 내의 결함이 적어도 한번 검출되는 웨이퍼 상에 설계 데이터를 인쇄하는데 사용된 레티클 상의 대응하는 핫 스폿 위치의 수에 의해 1 이상 그룹을 우선순위화하는 단계를 포함한다.In one embodiment, the method includes prioritizing the one or more groups by the total number of hot spots correlated with the hot spots associated with the defects in the one or more groups and the number of the defects in the one or more groups. In another embodiment, the method includes prioritizing the one or more groups by the number of corresponding hot spot locations on the reticle used to print design data on the wafer at which defects in the one or more groups are detected at least once. do.

일부 실시예에서, 상기 방법은 1 이상의 그룹으로 비닝된 결함이 검출된 레티클 상의 위치의 수와, 1 이상 그룹 내의 결함과 관련된 핫 스폿과 상호 연관지어진 레티클 상의 핫 스폿 위치의 전체 수에 기초하여, 1 이상의 그룹에 대한 레티클-기반 마진을 결정하는 단계를 포함한다.In some embodiments, the method is based on the number of locations on the reticle where defects binned into one or more groups were detected, and the total number of hot spot locations on the reticle correlated with hot spots associated with defects in one or more groups, Determining a reticle-based margin for one or more groups.

전술한 방법의 각 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법의 각 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the method described above may include any other step of any of the methods described herein. In addition, each embodiment of the method described above may be performed by any of the systems described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함을 비닝하기 위한 다른 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성을 비교하는 단계를 포함한다. 상기 방법은 그 비교 결과에 기초하여, 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 적어도 유사한지를 판정하는 단계를 또한 포함한다. 또한, 상기 방법은, 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 상기 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 더 포함한다.Another embodiment is directed to another computer-implemented method for binning detected defects on a wafer. The method includes comparing one or more attributes of design data close to the location of a defect in the design data space. The method also includes determining, based on the comparison result, whether one or more attributes of the design data close to the location of the defect are at least similar. The method also includes binning the defects into groups such that at least one attribute of the design data close to the location of the defects in each group is at least similar. The method further includes storing the result of the binning step on a storage medium.

일 실시예에서, 1 이상의 속성은 패턴 밀도를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 결함이 랜덤 또는 체계적 결함인지를 판정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 1 이상 그룹의 등급을 매기는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹 내의 결함의 등급을 매기는 단계를 포함한다. 일부 실시예에서, 1 이상의 속성은 특징 스페이스 내의 1 이상의 속성을 포함한다.In one embodiment, the one or more attributes include pattern density. In another embodiment, the method includes determining whether the defect is a random or systematic defect using one or more attributes. In a further embodiment, the method includes ranking one or more groups using one or more attributes. In a further embodiment, the method includes ranking the defects in the at least one group using one or more attributes. In some embodiments, the one or more attributes include one or more attributes in the feature space.

일 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹을 서브-그룹으로 비닝하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹 내의 결함을 분석하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 1 이상의 결함의 수율 관련성을 결정하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 전체 수율 관련성을 결정하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 DCI를 1 이상의 결함에 할당하는 단계를 포함한다.In one embodiment, the method includes binning at least one group into a sub-group using one or more attributes. In another embodiment, the method includes analyzing defects in at least one group using one or more attributes. In a further embodiment, the method includes determining yield relationships of one or more defects using one or more attributes. In a further embodiment, the method includes determining the overall yield relevance using one or more attributes. In another embodiment, the method includes assigning the DCI to one or more defects using one or more attributes.

일부 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터를 결함 주위 영역 내의 설계 데이터와, 결함이 위치한 영역 내의 설계 데이터로 분리하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 룰 및 1 이상의 속성을 사용하여 비닝 또는 필터링하기 위한 설계 데이터 내의 구조를 식별하는 단계를 포함한다.In some embodiments, the method includes separating design data near the location of the defect into design data in the area around the defect and design data in the area in which the defect is located. In another embodiment, the method includes identifying a structure in design data for binning or filtering using a rule and one or more attributes.

일 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과와, 체계적 결함으로 식별된 결함에 기초하여, 리뷰, 측정, 테스트 또는 그것의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과, 체계적 결함으로 식별된 결함, 및 결함의 수율 관련성에 기초하여, 리뷰, 측정, 테스트 또는 그것의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과, 체계적 결함으로 식별된 결함, 및 프로세스 창 매핑에 기초하여, 리뷰, 측정, 테스트 또는 그것의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함한다.In one embodiment, the method includes determining a location on a wafer on which a review, measurement, test, or some combination thereof is to be performed, based on a test result generated during detection of a defect and a defect identified as a systematic defect. do. In another embodiment, the method is based on inspection results generated during detection of defects, defects identified as systematic defects, and yield relevance of defects to determine the location on the wafer where a review, measurement, test, or some combination thereof is to be performed. Determining. In a further embodiment, the method determines a location on the wafer on which a review, measurement, test, or some combination thereof is to be performed, based on inspection results generated during detection of the defect, defects identified as systematic defects, and process window mapping. It includes a step.

일 실시예에서, 상기 방법은 비닝 단계 및 유저-보조 리뷰의 결과를 사용하여 체계적 탐색을 수행하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 비교 단계 이전에, 비닝 단계의 결과에서의 S/N을 향상시키기 위해, 결함이 위치하는 기능 블록에 기초하여 결함을 분리하는 단계를 포함한다.In one embodiment, the method includes performing a systematic search using the results of the binning step and the user-assisted review. In another embodiment, the method includes, prior to the comparing step, separating the defects based on the functional blocks in which the defects are located to improve S / N at the result of the binning step.

일부 실시예에서, 설계 데이터는 설계에 의해 계층 셀로 조직화되고, 상기 방법은, 비교 단계 이전에, 비닝 단계의 결과에서의 S/N을 향상시키기 위해, 결함이 위치되는 계층 셀에 기초하여 결함을 분리하는 단계를 포함한다. 다른 실시예에서, 설계 데이터는 설계에 의해 계층 셀로 조직화되고, 결함이 1 이상의 계층 셀 내에 위치될 수 있는 경우, 상기 방법은 계층 셀의 영역, 결함 위치 확률, 또는 그것의 일부 조합에 기초하여 결함이 각각의 계층 셀 내에 위치하는 확률에 기초하여, 결함을 각각의 계층 셀에 상호 연관짓는 단계를 포함한다.In some embodiments, the design data is organized into hierarchical cells by design, and the method prior to the comparing step detects defects based on the hierarchical cell in which the defects are located to improve S / N at the result of the binning step. Separating. In another embodiment, the design data is organized into hierarchical cells by design, and if the defects can be located within one or more hierarchical cells, the method may be based on a region of the hierarchical cell, a defect location probability, or some combination thereof. Correlating defects to each layer cell based on the probability of being located within each layer cell.

일 실시예에서, 결함은 검사 프로세스에 의해 검출되며, 그 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 결함이 1 이상의 POI의 위치에서 검출되었어야 하는지를 리뷰 단계의 결과에 기초하여 결정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다.In one embodiment, a defect is detected by an inspection process, the method comprising reviewing a location on a wafer where one or more POIs in the design data are printed, and reviewing whether a defect should have been detected at a location of one or more POIs. Determining based on the results and modifying the inspection process to improve one or more defect capture rates.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전수한 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행할 수 있다.Each embodiment of the foregoing method may include any other step of any of the methods described herein. In addition, each embodiment taught may be performed by any of the systems described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함에 범주를 할당하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 상이한 DBC(예컨대, 상이한 DBC 비 규정)에 대응하는 설계 데이터(예컨대, POI 설계 예)에 비교하는 단계를 포함한다. 상이한 DBC에 대응하는 설계 데이터와 그 상이한 DBC는 데이터 구조에 저장된다. 상기 방법은 비교 단계의 결과에 기초하여, 상기 부분 내의 설계 데이터가 상이한 DBC에 대응하는 설계 데이터와 적어도 유사한지를 판정하는 단계를 또한 포함한다. 또한, 상기 부분 내의 설계 데이터에 적어도 유사한 설계 데이터에 대응하는 DBC를 결함에 할당하는 단계를 포함한다. 상기 방법은 그 할당 단계의 결과를 저장 매체에 저장하는 단계를 또한 포함한다.Another embodiment is directed to a computer-implemented method for assigning categories to defects detected on a wafer. The method includes comparing a portion of design data close to the location of a defect in the design data space to design data (eg, a POI design example) corresponding to a different DBC (eg, different DBC non-regulation). Design data corresponding to different DBCs and their different DBCs are stored in a data structure. The method also includes determining, based on the results of the comparing step, whether the design data in the portion is at least similar to the design data corresponding to the different DBCs. And assigning a defect to the DBC corresponding to the design data at least similar to the design data in the portion. The method also includes storing the result of the allocation step in a storage medium.

일 실시예에서, 컴퓨터-구현 방법은 결함을 검사하기 위해 사용된 검사 시스템에 의해 수행된다. 다른 실시예에서, 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템 이외의 시스템에 의해 수행된다.In one embodiment, the computer-implemented method is performed by an inspection system used to inspect for defects. In another embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect the defect.

일 실시예에서, 상기 방법은 그 할당 단계의 결과에 기초하여 설계 데이터 내의 핫 스폿을 감시하는 단계를 포함한다. 다른 실시예에서, 상이한 DBC에 대응하는 설계 데이터는, 설계 데이터 스페이스 내의 1 이상의 다른 웨이퍼 상에서 검출된 결함의 위치에 가까운 설계 데이터의 부분에 기초하여, 1 이상의 다른 웨이퍼 상에서 검출된 결함을 그룹화하여 식별된다.In one embodiment, the method includes monitoring hot spots in the design data based on the results of the allocation step. In another embodiment, design data corresponding to different DBCs is identified by grouping the detected defects on one or more other wafers based on the portion of the design data that is close to the location of the detected defect on the one or more other wafers in the design data space. do.

일부 실시예에서, 결함은 검사 프로세스에서 검출되며, 상기 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 그 리뷰 결과에 기초하여, 결함이 1 이상의 POI의 위치에서 검출되었어야 하는지를 결정하는 단계와, 결함 포착률을 향상하기 위해 검사 프로세스를 변경하는 단계를 포함한다.In some embodiments, a defect is detected in an inspection process, the method comprising reviewing a location on the wafer where one or more POIs in the design data are printed, and based on the review result, the defects are detected at the location of one or more POIs. Determining whether it should have been, and altering the inspection process to improve defect capture.

일 실시예에서, 상기 방법은 결함에 할당된 DBC에 기초하여 결함이 뉴슨스 결함인지를 결정하는 단계와, 검사 프로세스의 결과의 S/N을 증가시키기 위해서 결함이 검출된 검사 프로세스의 결과로부터 뉴슨스 결함을 제거하는 단계를 포함한다.In one embodiment, the method comprises determining whether the defect is a Nuson's defect based on a DBC assigned to the defect and from the results of the inspection process in which the defect was detected to increase the S / N of the results of the inspection process. Removing the slack defect.

다른 실시예에서, 상기 방법은 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함에 할당된 DBC가 리뷰 시스템에 가시적인 체계적 결함에 대응하는지를 판정하는 단계와, 리뷰 시스템에 가시적인 결함만을 리뷰를 위해 선택하여 리뷰를 위한 결함을 샘플링하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 패턴 의존적 결함을 지시하는 설계 데이터 내의 1 이상의 특징을 식별하여 설계 데이터 내의 1 이상의 POI를 결정하는 단계를 포함한다.In another embodiment, the method includes determining a KP value for one or more defects. In a further embodiment, the method includes determining whether a DBC assigned to a defect corresponds to a systematic defect visible to the review system, and selecting only the defect visible to the review system for review to sample the defect for review. Include. In a further embodiment, the method includes determining one or more POIs in the design data by identifying one or more features in the design data indicating pattern dependent defects.

일 실시예에서, DBC는 결함이 위치하거나 결함이 근처에 위치하는 설계 데이터 내의 1 이상의 다각형을 식별한다. 다른 실시예에서, DBC는 설계 데이터 내의 1 이상의 다각형의 위치를 식별한다. 추가적인 실시예에서, 데이터 구조는 기술, 프로세스, 또는 그것의 일부 조합에 의해 조직화된 설계 데이터의 예(예컨대, DBC 빈 규정에 대한 POI 설계 예)를 함유하는 라이브러리를 포함한다.In one embodiment, the DBC identifies one or more polygons in the design data where the defect is located or near the defect. In another embodiment, the DBC identifies the location of one or more polygons in the design data. In further embodiments, the data structure includes a library containing examples of design data organized by technology, process, or some combination thereof (eg, POI design examples for DBC bean definitions).

일부 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터를 결함 주위 영역 내의 설계 데이터와 결함이 위치한 영역 내의 설계 데이터로 분리하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 상기 할당 단계의 결과를 사용하여 체계적 결함, 잠재적인 체계적 결함, 또는 그것의 일부 조합을 시간에 걸쳐 감시하는 단계를 포함한다. 추가적인 실시예에서, DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 DBC에 대한 KP 값을 결정하는 단계를 포함한다. KP 값은 DBC에 대응하는 전기 테스트 데이터 및 설계 데이터의 1 이상의 속성에 기초하여 결정할 수 있다. 추가의 실시예에서, 상기 방법은 1 이상의 결함에 할당된 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 1 이상의 DBC에 대한 KP 값을 감시하는 단계와, 결함에 할당된 DBC에 대한 KP 값을 결함에 할당하는 단계를 포함한다.In some embodiments, the method includes separating design data near the location of the defect into design data in the area around the defect and design data in the area in which the defect is located. In another embodiment, the method includes monitoring over time a systematic defect, a potential systematic defect, or some combination thereof using the results of the assigning step. In a further embodiment, determining the KP value for the one or more DBCs based on one or more attributes of the design data corresponding to the DBC. The KP value may be determined based on one or more attributes of the electrical test data and design data corresponding to the DBC. In a further embodiment, the method includes determining a KP value for one or more defects based on one or more attributes of the design data corresponding to the DBC assigned to the one or more defects. In another embodiment, the method includes monitoring KP values for one or more DBCs and assigning KP values for DBCs assigned to the defects to the defects.

일 실시예에서, 상기 부분의 적어도 일부의 치수는 상이하다. 다른 실시예에서, 그 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 다른 실시예에서, 상기 방법은 웨이퍼 상의 정렬 사이트에 대해 검사 시스템에 의해 취득한 데이터와, 소정의 정렬 사이트에 대한 데이터를 비교함으로써, 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함의 검출 동안에 검사 시스템에 의해 취득한 데이터를 리뷰를 위해 결정된 설계 데이터 내의 위치에 비교함으로써 설계 데이터 내의 결함의 위치를 결정하는 단계를 포함한다.In one embodiment, the dimensions of at least some of the portions are different. In another embodiment, the design data in that portion includes design data for one or more design layers. In another embodiment, the method includes determining a location of a defect in the design data space by comparing the data obtained by the inspection system for the alignment site on the wafer with the data for the predetermined alignment site. In a further embodiment, the method includes determining a location of a defect in the design data by comparing the data acquired by the inspection system during detection of the defect to a location in the design data determined for review.

일 실시예에서, 상기 할당 단계는 상기 부분 내의 설계 데이터에 적어도 유사하며, 상기 부분 내의 설계 데이터의 1 이상의 속성에 적어도 유사한 1 이상의 속성을 갖는 설계 데이터에 대응하는 DBC를 결함에 할당하는 단계를 포함한다. 그러한 일 실시예에서, 1 이상의 속성은 결함이 검출된 검사의 1 이상의 속성, 검사의 1 이상의 매개변수, 또는 그것의 일부 조합을 포함한다.In one embodiment, the assigning step comprises assigning a defect to the DBC corresponding to the design data having at least one attribute that is at least similar to the design data in the portion and at least similar to at least one attribute of the design data in the portion. do. In one such embodiment, the one or more attributes include one or more attributes of the inspection for which a defect was detected, one or more parameters of the inspection, or some combination thereof.

일 실시예에서, 결함의 위치에 가까운 설계 데이터는 결함이 위치하는 설계 데이터를 포함한다. 다른 실시예에서, 결함의 위치에 가까운 설계 데이터는 결함의 위치 주변의 설계 데이터를 포함한다. 추가적인 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터의 부분 내의 다각형에 대한 각 그룹 내의 결함의 위치가 적어도 유사하도록, 1 이상의 DBC가 할당된 결함을 그룹으로 비닝하는 단계를 포함한다.In one embodiment, the design data close to the location of the defect includes design data where the defect is located. In another embodiment, the design data close to the location of the defect includes design data around the location of the defect. In a further embodiment, the method includes binning the defects assigned to one or more DBCs into groups such that the position of the defects in each group relative to the polygons in the portion of the design data close to the position of the defects is at least similar.

일 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 리뷰를 위한 결함의 적어도 일부를 선택하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 리뷰를 위한 결함을 샘플링하기 위한 프로세스를 생성하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계를 포함한다. 일부 실시예에서, 상기 방법은 검사 결과에 기초하여 검사 동안 검사를 위한 프로세스를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 변경하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 위한 샘플링 플랜을 변경하는 단계를 포함한다. 또한, 상기 방법은 측정, 테스트, 리뷰, 또는 그것의 일부 조합이 구동시 수행될 웨이퍼 상의 위치를 할당 단계의 결과에 기초하여 결정하는 단계를 포함할 수 있다.In one embodiment, the method includes selecting at least a portion of the defect for review based on the result of the assigning step. In another embodiment, the method includes generating a process for sampling a defect for review based on the result of the assigning step. In a further embodiment, the method includes modifying the process for inspecting the wafer based on the results of the allocating step. In some embodiments, the method includes changing the process for the inspection during the inspection based on the inspection result. In a further embodiment, the method includes changing the metrology process for the wafer based on the result of the assigning step. In another embodiment, the method includes changing the sampling plan for the metrology process for the wafer based on the results of the assigning step. The method may also include determining a location on the wafer on which the measurement, test, review, or some combination thereof is to be performed upon driving based on the result of the assigning step.

다른 실시예에서, 상기 방법은 1 이상의 DBC를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여, 설계 데이터가 인쇄될 웨이퍼에 대해 수행될 1 이상의 프로세스를 최적화하는 단계를 포함한다.In another embodiment, the method includes prioritizing one or more DBCs and optimizing one or more processes to be performed on the wafer on which the design data will be printed based on the results of the prioritization steps. .

일 실시예에서, 상기 방법은 결함에 할당된 DBC에 기초하여 결함의 근본 원인을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함의 적어도 일부를 실험적 프로세스 창 결과에 매핑함으로써 결함의 적어도 일부의 근본 원인을 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함의 적어도 일부를 시뮬레이션된 실험적 프로세스 창 결과에 매핑함으로써 결함의 적어도 일부의 근본 원인을 결정하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 1 이상의 DBC에 대응하는 근본 원인을 결정하는 단계와, 결함에 할당된 DBC에 대응하는 근본 원인에 기초하여 결함에 근본 원인을 할당하는 단계를 포함한다.In one embodiment, the method includes determining the root cause of the defect based on the DBC assigned to the defect. In another embodiment, the method includes determining the root cause of at least a portion of the defect by mapping at least a portion of the defect to an experimental process window result. In a further embodiment, the method includes determining the root cause of at least a portion of the defect by mapping at least a portion of the defect to a simulated experimental process window result. In a further embodiment, the method includes determining a root cause corresponding to one or more DBCs and assigning a root cause to the defect based on the root cause corresponding to the DBC assigned to the defect.

일 실시예에서, 상기 방법은 1 이상의 DBC가 할당되는 결함에 의해 영향을 받는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 웨이퍼 상의 위치의 수에 대한 적어도 하나의 DBC가 할당된 결함의 수의 비율을 결정하는 단계를 포함한다.In one embodiment, the method includes determining the percentage of die formed on a wafer that is affected by a defect to which one or more DBCs are assigned. In another embodiment, the method includes determining a POI in design data corresponding to at least one DBC, and determining a ratio of the number of defects assigned at least one DBC to the number of locations on the wafer. do.

일부 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 설계 데이터 내의 1 이상의 POI의 위치의 수에 대한 DBC가 할당된 적어도 하나의 결함의 수의 비율을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 적어도 하나의 DBC가 할당된 결함이 위치되는 웨이퍼 상에서 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 POI에 우선순위를 할당하는 단계를 포함한다.In some embodiments, the method includes determining at least one POI in the design data corresponding to the at least one DBC, and determining the number of at least one defect assigned a DBC for the number of locations of the at least one POI in the design data. Determining the ratio. In another embodiment, the method includes determining a POI in design data corresponding to at least one DBC, determining a percentage of the die formed on the wafer where the defect to which the at least one DBC is assigned is located, and the percentage thereof. Assigning a priority to the POI based on the.

일 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 검출된 웨이퍼 상의(예컨대, 웨이퍼의 검사 영역 상의) 전체 설계 인스턴스(예컨대, DBC 빈 규정으로부터의 POI 설계 예의)의 수에 의해 1 이상의 DBC를 우선순위화하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 적어도 한번 검출된 웨이퍼 상에 설계 데이터를 인쇄하는데 사용된 레티클 상의(예컨대, 레티클의 검사 영역 상의) 설계 인스턴스의 수에 의해 1 이상의 DBC를 우선순위화하는 단계를 포함한다.In one embodiment, the method includes one or more DBCs assigned to one or more by the number of design instances (e.g., POI design examples from DBC bin regulations) on a wafer (e.g., on the inspection area of the wafer) where a defect has been detected. Prioritizing the DBC. In another embodiment, the method comprises one or more DBCs by the number of design instances on the reticle (eg, on the inspection area of the reticle) used to print design data on a wafer on which at least one defect has been assigned at least one DBC. Prioritizing.

다른 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 검출된 레티클 상의(예컨대, 레티클의 검사 영역 상의) 위치의 수와, 1 이상의 DBC가 할당된 결함의 위치에 가까운 설계 데이터의 부분에 유사한 레티클 상에 인쇄된 설계 데이터(예컨대, DBC 빈 규정으로부터의 POI 설계 예)의 부분의 전체 수에 기초하여, 1 이상의 DBC에 대한 레티클-기반 마진을 결정하는 단계를 포함한다.In another embodiment, the method includes a number of locations on the reticle (eg, on the inspection area of the reticle) where a defect assigned one or more DBCs is detected and a portion of design data close to the location of the defects assigned one or more DBCs. Determining a reticle-based margin for one or more DBCs based on the total number of portions of design data (eg, POI design examples from DBC bin specifications) printed on similar reticles.

일부 실시예에서, 상기 방법은 상기 비교 단계 이전에, 여기에 기술하는 바와 같이 수행될 수 있는, 결함의 위치에 가까운 설계 데이터의 부분을 제 1 비트맵으로 변환하는 단계와, 상기 비교 단계 이전에, 여기에 기술하는 바와 같이 수행할 수 있는, DBC에 대응하는 설계 데이터를 제 2 비트맵으로 변환하는 단계를 포함한다. 그러한 일 실시예에서, 상기 비교 단계는 제 1 비트맵과 제 2 비트맵을 비교하는 단계를 포함한다.In some embodiments, the method converts a portion of the design data close to the location of the defect into a first bitmap, which may be performed as described herein, before the comparing step, and before the comparing step. Converting the design data corresponding to the DBC into a second bitmap, which may be performed as described herein. In one such embodiment, the comparing step includes comparing the first bitmap and the second bitmap.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템에 의해서 수행할 수 있다.Each embodiment of the foregoing method may include any other step of any of the methods described herein. In addition, each embodiment of the method described above may be performed by any of the systems described herein.

추가의 실시예는 웨이퍼에 대한 검사 프로세스를 변경하는 방법에 관한 것이다. 상기 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계를 포함한다. 상기 방법은 1 이상의 POI의 위치에서 결함이 검출되었어야 하는지를 리뷰 단계의 결과에 기초하여 판정하는 단계를 또한 포함한다. 또한, 상기 방법은 1 이상의 POI의 적어도 일부에 위치한 결함에 대한 1 이상의 결함 포착률을 향상하기 위해 검사 프로세스를 변경하는 단계를 포함한다.Further embodiments relate to a method of modifying an inspection process for a wafer. The method includes reviewing a location on the wafer where one or more POIs in the design data are printed. The method also includes determining based on the results of the review step whether a defect should have been detected at the location of one or more POIs. The method also includes modifying the inspection process to improve one or more defect capture rates for defects located in at least a portion of one or more POIs.

일 실시예에서, 상기 변경 단계는 검사 프로세스를 수행하는데 사용된 검사 시스템의 광학 모드를 변경하는 단계를 포함한다. 다른 실시예에서, 상기 변경 단계는 판정 단계의 결과에 기초하여 검사 프로세스를 수행하기 위해 사용된 검사 시스템의 광학 모드를 변경하는 단계를 포함한다. 추가적인 실시예에서, 변경 단계는 검사 프로세스의 결과에서의 노이즈를 억제하기 위해 검사 프로세스를 변경하는 단계를 포함한다. 추가의 실시예에서, 상기 변경 단계는 관심없는 결함의 검출을 줄이기 위해 검사 프로세스를 변경하는 단계를 포함한다. 또 다른 실시예에서, 변경 단계는 검사 프로세스에 사용된 알고리즘을 변경하는 단계를 포함한다. 또 다른 실시예에서, 변경 단계는 검사 프로세스에 사용된 알고리즘의 1 이상의 매개변수를 변경하는 단계를 포함한다.In one embodiment, the changing step includes changing the optical mode of the inspection system used to perform the inspection process. In another embodiment, the changing step includes changing an optical mode of the inspection system used to perform the inspection process based on the result of the determining step. In a further embodiment, the modifying step includes modifying the inspecting process to suppress noise in the results of the inspecting process. In a further embodiment, the modifying step includes modifying the inspection process to reduce detection of defects of no interest. In yet another embodiment, the changing step includes changing the algorithm used in the inspection process. In yet another embodiment, the changing step includes changing one or more parameters of the algorithm used in the inspection process.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다. 또한, 전술한 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the foregoing method may include any step of any of the methods described herein. In addition, each embodiment described above may be performed by any of the systems described herein.

추가적인 실시예는 설계 및 결함 데이터를 표시하고 분석하도록 구성된 시스템에 관한 것이다. 시스템은 반도체 장치에 대한 설계 레이아웃, 반도체 장치의 적어도 일부가 형성된 웨이퍼에 대해 취득된 인라인 검사 데이터, 웨이퍼에 대해 취득된 전기 테스트 데이터를 표시하도록 구성되는 유저 인터페이스를 포함한다. 유저 인터페이스는 반도체 장치에 대한 모델링된 데이터 및/또는 웨이퍼에 대한 오류 분석 데이터를 표시하도록 구성될 수 있다. 시스템은 유저 인터페이스를 통하여 유저로부터 분석을 수행하라는 지령을 수신시, 1 이상의 설계 레이아웃, 인라인 검사 데이터, 및 전기 테스트 데이터를 분석하도록 구성된 프로세서를 또한 포함한다. 프로세서는 전술한 바와 같이 모델링된 데이터 및/또는 오류 분석 데이터를 분석하도록 구성될 수 있다.Further embodiments relate to a system configured to display and analyze design and defect data. The system includes a user interface configured to display a design layout for a semiconductor device, inline inspection data acquired for a wafer on which at least a portion of the semiconductor device is formed, and electrical test data acquired for the wafer. The user interface may be configured to display modeled data for the semiconductor device and / or error analysis data for the wafer. The system also includes a processor configured to analyze the one or more design layouts, inline inspection data, and electrical test data upon receiving instructions from the user through a user interface. The processor may be configured to analyze the modeled data and / or error analysis data as described above.

일 실시예에서, 전기 테스트 데이터는 로직 비트맵 데이터를 포함한다. 다른 실시예에서, 유저 인터페이스는, 가능하게는 여기에 기술한 임의의 다른 데이터와 조합하여, 설계 레이아웃, 인라인 검사 데이터 및 전기 테스트 데이터의 적어도 2가지의 오버레이를 표시하도록 구성된다. 그러한 일 실시예에서, 전기 테스트 데이터는 로직 비트맵 데이터를 포함한다. 일부 실시예에서, 프로세서는 유저 인터페이스를 통하여 유저로부터 결함 밀도 결정 단계를 수행하라는 지령을 수신 시, 설계 데이터 스페이스 내의 결함 밀도를 결정하도록 구성된다. 추가의 실시예에서, 유저 인터페이스를 통하여 유저로부터 결함 샘플링 단계를 수행하라는 지령을 수신시 리뷰를 위한 결함 샘플링을 수행하도록 구성된다. 추가의 실시예에서, 유저 인터페이스를 통하여 유저로부터 그룹화 단계를 수행하라는 지령을 수신시, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 레이아웃의 유사도에 기초하여, 결함을 그룹화하도록 구성된다. 여기에 기술한 시스템의 각각의 실시예는 여기에 기술하는 바와 같이 추가로 구성될 수 있다.In one embodiment, the electrical test data includes logic bitmap data. In another embodiment, the user interface is configured to display at least two overlays of design layout, inline inspection data and electrical test data, possibly in combination with any other data described herein. In one such embodiment, the electrical test data includes logic bitmap data. In some embodiments, the processor is configured to determine a defect density in the design data space upon receiving a command from the user to perform a defect density determination step through the user interface. In a further embodiment, it is configured to perform defect sampling for review upon receiving an instruction to perform a defect sampling step from the user via the user interface. In a further embodiment, upon receiving an instruction to perform a grouping step from the user via the user interface, the defects are configured to be grouped based on the similarity of the design layout close to the position of the defect in the design data space. Each embodiment of the system described herein may be further configured as described herein.

추가의 실시예는 웨이퍼 상에서 검출된 전기적 결함의 근본 원인을 결정하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 설계 데이터 스페이스 내의 전기적 결함의 위치를 결정하는 단계를 포함한다. 상기 방법은 전기적 결함의 부분의 위치가 1 이상의 프로세스 조건에 대응하는 공간적 시그네처(spatial signature)를 규정하는지를 판정하는 단계를 또한 포함한다. 전기적 결함의 부분의 위치가 1 이상의 프로세스 조건에 대응하는 공간적 시그네처를 규정하는 경우, 상기 방법은 전기적 결함의 부분의 근본 원인을 1 이상의 프로세스 조건으로서 식별하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 전기 테스트 결과에 대한 공간적 시그네처 분석을 수행하는 단계를 포함한다. 상기 방법은 식별 단계의 결과를 저장 매체에 저장하는 단계를 포함한다. 전술한 방법의 실시예는 여기에 기술한 임의의 다른 단계를 포함할 수 있다. 전술한 방법의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다. Further embodiments relate to computer-implemented methods for determining the root cause of electrical defects detected on a wafer. The method includes determining a location of an electrical fault within a design data space. The method also includes determining if the location of the portion of the electrical defect defines a spatial signature corresponding to one or more process conditions. If the location of the portion of the electrical defect defines a spatial signature corresponding to one or more process conditions, the method includes identifying the root cause of the portion of the electrical defect as one or more process conditions. In this manner, the method includes performing a spatial signature analysis on the electrical test results. The method includes storing the result of the identifying step in a storage medium. Embodiments of the method described above may include any other steps described herein. Embodiments of the foregoing methods may be performed by any of the system embodiments described herein.

또 다른 실시예는 웨이퍼 상에서 검출된 결함을 리뷰를 위해 선택하는 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 웨이퍼의 1 이상의 영역을 식별하는 단계를 포함한다. 1 이상의 영역은 웨이퍼 상의 1 이상의 결함 유형(예컨대, 가능하게는 체계적 결함)의 위치와 관련된다. 상기 방법은 리뷰를 위해 1 이상의 영역에서만 검출된 결함을 선택하는 단계를 포함한다. 또한, 상기 방법은 선택 단계의 결과를 저장 매체에 저장하는 단계를 포함한다. 이러한 방법 실시예는 여기에 기술한 임의의 다른 단계를 포함할 수 있다. 이러한 방법 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행될 수 있다.Yet another embodiment is directed to a computer-implemented method of selecting a defect detected on a wafer for review. The method includes identifying one or more regions of the wafer. One or more regions are associated with the location of one or more defect types (eg, possibly systematic defects) on the wafer. The method includes selecting a detected defect in only one or more areas for review. The method also includes storing the results of the selection step in a storage medium. Such method embodiments may include any other steps described herein. Such method embodiments may be performed by any of the system embodiments described herein.

전술한 바와 같은 방법이 사용될 수 있는 복수의 리뷰 이용 케이스가 있다. 예컨대, 전술한 방법은 잠재적인 체계적 결함의 리스트로부터의 체계적 결함 입증을 위해 사용될 수 있고, 이는 탐색 단계 또는 감시 단계의 유지 동안에 수행될 수 있다. 또한, 전술한 방법은 알려진 핫 스폿(탐색 단계 또는 레시피 셋업 동안에 수행될 수 있는, 임의의 패턴 검색에 의해 식별될 수 있는)에 유사한 로컬 패턴(즉, 로컬 설계 데이터)를 갖는 알려진 핫 스폿 또는 위치를 리뷰함으로써 체계적 결함 포착을 위해 사용할 수 있다. 상기 방법은 핫 스폿에서 또는 그 근처에서 검출된 결함의 입증 또는 분류를 위해 사용될 수 있으며, 이는 감시 동안에 수행될 수 있다.There are a plurality of review use cases in which the method as described above may be used. For example, the method described above can be used for systematic defect validation from a list of potential systematic defects, which can be performed during maintenance of the search phase or the monitoring phase. In addition, the method described above is a known hot spot or location having a local pattern (i.e., local design data) similar to a known hot spot (which can be identified by any pattern search, which can be performed during a search step or recipe setup). Can be used to capture systematic defects. The method can be used to verify or classify a defect detected at or near a hot spot, which can be performed during monitoring.

전술한 영역 정보는 특정 영역으로부터의 결함을 샘플링하기 위해서뿐만 아니라, 웨이퍼의 모든 영역으로부터의 결함을 일부 지능적 방식으로 샘플링하고 및/또는 설계로부터 설계-결정 주요 영역의 특정 유형을 발견하거나 위치시킬 확률이 높은 웨이퍼의 특정 영역으로 추출된 주요 영역을 보정하기 위해 사용될 수 있다. 설계 데이터로부터 추출된 주요 영역은 단일 장치를 위한 것일 수 있지만, 주요 영역에 기인한 실제 검사 결함을 발견할 확률은 다른 영역보다는 특정 웨이퍼 영역에서 더욱 현저할 수 있다. 이러한 방식에서, 상기 방법은 전술한 영역 분석을 사용하여 다이로부터의 결함 정보를 웨이퍼로 보외(補外)하는 단계를 포함할 수 있다. 이러한 실시예는 여기에 기술한 임의의 다른 정보를 사용할 수 있다.The aforementioned region information is not only for sampling defects from specific regions, but also the probability of sampling defects from all regions of the wafer in some intelligent manner and / or finding or locating specific types of design-determined key regions from the design. It can be used to correct the key areas extracted into specific areas of this high wafer. While the key areas extracted from the design data may be for a single device, the probability of finding actual inspection defects due to the key areas may be more pronounced in certain wafer areas than in other areas. In this manner, the method may include extrapolating defect information from the die to the wafer using the area analysis described above. This embodiment may use any other information described herein.

또 다른 실시예는 설계 데이터에 대한 1 이상의 수율 관련 프로세스를 평가하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 룰 체크 또는 여기에 기술한 임의의 적합한 단계 또는 방법을 사용하여 설계 데이터 내의 잠재적 오류를 식별하는 단계를 포함한다. 상기 방법은 잠재적 오류의 1 이상의 속성을 결정하는 단계를 또한 포함한다. 또한, 상기 방법은 1 이상의 속성에 기초하여 잠재적 오류가 검출가능한지를 결정하는 단계를 포함한다. 상기 방법은 1 이상의 속성에 기초하여, 복수의 상이한 검사 시스템 중에서 어느 것이 잠재적 오류를 검출하는데 가장 적합한지를 결정하는 단계를 포함한다. 또한, 상기 방법은 복수의 상이한 검사 시스템 중에서 어느 것이 잠재적 오류를 검출하는데 가장 적합한지의 결정 결과를 저장 매체에 저장하는 단계를 포함한다.Another embodiment is directed to a computer-implemented method for evaluating one or more yield related processes for design data. The method includes identifying potential errors in the design data using rule checking or any suitable step or method described herein. The method also includes determining one or more attributes of the potential error. The method also includes determining whether a potential error is detectable based on one or more attributes. The method includes determining, based on one or more attributes, which of the plurality of different inspection systems is best suited for detecting potential errors. The method also includes storing, on a storage medium, the result of determination of which of the plurality of different inspection systems is best suited for detecting potential errors.

일 실시예에서, 상기 방법은 가장 적합하다고 결정된 검사 시스템의 1 이상의 매개변수를 선택하는 단계를 포함한다. 매개변수는 1 이상의 속성에 기초하여 선택된다. 이러한 방식에서, 최선의 검사 시스템 유형은 관심 있는 결함의 속성에 기초하여 추정되거나 선택될 수 있다. 다른 실시예에서, 상기 방법은 설계 데이터에 의해 제조되는 장치의 수율에 대한 잠재적 오류의 영향을 결정하는 단계를 포함한다. 전술한 방법 실시예의 각각은 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법 실시예의 각각은 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.In one embodiment, the method includes selecting one or more parameters of the inspection system determined to be most suitable. The parameter is selected based on one or more attributes. In this manner, the best inspection system type can be estimated or selected based on the nature of the defect of interest. In another embodiment, the method includes determining the effect of potential error on the yield of the device manufactured by the design data. Each of the foregoing method embodiments may include any other step of any of the methods described herein. In addition, each of the foregoing method embodiments may be performed by any system embodiment described herein.

추가의 실시예는 전술한 임의의 컴퓨터-구현 방법을 수행하기 위해 프로세서상에서 실행가능한 프로그램 지령을 포함하는 캐리어 매체(carrier medium)에 관한 것이다. 추가적인 실시예는 여기에 기술한 임의의 컴퓨터-구현 방법을 수행하도록 구성된 시스템에 관한 것이다. 상기 시스템은 여기에 기술한 1 이상의 컴퓨터-구현 방법을 수행하기 위한 컴퓨터 지령을 실행하도록 구성된 프로세서를 포함할 수 있다. 일 실시예에서, 상기 시스템은 독립 시스템일 수 있다. 다른 실시예에서, 상기 시스템은 웨이퍼 검사 시스템과 같은 검사 시스템의 일부이거나 그것에 결합될 수 있다. 다른 실시예에서, 상기 시스템은 결함 리뷰 시스템의 일부이거나 그것에 결합될 수 있다. 또 다른 실시예에서, 상기 시스템은 팹 데이터베이스에 결합될 수 있다. 상기 시스템은 와이어, 케이블, 무선 통신 패쓰, 및/또는 네트워크와 같은 전송 매체에 의해 검사 시스템, 리뷰 시스템, 및/또는 팹 데이터베이스에 결합될 수 있다. 전송 매체는 "유선" 또는 "무선" 부분을 포함할 수 있다.Further embodiments relate to a carrier medium containing program instructions executable on a processor to perform any of the computer-implemented methods described above. Additional embodiments relate to systems configured to perform any of the computer-implemented methods described herein. The system may include a processor configured to execute computer instructions for performing one or more computer-implemented methods described herein. In one embodiment, the system may be an independent system. In other embodiments, the system may be part of or coupled to an inspection system, such as a wafer inspection system. In other embodiments, the system may be part of or coupled to a defect review system. In another embodiment, the system can be coupled to a fab database. The system may be coupled to the inspection system, review system, and / or fab database by transmission media such as wires, cables, wireless communication paths, and / or networks. The transmission medium may include a "wired" or "wireless" portion.

검사 데이터를 설계 데이터에 서브-픽셀 정확도(픽셀의 사이즈는 검사되고 있는 구조의 크기 정도일 수 있다)로 정렬시키는 방법 및 시스템을 제공한다.A method and system are provided for aligning inspection data with design data with sub-pixel accuracy (the size of a pixel can be as large as the size of the structure being inspected).

본 발명의 추가의 이점은 바람직한 실시예의 이하의 상세한 설명과 첨부 도면을 참조할 때 당업자에게 자명해질 것이다.
도 1은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터 구현 방법의 일 실시예를 도시하는 플로차트.
도 2 및 도 3은 소정의 정렬 사이트의 다른 실시예의 상면도를 나타내는 개략도.
도 4는 웨이퍼간 비교를 수행하기 위한 컴퓨터 구현 방법의 각종 실시예를 설명하는 계층도.
도 5는 웨이퍼간 비교를 수행하기 위한 컴퓨터 구현 방법의 일 실시예를 설명하는 개략도.
도 6은 환형 링으로 분리된 웨이퍼 표면 영역에 대해 취득된 검사 데이터의 일 실시예의 상면도를 나타내는 개략도.
도 7은 반경 방향 섹터로 분리된 웨이퍼 표면 영역에 대해 취득된 검사 데이터의 일 실시예의 상면도를 나타내는 개략도.
도 8은 웨이퍼간 비교를 수행하기 위한 컴퓨터 구현 방법의 다른 실시예를 나타내는 개략도.
도 9는 웨이퍼 상에 인쇄된 다이(die) 배열의 일 실시예의 상면도를 나타내는 개략도.
도 10은 프레임(frame)으로 분리된 웨이퍼 상의 인쇄 다이에 대하여 취득된 검사 데이터의 일 실시예의 상면도를 나타내는 개략도.
도 11은 웨이퍼간 비교를 수행하기 위한 컴퓨터 구현 방법의 다른 실시예를 나타내는 개략도.
도 12는 웨이퍼 상의 스캔 경로와, 웨이퍼 상에 인쇄된 다이 배열의 일 실시예의 상면도를 나타내는 개략도.
도 13은 웨이퍼에 대하여 취득된 검사 데이터의 연속 스와스(swath)의 상면도를 나타내는 개략도.
도 14는 웨이퍼에 대하여 취득된 검사 데이터의 연속 스와스와, 스와스 오버랩 영역 내의 데이터를 사용하여 스와스 N에 대한 스와스 N+1의 위치를 결정하기 위한 컴퓨터 구현 방법에 의해 선택된 정렬 사이트의 상면도를 나타내는 개략도.
도 14a는 정렬 사이트가 제 1 검사 스와스로부터 비교적 멀리 이격된 웨이퍼에 대하여 취득된 검사 데이터의 다른 스와스의 일 실시예의 상면도를 나타내는 개략도.
도 14b 내지 도 14d는 웨이퍼에 대하여 취득된 검사 데이터의 다른 스와스의 각종 실시예의 상면도를 나타내는 개략도.
도 15는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터 구현 방법의 다른 실시예를 나타내는 플로차트.
도 16은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템의 각종 실시예의 측면도를 나타내는 개략도.
도 17은 웨이퍼 상의 검출된 결함을 비닝(binning) 하기 위한 컴퓨터 구현 방법의 일 실시예를 나타내는 개략도.
도 18은 삼각형 배열로 웨이퍼 상에 위치된, 3개의 다른 다이 내의 웨이퍼 상의 배열 사이트의 일 실시예의 상면도를 나타내는 개략도.
도 19는 웨이퍼 상에서 검출된 결함을 비닝하기 위한 컴퓨터 구현 방법의 다른 실시예를 나타내는 개략도.
도 20은 여기에 기술한 실시예에 따라 웨이퍼 상에서 검출된 결함을 비닝하기 위한 컴퓨터 구현 방법을 수행하도록 구성된 모듈에의 입력 및 그것으로부터의 출력의 일 실시예를 나타내는 개략도.
도 21 및 도 22는 도 20의 모듈의 출력의 다른 실시예를 나타내는 개략도.
도 23은 도 20의 모듈의 입력 및 출력의 일 실시예를 나타내는 개략도.
도 24는 도 20의 모듈의 출력의 일 실시예의 상면도를 나타내는 개략도.
도 25는 결함 데이터 및 설계를 표시하고 분석하도록 구성된 시스템의 일 실시예의 측면도를 나타내는 개략도.
도 26은 웨이퍼 상의 1 이상의 결함 유형의 위치와 연관된 웨이퍼 상의 1 이상의 영역의 일 실시예의 상면도를 나타내는 개략도.
도 27은 설계 데이터에 대한 1 이상의 수율(yield) 관련 프로세스를 평가하기 위한 컴퓨터 구현 방법의 일 실시예를 나타내는 플로차트.
본 발명은 각종 변형예 및 대안적 형태의 여지가 있지만, 도면에서는 그것의 특정 실시예를 예시적으로 도시하였고, 여기에서 상세히 기술할 것이다. 도면은 크기 조정되지 않을 수 있다. 하지만, 도면 및 그것에 대한 상세한 설명은 본 발명을 개시된 특정 형태로 한정하도록 의도된 것이 아니고, 첨부한 청구의 범위에 의해 규정된 바와 같이 본 발명의 정신 및 범주 내의 모든 변형예, 등가물 및 대안을 포함하도록 의도된다.
Additional advantages of the present invention will become apparent to those skilled in the art from the following detailed description of the preferred embodiments and accompanying drawings.
1 is a flow diagram illustrating one embodiment of a computer implemented method for determining the location of inspection data within a design data space.
2 and 3 are schematic diagrams showing a top view of another embodiment of a given alignment site.
4 is a hierarchical diagram illustrating various embodiments of a computer implemented method for performing inter-wafer comparisons.
5 is a schematic diagram illustrating one embodiment of a computer implemented method for performing inter-wafer comparisons.
FIG. 6 is a schematic diagram illustrating a top view of one embodiment of inspection data acquired for a wafer surface region separated by an annular ring. FIG.
7 is a schematic diagram showing a top view of one embodiment of inspection data acquired for a wafer surface area divided into radial sectors.
8 is a schematic diagram illustrating another embodiment of a computer implemented method for performing inter-wafer comparisons.
9 is a schematic diagram illustrating a top view of one embodiment of a die array printed on a wafer.
10 is a schematic diagram illustrating a top view of one embodiment of inspection data acquired for a print die on a wafer separated into frames.
11 is a schematic diagram illustrating another embodiment of a computer implemented method for performing inter-wafer comparisons.
12 is a schematic diagram illustrating a top view of one embodiment of a scan path on a wafer and a die arrangement printed on the wafer.
Fig. 13 is a schematic diagram showing a top view of a continuous swath of inspection data acquired for a wafer.
14 is a top view of an alignment site selected by a computer-implemented method for determining the position of swath N + 1 relative to swath N using the continuous swath of inspection data acquired for the wafer and the data in the swath overlap region. Schematic diagram showing a diagram.
14A is a schematic diagram illustrating a top view of one embodiment of another swath of inspection data acquired for a wafer where the alignment sites are relatively spaced apart from the first inspection swath.
14B to 14D are schematic diagrams showing top views of various embodiments of different swaths of inspection data acquired for a wafer.
15 is a flowchart illustrating another embodiment of a computer-implemented method for determining the location of inspection data in a design data space.
16 is a schematic diagram illustrating a side view of various embodiments of a system configured to determine a location of inspection data within a design data space.
FIG. 17 is a schematic diagram illustrating one embodiment of a computer implemented method for binning detected defects on a wafer. FIG.
18 is a schematic diagram illustrating a top view of one embodiment of an array site on a wafer in three different dies, positioned on the wafer in a triangular array.
19 is a schematic diagram illustrating another embodiment of a computer implemented method for binning a defect detected on a wafer.
20 is a schematic diagram illustrating one embodiment of an input to and output from a module configured to perform a computer implemented method for binning a defect detected on a wafer in accordance with an embodiment described herein.
21 and 22 are schematic diagrams illustrating another embodiment of the output of the module of FIG. 20.
FIG. 23 is a schematic diagram illustrating one embodiment of input and output of the module of FIG. 20; FIG.
24 is a schematic diagram illustrating a top view of one embodiment of the output of the module of FIG. 20.
25 is a schematic diagram illustrating a side view of one embodiment of a system configured to display and analyze defect data and designs.
FIG. 26 is a schematic diagram illustrating a top view of one embodiment of one or more regions on a wafer associated with locations of one or more defect types on a wafer. FIG.
FIG. 27 is a flowchart illustrating one embodiment of a computer implemented method for evaluating one or more yield related processes for design data. FIG.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and will be described in detail herein. The drawings may not be scaled. The drawings and detailed description, however, are not intended to limit the invention to the particular forms disclosed, and include all modifications, equivalents, and alternatives within the spirit and scope of the invention as defined by the appended claims. Intended to.

여기에 사용된 바와 같이, 용어 "웨이퍼"는 반도체 또는 비-반도체 재료로 형성된 기판을 일반적으로 칭한다. 그러한 반도체 또는 비-반도체 재료의 예는 단결정 실리콘, 갈륨 비소, 및 인화 인듐을 비한정적으로 포함한다. 그러한 기판은 반도체 제조 설비 내에서 통상적으로 발견 및/또는 처리될 수 있다.As used herein, the term “wafer” generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples of such semiconductor or non-semiconductor materials include, but are not limited to, single crystal silicon, gallium arsenide, and indium phosphide. Such substrates can typically be found and / or processed within a semiconductor manufacturing facility.

웨이퍼는 기판상에 형성된 1 이상의 층을 포함할 수 있다. 예컨대, 그러한 층은 레지스트, 유전 재료, 및 도전 재료를 비한정적으로 포함할 수 있다. 그러한 층의 여러 가지 다른 유형은 당업계에서 공지되며, 여기에 사용된 바와 같은 용어인 웨이퍼는 그러한 층의 모든 유형을 포함하는 웨이퍼를 포함하도록 의도된다.The wafer may include one or more layers formed on the substrate. For example, such layers may include, but are not limited to, resists, dielectric materials, and conductive materials. Various other types of such layers are known in the art, and the term wafer as used herein is intended to include wafers that include all types of such layers.

웨이퍼 상에 형성된 1 이상의 층은 패터닝되거나 패터닝되지 않을 수 있다. 예컨대, 웨이퍼는 반복가능한 패턴 특징을 각각 갖는 복수의 다이(die)를 포함할 수 있다. 재료의 그러한 층의 형성 및 처리는 궁극적으로 완성된 장치의 결과를 낳을 수 있다. 집적 회로(IC)와 같은 여러 다른 유형의 장치가 웨이퍼 상에 형성될 수 있고, 여기에 개시한 바와 같은 용어인 웨이퍼는 당업계에서 알려진 임의 유형의 장치가 그 위에 형성되는 웨이퍼를 포함하도록 의도된다.One or more layers formed on the wafer may or may not be patterned. For example, the wafer may include a plurality of dies each having repeatable pattern features. Formation and treatment of such layers of material can ultimately result in the finished device. Various other types of devices, such as integrated circuits (ICs), may be formed on a wafer, and the term wafer, as disclosed herein, is intended to include a wafer on which any type of device known in the art is formed. .

여기에서, 웨이퍼에 대하여 실시예를 기술하였지만, 실시예는 통상적으로 마스크 또는 포토마스크라 칭해질 수 있는 레티클과 같은 다른 시편에 대하여 사용될 수 있다는 것을 이해해야 한다. 여러 다른 유형의 레티클이 당업계에서 공지되며, 여기에 개시된 용어 "레티클", "마스크", 및 "포토마스크"는 당업계에 알려진 모든 유형의 레티클을 포함하도록 의도된다.Although embodiments have been described herein with respect to a wafer, it should be understood that the embodiments may be used for other specimens, such as reticles, which may be commonly referred to as masks or photomasks. Many other types of reticles are known in the art, and the terms "reticle", "mask", and "photomask" disclosed herein are intended to include all types of reticles known in the art.

여기에 사용된 바와 같은 용어 "설계 데이터"는 IC의 물리적 설계(레이아웃)와, 복잡한 시뮬레이션 또는 간단한 불 연산(boolean operation)을 통하여 물리적 설계로부터 유도된 데이터를 일반적으로 칭한다. 또한, 레티클 검사 시스템 및/또는 그 유도품에 의해 취득한 레티클의 이미지가 설계 데이터의 "프록시(proxy)" 또는 "프록시들(proxies)"로서 사용될 수 있다. 레티클 이미지 또는 그 유도품은 설계 데이터를 사용하는 여기에 개시한 임의의 실시예에서의 설계 레이아웃의 대체물로서 작용할 수 있다.The term "design data" as used herein generally refers to data derived from a physical design through the physical design (layout) of the IC and through complex simulations or simple boolean operations. In addition, an image of the reticle acquired by the reticle inspection system and / or its derivatives may be used as "proxy" or "proxies" of design data. The reticle image or derivative thereof can serve as a substitute for the design layout in any embodiment disclosed herein using the design data.

예컨대, 일 실시예에서, 레티클 검사 시스템에 의해 생성된 레티클의 이미지는 설계 데이터 스페이스 내의 설계 데이터로서 사용된다. 레티클은 웨이퍼 상에 설계 데이터를 인쇄하기 위해 사용된다. 이러한 방식에서, 레티클 검사 시스템에 의해 생성된 레티클의 이미지는 설계 데이터의 대체물로서 사용될 수 있다. 이러한 실시예에서 사용된 레티클의 이미지는 당업계에 공지된 임의의 레티클 검사 시스템에 의해 임의의 적합한 방식으로 생성된 임의의 적합한 레티클 이미지를 포함할 수 있다. 예컨대, 레티클의 이미지는 고배율 광학적 레티클 검사 시스템 또는 전자 빔 기반 레티클 검사 시스템 각각에 의해 취득한 레티클의 고배율 광학 또는 전자 빔 이미지일 수 있다. 대안적으로, 레티클의 이미지는 에어리얼(aerial) 촬상 레티클 검사 시스템에 의해 취득한 레티클의 에어리얼 이미지일 수 있다. 레티클의 이미지는 1 이상의 단계를 수행하기 위해 설계 데이터를 사용하는 여기에 기술한 임의의 실시예에서 설계 데이터를 위한 프록시로서 사용될 수 있다.For example, in one embodiment, the image of the reticle generated by the reticle inspection system is used as design data in the design data space. The reticle is used to print design data on the wafer. In this manner, an image of the reticle produced by the reticle inspection system can be used as a substitute for design data. The image of the reticle used in this embodiment may comprise any suitable reticle image generated in any suitable manner by any reticle inspection system known in the art. For example, the image of the reticle may be a high magnification optical or electron beam image of the reticle acquired by each of the high magnification optical reticle inspection system or the electron beam based reticle inspection system. Alternatively, the image of the reticle may be an aerial image of the reticle acquired by the aerial imaging reticle inspection system. The image of the reticle may be used as a proxy for design data in any of the embodiments described herein that use design data to perform one or more steps.

추가적인 실시예에서, 방법은 웨이퍼 상에 설계 데이터를 인쇄하는데 사용되는 레티클에 대하여 취득한 레티클 검사 데이터에 기초한 설계 데이터 스페이스 내의 설계 데이터에 대한 콘텍스트 맵(context map)을 생성하는 단계를 포함한다. 이러한 방식에서, 레티클 검사 데이터는 콘텍스트 맵의 생성에 입력으로서 포함될 수 있다. 콘텍스트 맵은 여기에 추가로 기술하는 바와 같이 구성될 수 있다(예컨대, 콘텍스트 맵은 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성(attribute)에 대한 값을 포함할 수 있다). 콘텍스트 맵을 생성하기 위해 사용된 레티클 검사 데이터는 전술한 레티클 이미지의 1 이상과 같은 당업계에 공지된 임의의 적합한 레티클 검사 데이터를 포함할 수 있다. 따라서, 본 실시예에서, 레티클 검사 데이터는 레티클에 걸쳐 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성에 대한 값을 결정하는데 사용될 수 있고, 그 값은 콘텍스트 맵을 생성하기 위해 설계 데이터 스페이스에 매핑(mapping)될 수 있다. 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성에 대한 값을 결정하는 단계는 여기에 기술한 바와 같이 또는 임의의 적합한 방식으로 수행될 수 있다. 설계 데이터의 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 레티클 스페이스로부터 설계 데이터 스페이스로의 1 이상의 속성에 대한 값의 매핑은 여기에 추가로 기술한 바와 같이 수행될 수 있다. 그러한 콘텍스트 맵은 1 이상의 단계를 수행하기 위해 콘텍스트 맵을 사용하는 단계를 포함하는 여기에 기술한 임의의 실시예에서 사용될 수 있다. 또한, 그러한 콘텍스트 맵은 여기에 기술한 바와 같이 및/또는 여기에 기술한 임의의 다른 정보에 기초하여 추가로 생성될 수 있다.In a further embodiment, the method includes generating a context map for the design data in the design data space based on the reticle inspection data obtained for the reticle used to print the design data on the wafer. In this manner, the reticle inspection data can be included as input to the creation of the context map. The context map may be configured as described further herein (eg, the context map may include values for one or more attributes of design data across the design data space). The reticle inspection data used to generate the context map may include any suitable reticle inspection data known in the art, such as one or more of the reticle images described above. Thus, in this embodiment, the reticle inspection data can be used to determine values for one or more attributes of the design data printed on the reticle across the reticle, which values are mapped to the design data space to generate a context map. mapping). Determining a value for one or more attributes of the design data printed on the reticle may be performed as described herein or in any suitable manner. One or more attributes of the design data may include any of the attributes described herein. The mapping of values for one or more attributes from the reticle space to the design data space may be performed as further described herein. Such a context map may be used in any of the embodiments described herein, including using the context map to perform one or more steps. In addition, such a context map may be further generated as described herein and / or based on any other information described herein.

레티클 이미지로부터 유도된 이미지는 설계 데이터에 대한 "프록시"로서 역할할 수 있다. 예컨대, 레티클 검사 시스템 또는 임의의 다른 적합한 촬상 시스템에 의해 생성된 레티클 이미지는 설계 데이터에 대한 "프록시"로서 사용될 수 있는, 레티클 이미지가 어떻게 웨이퍼 상에 인쇄될 수 있는지를 설명하는 시뮬레이션된 이미지를 생성하는데 사용될 수 있다. 일 실시예에서, 레티클 이미지가 어떻게 웨이퍼 상에 인쇄되는지를 나타내는 시뮬레이션된 이미지는 설계 데이터 스페이스 내의 설계 데이터로서 사용될 수 있다. 이러한 방식에서, 레티클 이미지가 웨이퍼 표면에 어떻게 나타나는지의 시뮬레이션은 설계 데이터의 대체물로서 역할할 수 있다. 시뮬레이션된 이미지는 당업계에서 공지된 임의의 적합한 방법 또는 시스템을 사용하는 임의의 방식으로 생성될 수 있다. 시뮬레이션된 이미지는 1 이상의 단계를 수행하기 위해 설계 데이터를 사용하는 여기에 계시한 임의의 실시예에서 설계 데이터에 대한 프록시로서 사용될 수 있다.An image derived from the reticle image can serve as a "proxy" to the design data. For example, a reticle image generated by a reticle inspection system or any other suitable imaging system generates a simulated image that describes how a reticle image can be printed on a wafer, which can be used as a "proxy" to design data. It can be used to In one embodiment, a simulated image showing how the reticle image is printed on the wafer can be used as design data in the design data space. In this way, simulation of how the reticle image appears on the wafer surface can serve as a substitute for design data. The simulated image can be generated in any manner using any suitable method or system known in the art. The simulated image can be used as a proxy for design data in any of the embodiments disclosed herein that use the design data to perform one or more steps.

1 이상의 단계를 수행하기 위해 적어도 부분적으로 설계 데이터가 사용되는 여기에 기술한 실시예에서, 설계 데이터는 전술한 임의의 설계 데이터 또는 설계 데이터 프록시 또는 그것의 임의의 조합을 포함할 수 있다.In embodiments described herein where design data is used at least partially to perform one or more steps, the design data may include any of the design data or design data proxies described above or any combination thereof.

도면에 있어서, 도면은 축척대로 도시되지 않은 것을 유념해야 한다. 특히, 도면의 일부 요소의 축척은 그 요소의 특징을 강조하기 위해서 매우 과장되어 있다. 또한, 도면은 동일한 축척으로 도시되지 않은 것을 유념해야 한다. 유사하게 구성될 수 있는 1 이상의 도면에서 도시한 요소는 동일한 참조부호를 사용하여 지시된다.In the drawings, it should be noted that the drawings are not drawn to scale. In particular, the scale of some of the elements in the figures is very exaggerated to emphasize the features of those elements. It should also be noted that the figures are not drawn to the same scale. Elements shown in more than one figure that may be similarly constructed are indicated using the same reference numerals.

도 1은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터 구현 방법의 일 실시예를 도시한다. 도 1에 도시한 모든 단계는 방법의 실시를 위해서 필수적인 것이 아님을 유념해야 한다. 1 이상의 단계가 도 1에 나타낸 방법으로부터 생략되거나 그 방법에 부가될 수 있으며, 그 방법은 여전히 본 실시예의 범주 내에서 실시할 수 있다.1 illustrates one embodiment of a computer-implemented method for determining the location of inspection data in a design data space. It should be noted that not all steps shown in FIG. 1 are essential for the implementation of the method. One or more steps may be omitted from, or added to, the method shown in FIG. 1, and the method may still be practiced within the scope of this embodiment.

일반적으로, 상기 방법은 데이터 준비 단계와, 레시피(recipe) 셋업 단계(예컨대, 웨이퍼 검사 레시피 셋업)와, 웨이퍼 검사 단계 자체를 포함할 수 있다. 상기 방법은 리뷰(review) 및 분석 단계를 또한 포함할 수 있다. 데이터 준비 단계는 웨이퍼 상에 제조되고 있거나 웨이퍼 상에 제조될 장치의 물리적 설계 레이아웃을 반영하는 설계 데이터(예컨대, 그래픽 데이터 스트림(GDS) 파일, GDSII 파일, 또는 다른 표준 파일이나 데이터베이스와 같은 데이터 구조로부터 획득된 정보)를 생성하거나 취득하는 단계를 포함할 수 있다. GDS 파일, 다른 파일, 또는 데이터베이스로부터의 정보는 물리적 설계 레이아웃 프리-데코레이션(pre-decoration)을 기술할 수 있다(즉, 설계에 부가된 OPC(optical proximity correction; 광 근접 보정) 특징 및 임의의 다른 RET(resolution enhancement technology; 해상도 향상 기술) 특징이 없이).In general, the method may include a data preparation step, a recipe setup step (eg, wafer inspection recipe setup), and the wafer inspection step itself. The method may also include review and analysis steps. The data preparation step is from a data structure such as design data (e.g., graphic data stream (GDS) file, GDSII file, or other standard file or database) that reflects the physical design layout of the device being manufactured on the wafer or to be manufactured on the wafer. Obtaining or obtaining the obtained information). Information from a GDS file, other file, or database may describe the physical design layout pre-decoration (ie, optical proximity correction (OPC) feature and any other added to the design). Without resolution enhancement technology (RET) feature.

도 1에 도시한 방법은 여기에 추가로 기술하는 바와 같이 서브-픽셀(sub-pixel) 정밀도 내에서 검사 데이터 스트림을 설계 데이터에 정렬시키는 단계를 일반적으로 포함한다. 이러한 방식에서, 여기에 기술한 방법은 검사(예컨대, 웨이퍼 검사)를 위한 "설계에 정렬하는(align to design)" 방법이라고 일반적으로 칭해질 수 있다. 상기 방법은 설계 데이터와 선택적으로 웨이퍼 검사를 위한 콘텍스트 데이터를 활용한다. 이러한 방식에서, 여기에 기술한 방법은 "콘텍스트 기반 검사(CBI)" 방법이라도 또한 칭할 수 있다. 장치 설계 데이터 및 콘텍스트 데이터는 웨이퍼 검사 감도를 증가시키고, 뉴슨스 이벤트(nuisance event) 검출을 극적으로 줄이고, 결함 분류 정밀도를 증가시키고, PWQ(process window qualification)와 같은 검사 시스템에 대한 적용을 향상하기 위해 사용될 수 있다. 콘텍스트 데이터는 여기에 추가로 기술하는 바와 같은 결함 리뷰 프로세스 및 시스템에 장점을 제공하기 위해 사용될 수 있다. 또한, 설계 데이터 및 콘텍스트 데이터를 사용하는 방법의 예는 미국 특허 6,886,153호(Devis)와, 미국 특허 출원 공개번호 2005/0004774호(Volk 등)로서 2005년 1월 6일자로 공개된 미국 특허 출원 일련번호 10/883,372호(2004년 1월 1일 출원)에 개시되고, 여기에 완전히 언급된 것과 같이 참고자료로 포함된다. 여기에 기술한 방법은 그러한 특허 및 특허 출원에 기술한 임의의 방법의 임의의 단계를 포함할 수 있다.The method shown in FIG. 1 generally includes aligning the inspection data stream with the design data within sub-pixel precision as described further herein. In this manner, the method described herein may be generally referred to as a “align to design” method for inspection (eg, wafer inspection). The method utilizes design data and optionally context data for wafer inspection. In this manner, the method described herein may also be referred to as a "Context Based Inspection (CBI)" method. Device design data and context data can increase wafer inspection sensitivity, dramatically reduce nuisance event detection, increase defect classification precision, and improve application to inspection systems such as process window qualification (PWQ). Can be used for Context data can be used to provide benefits to defect review processes and systems as described further herein. Examples of how to use design data and context data are described in U.S. Patent 6,886,153 (Devis) and U.S. Patent Application Publication No. 2005/0004774 (Volk et al.), Filed January 6, 2005, No. 10 / 883,372, filed January 1, 2004, which is hereby incorporated by reference as if fully set forth herein. The methods described herein may include any step of any of the methods described in such patents and patent applications.

여기에 기술한 방법은 핫 스폿(hot spot) 탐색 단계를 포함할 수 있다. 핫 스폿 탐색은 기술 조사 및 전개, 제품 설계, RET 설계, 레티클 설계 및 제조, 및 제품 램프(ramp) 동안에 수행될 수 있다. 핫 스폿 탐색 단계는 레티클 설계 향상과 결함 감시 및 분류를 위해 핫 스폿을 식별하는 단계를 포함할 수 있다. 핫 스폿 탐색 단계는 핫 스폿 데이터베이스와 같은 핫 스폿에 대한 정보를 포함하는 데이터 구조를 생성하는 단계를 또한 포함할 수 있다. 일부 실시예에서, 핫 스폿 탐색은 다중 소스를 사용하여 수행될 수 있다. 예컨대, 핫 스폿 탐색은 설계 스페이스 핫 스폿 탐색과, 웨이퍼 스페이스 핫 스폿 탐색과, 레티클 핫 스폿 탐색과, 테스트 스페이스 핫 스폿 탐색과, 프로세스 스페이스 핫 스폿 탐색 중에서 임의의 것 사이의 상관관계를 사용하여 수행될 수 있다. 일 예에서, 핫 스폿의 탐색은 설계, 모델링 결과, 검색 결과, 계측 결과, 및 테스트 및 오류 분석(FA) 결과의 다중 소스를 상호 연관지어 수행될 수 있다. 여기에 기술한 임의의 단계는 핫 스폿을 탐색하기 위하여 임의의 조합으로 사용될 수 있다.The method described herein may include a hot spot search step. Hot spot search may be performed during technical research and deployment, product design, RET design, reticle design and manufacturing, and product ramp. The hot spot search step may include identifying hot spots for reticle design enhancement and defect monitoring and classification. The hot spot search step may also include creating a data structure that includes information about the hot spot, such as a hot spot database. In some embodiments, hot spot search may be performed using multiple sources. For example, hot spot search is performed using the correlation between design space hot spot search, wafer space hot spot search, reticle hot spot search, test space hot spot search, and process space hot spot search. Can be. In one example, the search for hot spots may be performed by correlating multiple sources of design, modeling results, search results, metrology results, and test and error analysis (FA) results. Any of the steps described herein can be used in any combination to search for hot spots.

설계 스페이스에서, 핫 스폿은 설계 데이터 내의 임계점 목록을 생성하기 위해 설계 룰 점검(DRC)의 결과를 사용하여 식별될 수 있다. DRC는 마스크 제조(프리-마스크(pre-mask)) 이전의 레티클 레이아웃 데이터의 품질 제어(QC; quality control)를 위해 통상적으로 수행된다. 그리하여, DRC는 핫 스폿을 생성하지 않을 수 있다. 대신에, DRC의 결과는 DRC 룰의 부분이 아닌 설계 매뉴얼에 있거나 새롭게 탐색된 새로운 마진 핫 스폿을 식별하는데 사용될 수 있다. 또한, 핫 스폿은 전자 설계 자동화(EDA; electron design automation)를 사용하여 탐색될 수 있다. 이러한 방식에서, 핫 스폿 탐색 단계 동안에, 설계 룰(마진 체커(marginality checker)로서 사용된 DRC) 및/또는 EDA 설계 툴(tool)이 핫 스폿의 소스로서 사용될 수 있다. 또한, 핫 스폿은 컴퓨터 보조 설계(TCAD) 툴 및 프록시를 위한 기술을 사용하여 탐색될 수 있다. TCAD 툴은 캘리포니아주 마운티 뷰 소재의 시놉스사(Synopsis, Inc.)로부터 상업적으로 입수가능하다. 또한(또는, 대안적으로), 캘리포니아주 산 요세 소재의 KLA-Tencor사로부터 상업적으로 입수가능한 디자인 스캔(Design Scan) 분석 소프트웨어, 임의의 패턴 검색, 및 설계 콘텍스트(예컨대, 기능 블록, 설계 라이브러리 요소, 셀, 패턴이 중복적인지 아닌지, 패턴 밀도, 더미/충전(fill) 대(對) 활성 등)가 핫 스폿의 소스로서 사용될 수 있다. 다른 예에서, 결함의 설계 데이터 기반 그룹화(파레토(pareto) 분석과 함께 또는 없이)가 핫 스폿을 탐색하고 그룹화하는데 사용될 수 있으며, 그것은 여기에 기술한 바와 같이 수행될 수 있다.In the design space, hot spots can be identified using the results of a design rule check (DRC) to generate a list of critical points in the design data. DRC is typically performed for quality control (QC) of reticle layout data prior to mask fabrication (pre-mask). Thus, the DRC may not create a hot spot. Instead, the results of the DRC can be used to identify new margin hot spots that are in the design manual or that are newly discovered rather than part of the DRC rules. In addition, hot spots can be explored using electron design automation (EDA). In this manner, during the hot spot search phase, design rules (DRC used as marginality checkers) and / or EDA design tools may be used as the source of the hot spots. Hot spots can also be explored using techniques for computer aided design (TCAD) tools and proxies. The TCAD tool is commercially available from Synopsis, Inc. of Mountain View, California. In addition, (or alternatively), design scan analysis software, any pattern search, and design context (eg, function blocks, design library elements) commercially available from KLA-Tencor, San Jose, CA , Cell, pattern or not, pattern density, dummy / fill versus activity, etc. can be used as the source of the hot spot. In another example, design data based grouping of defects (with or without pareto analysis) can be used to search for and group hot spots, which can be performed as described herein.

추가적인 예에서, 설계 스페이스에서, 핫 스폿 탐색 단계는 설계 데이터 스페이스 내의 실제 결함 위치를 식별하기 위해, 웨이퍼 상에 인쇄된 설계 데이터의 주사형 전자 현미경(SEM) 이미지를 설계 데이터에 정렬하거나 중첩시키는 단계(여기에 기술한 바와 같이 수행될 수 있다)를 포함할 수 있고, 설계 데이터 스페이스 내의 결함의 위치에 근접한 설계 데이터에 기초한 임의의 패턴 검색은 설계에서 유사한 가능한 핫 스폿을 식별하기 위해 수행될 수 있다. 그 후, 체계적 결함 및 설계 데이터 내의 그것의 설계 그룹을 식별하기 위해, 여기에 기술한 바와 같이 수행될 수 있는, 웨이퍼에 대한 오리지널 검사 결과에 대하여 수행된 리피토(repeater) 분석이 사용될 수 있다. 이러한 접근 방식의 하나의 이점은 타깃 결함이 설계 데이터 스페이스 내에 실질적으로 정밀하게 위치되는 경우, 임의적인 패턴 검색 및/또는 체계적 결함 식별을 위해 사용된 패턴 검색창이 결함마다 조정될 수 있다는 것이다.In a further example, in the design space, the hot spot search step includes aligning or overlaying a scanning electron microscope (SEM) image of the design data printed on the wafer with the design data to identify actual defect locations within the design data space. (Which may be performed as described herein), and any pattern search based on design data proximate the location of a defect in the design data space may be performed to identify similar possible hot spots in the design. . A repeater analysis performed on the original test results for the wafer, which can then be performed as described herein, can be used to identify systematic defects and their design groups in the design data. One advantage of this approach is that when target defects are positioned substantially precisely within the design data space, the pattern search window used for arbitrary pattern search and / or systematic defect identification can be adjusted per defect.

웨이퍼 스페이스에서, 핫 스폿은 각각 여기에 추가로 기술하는 바와 같이 수행될 수 있는 리피터 분석, 체계적(예컨대, 프로세스 마진) 결함의 구역적/공간적 시그네처(signature) 분석, 체계적 결함의 일시적 시그네처 분석, 레티클/다이 스페이스 내에서의 탐색을 위해 신호 대 잡음비(S/N)를 향상하기 위한 설계 오버레이에 의한 적층 다이(또는 레티클) 결과, 및 체계적 결함 또는 체계적 결함 그룹을 우선시키기 위한 결함의 속성로서 결함 스페이스에 상호 연관지어진 수율(또는 KP(kill probability))의 1 이상을 사용하여 탐색될 수 있다.In wafer space, hot spots can each be performed as further described herein, repeater analysis, zonal / spatial signature analysis of systematic (eg process margin) defects, and transient signature analysis of systematic defects. As a property of stacked die (or reticle) results by design overlay to improve the signal-to-noise ratio (S / N) for searching within the reticle / die space, and defects to prioritize systematic or group of systematic defects. It can be searched using one or more of the yields (or kill probabilities) correlated to the defect space.

레티클/다이 스페이스에서, 핫 스폿은 여기에서 추가로 기술하는 바와 같이 수행될 수 있는 리피터 분석, 결함 밀도 매핑, 설계 패턴 기반 그룹화 분석, S/N을 향상시키기 위한 설계 콘텍스트(예컨대, 기능 블록)에 의한 필터링, 설계 내의 콜드 스폿(cold spot)을 탐색하기 위한 레티클 검사로부터 관심없는 결함의 식별중의 1 이상을 이용하여 탐색될 수 있다.In reticle / die spaces, hot spots are applied to repeater analysis, defect density mapping, design pattern based grouping analysis, and design contexts (eg, functional blocks) to improve S / N, which can be performed as further described herein. Filtering by, reticle inspection to search for cold spots in the design can be searched using one or more of the identification of defects of interest.

테스트 스페이스에서, 핫 스폿은 매핑을 설계하기 위한 비트 오류(bit failure)와 매핑을 설계하기 위한 로직 비트맵 밀도 중의 1 이상을 사용하여 탐색될 수 있으며, 그 양쪽은 관심없는 결함(또는 설계에서의 콜드 스폿)을 식별하기 위하여 리피터 분석(웨이퍼 스페이스에서 수행) 또는 설계 데이터 기반 그룹화(레티클/다이 스페이스에서 수행)와 결합될 수 있다. 이들 단계의 각각은 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In the test space, hot spots can be searched using one or more of the bit failure to design the mapping and the logic bitmap density to design the mapping, both of which are of interest (or in design). Combined with repeater analysis (perform in wafer space) or design data based grouping (perform in reticle / die space) to identify cold spots). Each of these steps may be performed as further described herein.

프로세스 스페이스에서, 핫 스폿은 핫 스폿의 소스로서의 PWQ(다이-다이, 표준 기준 다이, 또는 다이-데이터베이스 방법을 사용)와, 핫 스폿으로서 임계 설계 특징 및 프로세스 창을 결정하기 위한 프로세스의 DOE(design of experiment)(다이-다이, 표준 기준 다이, 또는 다이-데이터베이스 방법을 사용)를 사용하여 탐색될 수 있고, 그 각각은 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In the process space, the hot spot is a PWQ (using a die-die, standard reference die, or die-database method) as the source of the hot spot, and the DOE (design of the process) for determining critical design features and process windows as the hot spot. of experiments (using a die-die, standard reference die, or die-database method), each of which may be performed as further described herein.

일부 실시예에서, 도 1의 단계 10에 도시한 바와 같이, 상기 방법은 설계 데이터 내의 소정의 정렬 사이트를 선택하는 단계를 포함한다. 소정의 정렬 사이트 선택 단계는 검사 시스템을 사용하여 수행될 수 있다. 소정의 정렬 사이트는 검사 프로세스 레시피의 셋업 동안에 선택될 수 있다. "레시피"는 일반적으로 검사와 같은 프로세스를 수행하기 위한 지령 세트로서 규정된다. 여기에 기술하는 바와 같이 웨이퍼 검사를 위한 레시피 셋업은 자동, 반-자동(예컨대, 유저-지원), 또는 수동으로 수행될 수 있다.In some embodiments, as shown in step 10 of FIG. 1, the method includes selecting a predetermined alignment site in the design data. The predetermined alignment site selection step may be performed using a inspection system. The desired alignment site can be selected during setup of the inspection process recipe. A "recipe" is generally defined as a set of instructions for performing a process such as an inspection. As described herein, recipe setup for wafer inspection can be performed automatically, semi-automatically (eg, user-assisted), or manually.

일 예에서, 검사 시스템에 의해 수행되는 검사 프로세스의 셋업 동안에, 설계 데이터에 부가하여, 웨이퍼 스와싱(swathing) 정보, 검사 시스템 모델 넘버, 검사를 위해 사용될 광학 모드, 및 픽셀 사이즈와 같은 검사 시스템 매개변수에 관한 정보가 소정의 정렬 사이트를 선택하기 위해 사용될 수 있다. 소정의 정렬 사이트는 검사될 웨이퍼의 1 이상의 속성에 기초하여 선택될 수 있다. 소정의 정렬 사이트(또는 이 데이터를 칭하는 표식)에 대한 데이터 및/또는 그것의 이미지는 검사 프로세스를 위한 레시피에 저장될 수 있다. 예컨대, 웨이퍼 상의 층에 대한 소정의 정렬 사이트에 관한 정보는 웨이퍼 상의 층에 대한 검사 프로세스 레시피 내에 정렬 데이터로서 저장될 수 있고, 그 정렬 데이터는 검사 시스템이 이러한 특정 장치 및 층의 웨이퍼를 검사할 때마다 사용할 수 있다.In one example, during the setup of the inspection process performed by the inspection system, in addition to the design data, inspection system parameters such as wafer swasing information, inspection system model number, optical mode to be used for inspection, and pixel size Information about the variable can be used to select a given alignment site. The desired alignment site can be selected based on one or more attributes of the wafer to be inspected. The data for a given alignment site (or marker that refers to this data) and / or its image may be stored in a recipe for the inspection process. For example, information about a given alignment site for a layer on a wafer may be stored as alignment data in an inspection process recipe for a layer on a wafer, which alignment data is used when the inspection system inspects a wafer of this particular device and layer. It can be used every time.

일부 실시예가 웨이퍼에 대한 데이터 및/또는 이미지를 취득하기 위한 "웨이퍼 스캐닝 단계"를 포함할지라도, 그러한 데이터 및/또는 이미지는 당업계에 공지된 임의의 적절한 기술 및/또는 시스템을 사용하여 취득될 수 있다는 것을 이해해야 한다. 예컨대, 웨이퍼에 대한 데이터 및/또는 이미지는 여기에 기술한 검사 시스템 또는 필드별 이미지 취득을 수행하도록 구성된 다른 검사 시스템에 의해 취득할 수 있다. 이러한 방식에서, 웨이퍼에 걸친 스캐닝 대신에, 검사 시스템은 스테핑(stepping) 방식으로 데이터 및/또는 이미지를 취득할 수 있다. 다른 예에서, 웨이퍼에 대한 데이터 및/또는 이미지는 여기에 기술한 검사 시스템 또는 통상적으로 자동화 프로세스 검사(API)라고 칭하는 포인트별 검사를 수행하도록 구성된 다른 검사 시스템에 의해 취득할 수 있다.Although some embodiments include a "wafer scanning step" for acquiring data and / or images for a wafer, such data and / or images may be obtained using any suitable technique and / or system known in the art. You must understand that you can. For example, data and / or images for the wafer may be acquired by the inspection system described herein or other inspection system configured to perform field-specific image acquisition. In this manner, instead of scanning across the wafer, the inspection system may acquire data and / or images in a stepping manner. In another example, data and / or images for a wafer may be acquired by an inspection system described herein or another inspection system configured to perform point-to-point inspection, commonly referred to as automated process inspection (API).

소정의 정렬 사이트를 선택하기 위해 몇몇 방법이 사용될 수 있다. 일 실시예에서, 상기 방법은 소정의 정렬 사이트에 대응하는 설계 데이터를 취득하는 단계를 포함한다. 여기에 기술한 방법에서 사용할 수 있는 소정의 정렬 사이트에 대한 데이터 또는 이미지는 렌더드(rendered) GDS 클립(여기에 사용된 용어 "클립"은 설계 레이아웃의 비교적 작은 부위를 칭한다)과, 렌더드 GDS 클립에 정렬된 검사 시스템에 의해서 생성된 이미지를 포함한다. 소정의 정렬 사이트에 대응하는 설계 데이터를 시뮬레이션(또는 "렌더링")하는 단계는 설계 데이터가 웨이퍼 상에 어떻게 인쇄되는지를 설명하는 이미지를 생성하는데 사용할 수 있다. 상기 방법은 시뮬레이션된("렌더링된") 이미지와 설계 데이터 또는 GDS 클립의 교차-상호연관을 수행하는 단계와, 시뮬레이션된 이미지의 위치를 설계 데이터 스페이스에 (즉, 설계 데이터 스페이스 내의 좌표에) 기록하는 단계를 포함한다. 소정의 정렬 사이트에 대응하는 설계 데이터가 전술한 바와 같이 웨이퍼 상에 어떻게 인쇄될지를 설명하는 이미지 시뮬레이션은 임의의 적합한 방법, 알고리즘, 또는 KLA-Tencor사로부터 상업적으로 입수가능한 PROLITH와 같은 당업계에 공지된 소프트웨어를 사용하여 수행할 수 있다.Several methods can be used to select a given alignment site. In one embodiment, the method includes acquiring design data corresponding to a given alignment site. Data or images for a given alignment site that can be used in the methods described herein include a rendered GDS clip (the term "clip" as used herein refers to a relatively small portion of the design layout) and a rendered GDS. Contains an image generated by the inspection system aligned to the clip. Simulating (or "rendering") design data corresponding to a given alignment site may be used to generate an image that describes how the design data is printed on the wafer. The method includes performing cross-correlation of the simulated ("rendered") image with the design data or GDS clip, and recording the location of the simulated image in the design data space (ie, in coordinates in the design data space). It includes a step. Image simulations describing how design data corresponding to a given alignment site will be printed on a wafer as described above are known in the art such as any suitable method, algorithm, or PROLITH commercially available from KLA-Tencor. Can be done using built-in software.

또한, 1 이상의 프로세스가 웨이퍼에 대하여 수행된 후에, 소정의 정렬 사이트가 웨이퍼 상에 어떻게 인쇄될지를 설명하는 시뮬레이션 이미지가 전술한 바와 같이 생성될 수 있다. 1 이상의 프로세스는, 예컨대, 리소그라피, 리소그라피 및 에치(etch)의 조합, 다른 리소그라피 프로세스 등을 포함할 수 있다. 이러한 방식에서, 여기에 기술한 방법에 사용된 소정의 정렬 사이트에 대한 데이터는 검사 이전에 웨이퍼에 대하여 수행된 1 이상의 프로세스에 기초하여 선택되거나 생성되는 1 이상의 시뮬레이션된 이미지를 포함할 수 있다. 다른 프로세스가 웨이퍼에 대하여 수행된 후에 취득된 검사 데이터의 정렬을 위한 소정의 정렬 사이트에 대하여 다른 데이터를 사용하는 것은 여기에 기술한 방법의 정밀도를 증가시킬 수 있다.In addition, after one or more processes have been performed on the wafer, a simulated image can be generated as described above that describes how a given alignment site will be printed on the wafer. One or more processes may include, for example, a combination of lithography, lithography and etch, other lithography processes, and the like. In this manner, the data for a given alignment site used in the methods described herein may include one or more simulated images that are selected or generated based on one or more processes performed on the wafer prior to inspection. Using different data for a given alignment site for alignment of inspection data obtained after another process has been performed on the wafer may increase the precision of the method described herein.

소정의 정렬 사이트를 선택하는 단계는 검사 프로세스 및 시스템과 양립할 수 있는 소정의 정렬 사이트를 선택하기 위하여 설계 데이터(예컨대, GDS 데이터)를 전-처리하는 단계를 포함할 수 있다. 예컨대, 일부 예에서, 렌더링 된 GDS 클립은 그 GDS 클립이 웨이퍼 제조 프로세스에 의해 야기된 변동(예컨대, 컬러 변동)에 영향을 받지 않기 때문에, 여기에 기술한 방법에서의 소정의 정렬 사이트에 대한 데이터로서 사용하는데 이점이 있다. 하지만, 렌더링 된 GDS 클립 "오프-라인"에 대하여 정렬된, 검사 시스템에 의해 취득된 소정의 정렬 사이트의 이미지는, 그 이미지가 렌더링 된 GDS 클립보다는 검사 시스템에 의해 생성된 웨이퍼 상의 정렬 사이트의 이미지와 더욱 유사할 수 있고 이에 의해 더욱 정밀한 정렬을 제공할 수 있기 때문에, 장치 제조의 나중의 단계에서 생성된 검사 데이터와 함께 사용하는 것이 유리하다. 따라서, 일부 실시예에서, 여기에 기술한 방법에서 사용된 정렬 데이터는, 웨이퍼 상의 정렬 사이트를 위한 데이터에의 적합한 매치가 검사 구동시 발견될 수 있는 것을 보장하기 위해, GDS 클립과 그 GDS 클립에 정렬된 이미지 양쪽을 포함할 수 있다. 대안적으로, 소정의 정렬 사이트의 중심과 같이 설계 데이터 내의 소정의 정렬 사이트의 1 이상의 속성이 결정될 수 있고, 검사 시스템에 의해 취득된 정렬 사이트의 이미지의 대응하는 중심이 검사 픽셀 데이터를 설계 데이터에 정렬시키기 위해 결정되고 사용될 수 있다.Selecting a given alignment site may include pre-processing the design data (eg, GDS data) to select a given alignment site that is compatible with the inspection process and system. For example, in some examples, the rendered GDS clip is data for a given alignment site in the method described herein, since the GDS clip is not affected by variations (eg, color variations) caused by the wafer fabrication process. There is an advantage to using it as. However, an image of a given alignment site acquired by the inspection system, aligned with respect to the rendered GDS clip "off-line", is an image of the alignment site on the wafer generated by the inspection system rather than the GDS clip from which the image was rendered. It may be advantageous to use with inspection data generated at a later stage of device fabrication, as it may be more similar to and thereby provide more precise alignment. Thus, in some embodiments, the alignment data used in the methods described herein is associated with the GDS clip and its GDS clip to ensure that a suitable match to the data for the alignment site on the wafer can be found during the test run. It can include both aligned images. Alternatively, one or more attributes of a given alignment site in the design data, such as the center of the given alignment site, may be determined, and the corresponding center of the image of the alignment site acquired by the inspection system may cause the inspection pixel data to be transferred to the design data. Can be determined and used to align.

다이마다 선택된 소정의 정렬 사이트의 수는 크게 변할 수 있다. 예컨대, 비교적 드문드문한 세트의 소정의 정렬 사이트가 선택될 수 있다. 또한, 소정의 정렬 사이트는 다이에 걸친 소정의 빈도로 선택될 수 있다. 소정의 정렬 사이트가 다이 자체에 포함될 수 있기 때문에, 소정의 정렬 사이트는 다이 내의 장치 특징 및/또는 다이의 장치 영역 내에 위치한 특징을 포함하도록 선택될 수 있다. 이러한 방식에서, 소정의 정렬 사이트는 설계 데이터의 사전-존재하는 특징을 포함하도록 선택될 수 있다. 그러한 소정의 정렬 사이트는, 설계 데이터가 정렬 특징을 포함하도록 수정되지 않아도 되고 정렬 특징이 다이의 사이즈를 증가시키기 않기 때문에 유리하다.The number of predetermined alignment sites selected per die can vary greatly. For example, a relatively sparse set of predetermined alignment sites may be selected. In addition, a given alignment site may be selected at any frequency across the die. Since a given alignment site may be included in the die itself, the given alignment site may be selected to include device features within the die and / or features located within the device area of the die. In this manner, a given alignment site may be selected to include pre-existing features of the design data. Such certain alignment sites are advantageous because the design data need not be modified to include the alignment features and the alignment features do not increase the size of the die.

상기 방법은 검사 시스템에 의해 취득된 데이터 또는 이미지 내에서 특유하게 식별될 수 있는(일부 비-정렬 공차 창 내에서) 설계 데이터 내의 소정의 정렬 사이트를 선택하는 단계를 또한 포함할 수 있다. 예컨대, 소정의 정렬 사이트는 소정의 검색 범위 불확실성 내에서 특유한 정렬 특징(즉, 타깃)을 포함하도록 선택될 수 있다. 이러한 방식에서, 웨이퍼 상의 정렬 사이트의 위치의 특정의 위치적 불확실성이 이미지 또는 데이터에 주어지면, 임의의 모호한 점이 없이 두 정렬 사이트의 비교적 강한 매치를 식별하기 위해 정렬 데이터와, 이미지 또는 데이터에 대하여 보정이 수행될 수 있다.The method may also include selecting a predetermined alignment site in the design data that can be uniquely identified within the data or image acquired by the inspection system (within some non-alignment tolerance window). For example, a given alignment site may be selected to include unique alignment features (ie, targets) within a given search range uncertainty. In this manner, given a particular positional uncertainty of the position of the alignment site on the wafer, the image or data is corrected to identify a relatively strong match of the two alignment sites without any ambiguity. This can be done.

일 실시예에서, 소정의 정렬 사이트는 x 및 y 방향에서 특유한 1 이상의 속성을 갖는 적어도 하나의 정렬 특징을 포함한다. 그러한 소정의 정렬 사이트의 하나의 실시예가 도 2에 도시된다. 도 2에 도시한 바와 같이, 소정의 정렬 사이트(32)는 정렬 특징(34)을 포함한다. 정렬 특징(34)은 x 및 y 방향에서 특유한 1 이상의 속성을 갖는다. 예컨대, 정렬 특징의 코너는 다이 내의 다른 특징에 대하여 x 및 y 방향에서 특유한 정렬 특징을 부여하고, 정렬 특징을 근접화한다. 소정의 정렬 사이트는 유사하거나 상이하게 구성될 수 있는 1 이상의 그러한 정렬 특징을 또한 포함할 수 있다. 이러한 방식에서, 정렬 특징은 x 및 y 방향에서 특유할 수 있다.In one embodiment, a given alignment site includes at least one alignment feature having one or more attributes unique in the x and y directions. One embodiment of such a given alignment site is shown in FIG. 2. As shown in FIG. 2, a given alignment site 32 includes an alignment feature 34. Alignment feature 34 has one or more attributes that are unique in the x and y directions. For example, the corners of the alignment features give unique alignment features in the x and y directions relative to other features in the die, and approximate the alignment features. Certain alignment sites may also include one or more such alignment features, which may be configured similarly or differently. In this way, the alignment feature may be unique in the x and y directions.

대안적인 실시예에서, 소정의 정렬 사이트는 적어도 2개의 정렬 특징을 포함한다. 두 정렬 특징의 첫 번째는 x 방향에서 특유한 1 이상의 속성을 갖는다. 두 정렬 특징의 두 번째는 y 방향에서 특유한 1 이상의 속성을 갖는다. 그러한 소정의 정렬 사이트의 실시예는 도 2에 도시한다. 도 2에 도시한 바와 같이, 소정의 정렬 사이트(32)는 정렬 특징(38)을 포함한다. 정렬 특징(38)은 x 방향에서는 특유하지만, y 방향에서의 정렬에 관한 정보는 제공하지 않는 1 이상의 속성을 갖는다. 예컨대, 정렬 특징(38)의 수직 에지는 다이 내의 다른 특징에 대하여 x 방향에서 특유한 정렬 특징을 부여하고, 정렬 특징을 근접화할 수 있다. 소정의 정렬 사이트는 1 이상의 그러한 특징을 포함할 수 있다.In an alternate embodiment, a given alignment site includes at least two alignment features. The first of the two alignment features has one or more attributes unique in the x direction. The second of the two alignment features has one or more attributes unique in the y direction. An embodiment of such a given alignment site is shown in FIG. As shown in FIG. 2, a given alignment site 32 includes an alignment feature 38. Alignment feature 38 has one or more attributes that are specific to the x direction but do not provide information about alignment in the y direction. For example, the vertical edges of the alignment features 38 can impart unique alignment features in the x direction relative to other features in the die, and can approximate the alignment features. Certain alignment sites may include one or more such features.

소정의 정렬 사이트(36)는 정렬 특징(40)을 포함한다. 정렬 특징(40)은 y 방향에 특유하지만 x 방향에서의 정렬 정보를 제공하지 않는 1 이상의 속성을 갖는다. 예컨대, 정렬 특징(40)의 수평 에지는 다이 내의 다른 특징에 대하여 y 방향에서 특유한 정렬 특징을 부여하고, 정렬 특징을 근접화할 수 있다. 소정의 정렬 사이트는 1 이상의 그러한 특징을 또한 포함할 수 있다. 또한, 소정의 정렬 사이트는 x 및/또는 y 방향에 특유한 2 이상의 정렬 특징을 포함할 수 있다. 이러한 방식에서, 소정의 정렬 사이트는 "라이브(live)" 이미지 또는 데이터(예컨대, 검사 동안 검사 시스템에 의해 취득한 이미지 또는 데이터)와 소정의 정렬 사이트에 대한 데이터 사이의 절대 (x, y) 오프셋을 결정하기 위하여, 조합하여 충분한 x 및 y 정렬 정보를 제공하는 특징(38 및 40)과 같은 정렬 특징 세트를 포함하도록 선택될 수 있다.The given alignment site 36 includes an alignment feature 40. Alignment feature 40 has one or more attributes that are specific to the y direction but do not provide alignment information in the x direction. For example, the horizontal edge of the alignment features 40 can give unique alignment features in the y direction relative to other features in the die, and can approximate the alignment features. Certain alignment sites may also include one or more such features. In addition, a given alignment site may include two or more alignment features specific to the x and / or y directions. In this manner, a given alignment site may determine an absolute (x, y) offset between a “live” image or data (eg, an image or data acquired by the inspection system during inspection) and data for a given alignment site. To determine, it may be selected to include a set of alignment features, such as features 38 and 40 that in combination provide sufficient x and y alignment information.

소정의 정렬 사이트의 선택은 수동, 자동, 또는 그 둘의 임의의 조합(즉, 반-자동 또는 유저-지원)으로 수행할 수 있다. 수동, 자동 또는 그 양쪽으로 수행되는지 간에, 소정의 정렬 사이트 선택은 설계 데이터, 웨이퍼의 광학 또는 전자 빔 이미지, 또는 그 양쪽을 사용하여 수행할 수 있다. 소정의 정렬 사이트의 유저-지원 선택에서, 유저는 전술한 특유 기준을 만족하는 1 이상의 소정 정렬 사이트를 결정하기 위해서, 컴퓨터 보조 설계(CAD) 레이아웃, 웨이퍼의 라이브 또는 저장된 광학 또는 전자 빔 이미지, 또는 그 양쪽을 검사할 수 있다.The selection of a given alignment site can be performed manually, automatically, or any combination of both (ie, semi-automatic or user-assisted). Whether performed manually, automatically, or both, the desired alignment site selection can be performed using design data, optical or electron beam images of the wafer, or both. In the user-assisted selection of a given alignment site, the user may use a computer aided design (CAD) layout, a live or stored optical or electron beam image of the wafer, or to determine one or more predetermined alignment sites that meet the aforementioned specific criteria, or You can check both sides.

소정의 정렬 사이트의 자동 또는 반-자동 선택에서, 상기 방법은 검사 시스템을 사용하여 웨이퍼 상의 다이 열을 스캐닝하는 단계와, 특유의 정렬 사이트를 식별하기 위해 다이의 각 프레임을 처리하는 단계(예컨대, 알고리즘 실행에 의해)를 포함할 수 있다. 용어 "프레임"은 일반적으로 웨이퍼의 스캐닝 동안에 취득한 검사 데이터 또는 이미지의 스와스 내의 다이의 일부에 대한 데이터 또는 이미지로서 여기에 규정된다. 프레임을 처리하는 단계는 프레임 내의 특징의 x 및 y 구배를 결정하는 단계와, 소정의 정렬 사이트에 사용하기 위하여 x 및/또는 y 방향에서의 비교적 강한 구배를 갖는 1 이상의 특징을 선택하는 단계를 포함한다. 상기 방법은 구배의 단지 하나의 비교적 강한 피크가 소정의 검색 범위 내에 위치하는지를 결정하기 위해 그러한 특징을 포함하는 패치 이미지(patch image) 및 프레임의 교차-상호 연관을 수행하는 단계를 포함한다. 이러한 방식에서, 패턴 검색창 내에서 특유한 정렬 특징이 소정의 정렬 사이트에 대하여 식별되고 선택될 수 있다. 상기 방법은 설계 데이터에 접속하는 단계와, 설계 데이터의 1 이상의 비교적 작은 영역을 1 이상의 이미지로서 부여하는 단계와, 적합한 정렬 사이트를 식별하기 위해 전술한 단계를 수행하는 단계를 포함한다. 상기 방법은 그 방법에 의해 식별된 1 이상의 잠재적 정렬 사이트(예컨대, 잠재적 정렬 사이트에 대한 광학 또는 전자 빔 및 CAD 이미지 쌍)를 표시하는 단계와, 소정의 최소의 간격 거리에서 다이에 걸쳐 분포된 1 이상의 적합한 정렬 사이트를 유저가 선택하게 허용하는 단계를 포함한다.In the automatic or semi-automatic selection of a given alignment site, the method uses an inspection system to scan a row of die on the wafer and process each frame of the die to identify a unique alignment site (eg, By algorithm execution). The term "frame" is generally defined herein as data or an image for a portion of a die within a swath of inspection data or an image acquired during scanning of a wafer. Processing the frame includes determining an x and y gradient of features within the frame and selecting one or more features having a relatively strong gradient in the x and / or y direction for use with a given alignment site. do. The method includes performing a cross-correlation of a frame and a patch image containing such features to determine if only one relatively strong peak of the gradient is within a given search range. In this manner, unique alignment features within the pattern search window can be identified and selected for a given alignment site. The method includes accessing design data, assigning one or more relatively small areas of design data as one or more images, and performing the aforementioned steps to identify suitable alignment sites. The method includes displaying one or more potential alignment sites (eg, optical or electron beam and CAD image pairs for the potential alignment sites) identified by the method, and distributed over a die at a predetermined minimum spacing distance. Allowing the user to select the above suitable alignment site.

다른 실시예에서, 소정의 정렬 사이트를 선택하기 위해 사용된 검사 시스템 또는 다른 이미지 취득 시스템의 촬상 모드는 검사 데이터를 취득하기 위해 사용된 검사 시스템의 촬상 모드와는 상이하다. 이러한 방식에서, 상기 방법은 정렬 사이트 선택 및 웨이퍼 검사를 위해 다른 촬상 모드를 사용하는 단계를 포함할 수 있다. 정렬 사이트 선택 단계는 웨이퍼를 검사하기 위해 사용될 수 있는 각종 촬상 모드에 기초하여 수행될 수 있다. 예컨대, 검사 시스템은 밝은 필드(BF) 모드, 어두운 필드(DF) 모드, 에지 콘트라스트(Edge Contrast)(KLA-Tencor사의 상표) 모드, 각종 어퍼쳐(aperture) 모드, 및/또는 전자 빔 촬상 모드와 같은 1 이상의 검사용 광학 촬상 모드를 사용하도록 구성될 수 있다. 에지 콘트라스트(EC) 검사는 일반적으로 상보적 촬상 어퍼쳐와 함께 원형의 대칭적 조명 어퍼쳐를 사용하여 수행된다. 웨이퍼 상의 특정 층의 검사를 위한 최선의 촬상 모드는 결함 S/N을 최대화하는 촬상 모드이며, 최선의 촬상 모드는 층 유형에 따라 변할 수 있다. 또한, 검사 시스템은 1 이상의 촬상 모드를 동시적으로 또는 순차적으로 사용하여 웨이퍼를 검사하도록 구성될 수 있다. 웨이퍼 검사 동안에 수행된 정렬 사이트 이미지 또는 데이터 취득이 웨이퍼 검사를 위한 최선의 촬상 모드를 사용하기 때문에, 정렬 사이트 선택은 적절한 정렬 사이트 및 정렬 특징을 선택하기 위해 그 모드를 사용하는 것이 바람직하다.In another embodiment, the imaging mode of the inspection system or other image acquisition system used to select a given alignment site is different from the imaging mode of the inspection system used to acquire inspection data. In this manner, the method may include using another imaging mode for alignment site selection and wafer inspection. The alignment site selection step can be performed based on various imaging modes that can be used to inspect the wafer. For example, the inspection system may include a bright field (BF) mode, a dark field (DF) mode, an edge contrast (trademark of KLA-Tencor) mode, various aperture modes, and / or an electron beam imaging mode. It may be configured to use the same one or more inspection optical imaging mode. Edge contrast (EC) inspection is generally performed using circular symmetrical illumination apertures with complementary imaging apertures. The best imaging mode for inspection of a particular layer on the wafer is the imaging mode that maximizes the defect S / N, and the best imaging mode may vary depending on the layer type. In addition, the inspection system may be configured to inspect the wafer using one or more imaging modes simultaneously or sequentially. Since the alignment site image or data acquisition performed during wafer inspection uses the best imaging mode for wafer inspection, the alignment site selection preferably uses that mode to select appropriate alignment sites and alignment features.

하지만, 설계 데이터 스페이스 내의 선택된 소정의 정렬 사이트의 위치를 정밀하게 결정하기 위해서, 소정의 정렬 사이트(웨이퍼 상의)의 광학적 패치 이미지는 GDSII 클립 또는 전술한 바와 같은 설계 데이터로부터 유도된 시뮬레이션된 이미지와 정렬될 수 있다. 광학적 이미지와 시뮬레이션된 이미지의 정렬에 대하여 적합한 품질을 갖는 시뮬레이션된 이미지를 획득하는 것은 모든 촬상 모드에 대하여 어려울 수 있다. 하지만, 시뮬레이션된 이미지와 광학적 이미지의 최선의 매치가 특정 촬상 모드(예컨대, BF 모드)에 대하여 획득될 수 있다. 따라서, 상기 방법은 적합한 소정의 정렬 사이트를 선택하기 위하여 검사용 최선의 촬상 모드를 사용하여 웨이퍼를 스캐닝하는 단계를 또한 포함할 수 있다. 상기 방법은 시뮬레이션된 이미지 또는 GDSII 클립에 가장 잘 매치할 수 있는 이미지를 제공하는 모드를 사용하여 광학적 패치 이미지를 취득하기 위해서, 검사 시스템을 사용하여 웨이퍼 상의 선택된 소정의 정렬 사이트를 재방문하는 단계를 또한 포함할 수 있다.However, in order to precisely determine the location of the selected predetermined alignment site in the design data space, the optical patch image of the predetermined alignment site (on the wafer) is aligned with the simulated image derived from the GDSII clip or design data as described above. Can be. Acquiring a simulated image with a quality suitable for the alignment of the optical and simulated images can be difficult for all imaging modes. However, the best match of the simulated image and the optical image can be obtained for a particular imaging mode (eg, BF mode). Thus, the method may also include scanning the wafer using the best imaging mode for inspection to select a suitable desired alignment site. The method involves revisiting a selected predetermined alignment site on a wafer using an inspection system to obtain an optical patch image using a mode that provides a simulated image or an image that best matches a GDSII clip. It may also include.

시뮬레이션된 이미지 또는 GDSII 클립과 매치시키기 위해 최선의 모드를 사용하여 취득한 이미지는 설계 데이터 내의 대응하는 정렬 사이트에 대한 시뮬레이션된 이미지 또는 GDSII 클립에 정렬될 수 있다. 매치를 위해 최선의 모드를 사용하여 취득한 이미지를 시뮬레이션된 이미지 또는 GDSII 클립에 정렬시켜 결정된 설계 데이터 스페이스 내의 선택된 정렬 사이트의 (x, y) 위치를 사용함으로써, 그러한 x 및 y 위치는 검사를 위해 최선의 모드를 사용하여 취득한 패치 이미지와 연관될 수 있다. 다른 모드(검사 모드와, 시뮬레이션된 이미지 또는 GDSII 클립에 매치시키기 위한 최선의 모드)에서 동일한 사이트에 대하여 수집된 이미지들 사이에 일부 고정된 오프셋이 존재하는 경우, 이러한 오프셋은 적합한 보정 타깃을 사용하여 검사의 개시(또는 이후)에 측정 및/또는 수정할 수 있다.Images acquired using the best mode to match a simulated image or GDSII clip can be aligned to the simulated image or GDSII clip for the corresponding alignment site in the design data. By using the (x, y) position of the selected alignment site in the design data space determined by aligning the acquired image with the simulated image or GDSII clip using the best mode for the match, such x and y positions are best for inspection Lt; / RTI > may be associated with the acquired patch image using the mode of FIG. If there are some fixed offsets between the images collected for the same site in different modes (check mode and best mode for matching simulated images or GDSII clips), these offsets may be corrected using a suitable calibration target. Measurements and / or modifications may be made at the beginning (or after) of the examination.

그러한 일 실시예에서, 상기 방법은 매핑을 결정하기 위해(즉, 설계 데이터 스페이스 내의 광학적 또는 전자 빔 이미지의 개별 픽셀의 위치를 결정하기 위해), 소정의 정렬 사이트의 광학 또는 전자 빔 이미지에의 CAD 시뮬레이션된 이미지 또는 GDSII 클립의 오프-라인 정렬을 포함할 수 있다. 예컨대, 소정의 정렬 사이트를 선택하고, 시뮬레이션된 이미지와의 매치를 위한 최선의 이미지를 제공할 수 있는 촬상 모드를 사용하여 웨이퍼 상의 이들 사이트의 이미지를 취득한 후에, 소정의 정렬 사이트에 대응하는 설계 데이터는 (다각형 표현과 같은 임의의 포맷으로) 취득된 후, 적절한 변환 함수를 사용하여 적절한 픽셀 사이즈의 시뮬레이션된 이미지로서 부여될 수 있다. 광학적(또는 전자 빔) 이미지 및 시뮬레이션된 이미지는 당업계에 공지된 임의의 적절한 방법 및/또는 알고리즘을 사용하여 서로 정렬될 수 있다. 광학적(또는 전자 빔) 이미지 및 시뮬레이션된 이미지를 서로 정렬하는 단계는, 이전의 층 구조가 광학적 이미지로부터 제거되거나 충분히 정밀한 정렬을 달성하기 위해 달리 고려될 수 있도록, 광학적 이미지 내의 노이즈의 소스일 수 있는 이전의 층 구조와 같은 설계 데이터에 관한 다른 정보(예컨대, 설계 데이터베이스 내의)를 사용하여 수행될 수 있다.In one such embodiment, the method includes a CAD to an optical or electron beam image of a given alignment site to determine the mapping (ie, to determine the location of individual pixels of the optical or electron beam image within the design data space). It may include off-line alignment of the simulated image or GDSII clip. For example, after selecting the predetermined alignment sites and acquiring images of these sites on the wafer using an imaging mode that can provide the best image for matching the simulated image, the design data corresponding to the predetermined alignment sites Can be obtained (in any format such as a polygonal representation) and then given as a simulated image of the appropriate pixel size using the appropriate transform function. Optical (or electron beam) images and simulated images can be aligned with each other using any suitable method and / or algorithm known in the art. Aligning the optical (or electron beam) image and the simulated image to each other may be a source of noise in the optical image, such that the previous layer structure may be removed from the optical image or otherwise considered to achieve sufficiently precise alignment. This may be done using other information about the design data, such as the previous layer structure (eg, in the design database).

검사용 레시피를 셋업하는 프로세스의 결과는 소정의 정렬 사이트를 나타내는 1 이상의 광학 또는 전자 빔 패치 이미지와, 설계 데이터 스페이스 내의 소정의 정렬 사이트의 각각의 위치(예컨대, x 및 y 좌표)와, 후속 웨이퍼 검사 동안에 실질적으로 정밀한 정렬을 수행하기 위해 검사 시스템에 의해 활용될 수 있는 임의의 부가적인 정보를 포함할 수 있다.The result of the process of setting up the recipe for inspection is one or more optical or electron beam patch images representing the desired alignment site, each position (eg, x and y coordinates) of the given alignment site in the design data space, and subsequent wafers. It can include any additional information that can be utilized by the inspection system to perform substantially precise alignment during the inspection.

도 1의 단계 12에 도시한 바와 같이, 상기 방법은 웨이퍼 상의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터를 소정의 정렬 사이트에 대한 데이터와 정렬시키는 단계를 포함한다. 소정의 정렬 사이트에 대한 데이터는 전술한 임의의 데이터를 포함할 수 있다. 예컨대, 소정의 정렬 사이트에 대한 데이터는 GDSII 파일 또는 다른 표준 기기-판독가능한 파일 포맷과 같은 데이터 구조로 저장된 설계 데이터를 포함할 수 있다. 다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트가 웨이퍼 상에 어떻게 인쇄될지를 설명하는 1 이상의 시뮬레이션된 이미지를 포함한다. 1 이상의 시뮬레이션된 이미지는 여기에 추가로 기술하는 바와 같은 설계 데이터 스페이스에 매핑되어서, 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치는 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 여기에 추가로 기술하는 바와 같이 결정할 수 있다.As shown in step 12 of FIG. 1, the method includes aligning data acquired by the inspection system with respect to alignment sites on the wafer with data for a given alignment site. The data for a given alignment site may include any of the data described above. For example, the data for a given alignment site may include design data stored in a data structure such as a GDSII file or other standard device-readable file format. In another embodiment, the data for a given alignment site includes one or more simulated images that describe how the given alignment site will be printed on the wafer. One or more simulated images are mapped to a design data space as described further herein, such that the position of the alignment site on the wafer within the design data space is further described herein based on the position of the predetermined alignment site within the design data space. As can be determined.

추가적인 실시예에서, 소정의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트의 1 이상의 속성을 포함하고, 웨이퍼 상의 정렬 사이트에 대한 데이터는 정렬 사이트의 1 이상의 속성을 포함하고, 정렬 단계는 소정의 정렬 사이트의 1 이상의 속성을 정렬 사이트의 1 이상의 속성에 정렬시키는 단계를 포함한다. 본 실시예에서 사용된 웨이퍼 상의 정렬 사이트와, 소정의 정렬 사이트의 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 예컨대, 일 실시예에서, 소정의 정렬 사이트의 1 이상의 속성은 소정의 정렬 사이트의 중심을 포함하고, 웨이퍼 상의 정렬 사이트의 1 이상의 속성은 정렬 사이트의 중심을 포함한다. 웨이퍼 상의 정렬 사이트와 소정의 정렬 사이트의 중심은 사이트 내의 1 이상의 정렬 특징에 대한 중심일 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 상의 정렬 사이트를 소정의 정렬 사이트에 정렬시키기 위해서, 웨이퍼 상의 정렬 사이트와 소정의 정렬 사이트의 중심을 매치시키는 단계를 포함할 수 있다. 그와 같이, 소정의 정렬 사이트에 대한 데이터는 웨이퍼 상의 정렬 사이트에 대한 데이터의 대응하는 특징에 정렬될 수 있는 중심과 같은 소정의 정렬 사이트의 일부 특징을 포함할 수 있다. 웨이퍼 상의 정렬 사이트 및 소정의 정렬 사이트의 중심과 같은 1 이상의 속성은 당업계에서 공지된 임의의 방식으로 또는 여기에 기술한 바와 같이 결정될 수 있다.In a further embodiment, the data for a given alignment site includes one or more attributes of the given alignment site, the data for the alignment site on the wafer includes one or more attributes of the alignment site, and the alignment step includes the predetermined alignment site. Sorting at least one attribute of the at least one attribute of the sorting site. The alignment site on the wafer used in this embodiment, and one or more attributes of the given alignment site may include any of the attributes described herein. For example, in one embodiment, one or more attributes of a given alignment site include the center of the given alignment site and one or more attributes of the alignment site on the wafer include the center of the alignment site. The center of the alignment site and the desired alignment site on the wafer may be the center of one or more alignment features within the site. In this manner, the method may include matching the center of the alignment site with the alignment site on the wafer to align the alignment site on the wafer with the alignment site. As such, the data for a given alignment site may include some features of the given alignment site, such as a center, which may be aligned to the corresponding feature of the data for the alignment site on the wafer. One or more attributes, such as the alignment site on the wafer and the center of a given alignment site, can be determined in any manner known in the art or as described herein.

추가의 실시예에서, 소정의 정렬 사이트에 대한 데이터는 GDSII 파일과 같은 데이터 구조로 저장된 설계 데이터에 정렬된 검사 시스템에 의해 취득한 데이터를 포함한다. 소정의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터는 여기에 기술한 바와 같이 설계에 정렬될 수 있다. 일부 실시예에서, 소정의 정렬 사이트에 대한 데이터는 설계 데이터 스페이스 내의 설계 좌표에 정렬된 표준 기준 다이 이미지의 적어도 일부를 포함한다. 표준 기준 다이 이미지는 여기에 기술한 임의의 표준 기준 다이 이미지를 포함할 수 있고, 표준 기준 다이 이미지는 여기에 기술한 바와 같이 설계 좌표에 정렬될 수 있다. 예컨대, 표준 기준 다이 이미지는 설계 스페이스에 매핑된 후, 정렬을 위해 사용될 수 있다.In further embodiments, the data for a given alignment site includes data obtained by an inspection system aligned to design data stored in a data structure such as a GDSII file. The data obtained by the inspection system for a given alignment site can be aligned to the design as described herein. In some embodiments, the data for a given alignment site includes at least a portion of a standard reference die image aligned to design coordinates in the design data space. The standard reference die image may include any standard reference die image described herein, and the standard reference die image may be aligned to the design coordinates as described herein. For example, a standard reference die image can be mapped to the design space and then used for alignment.

정렬 사이트에 대한 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬시키는 단계는 당업계에 공지된 임의의 적합한 정렬 방법 및/또는 정렬 알고리즘을 사용하여 수행할 수 있다.Aligning the data for the alignment site to the data for the given alignment site may be performed using any suitable alignment method and / or alignment algorithm known in the art.

일 실시예에서, 단계 12는 웨이퍼 검사 동안에 수행될 수 있다. 또한, 이러한 단계는 검사 프로세스 레시피를 사용하여 웨이퍼가 검사될 때마다 수행할 수 있다. 예컨대, 다수의 웨이퍼 검사 개시시와 로트(lot) 내의 각 웨이퍼의 검사 개시시에 수행할 수 있는 초기화 단계를 포함할 수 있다. 초기화 단계 동안에, 소정의 정렬 사이트와, 설계 데이터 스페이스 내의 소정의 정렬 사이트의 (x, y 또는 2차원) 매핑은 레시피 셋업 결과로부터 접속될 수 있고, 검사되고 있는 웨이퍼에 대하여 검사 시스템에 의해 취득한 라이브 패치 이미지와 저장된 정렬 패치 이미지의 정렬을 수행하는데 사용될 수 있는 이미지 컴퓨터 처리 노드에 다운 로드될 수 있다. 이미지 컴퓨터 및 처리 노드는 당업계에 공지된 임의의 적합한 구성을 가질 수 있다.In one embodiment, step 12 may be performed during wafer inspection. This step can also be performed each time a wafer is inspected using an inspection process recipe. For example, it may include an initialization step that may be performed at the start of multiple wafer inspections and at the start of inspection of each wafer in a lot. During the initialization phase, the (x, y or two-dimensional) mapping of the given alignment site and the given alignment site in the design data space can be connected from the recipe setup result and obtained by the inspection system for the wafer being inspected. The patch image can be downloaded to an image computer processing node that can be used to perform alignment of the stored alignment patch image. The image computer and processing node may have any suitable configuration known in the art.

검사 프로세스 동안에, 상기 방법은 검사 데이터의 스와스를 취득하기 위해 검사 시스템을 사용하여 웨이퍼를 스캐닝하는 단계를 포함할 수 있다. 각각의 스와스는 검사 시스템이 웨이퍼 상의 열 또는 행으로 다이에 걸쳐 스캔시(x 방향에서), 일부 높이(H)(y 방향에서)의 픽셀 스트림으로서 취득될 수 있다. 이미지 컴퓨터 내의 각각의 처리 노드는 스와스의 일부를 처리할 수 있다. 예컨대, 스와스는 부분 또는 "페이지(page)"로 분리될 수 있고, 스와스의 각 부분은 다른 처리 노드로 향해질 수 있다. 처리 노드는 처리 노드에 의해 수신된 스와스의 부분 내의 픽셀을 사용하여 결함 검출을 수행하도록 구성될 수 있다. 상기 방법 및 이미지 컴퓨터는 웨이퍼 상의 정렬 사이트의 위치(예컨대, 각 다이 내의 위치)에 관한 정보와, 이미지 컴퓨터 저장 매체로부터 취득한 소정의 정렬 사이트의 패치 이미지(예컨대, 초기화 단계 동안에 다운로드 된)를 사용하여 소정의 정렬 사이트를 웨이퍼 상의 정렬 사이트에 대한 라이브 스트림 데이터에 정렬시킬 수 있다.During the inspection process, the method may include scanning the wafer using an inspection system to obtain a swath of inspection data. Each swath can be obtained as a pixel stream of some height H (in the y direction) when the inspection system scans (in the x direction) across the die in columns or rows on the wafer. Each processing node in the image computer can process a portion of the swath. For example, a swath can be divided into portions or " pages ", and each portion of the swath can be directed to a different processing node. The processing node may be configured to perform defect detection using pixels in the portion of the swath received by the processing node. The method and image computer may use information regarding the location of the alignment site on the wafer (eg, location within each die) and the patch image (eg, downloaded during the initialization phase) of a given alignment site obtained from an image computer storage medium. Certain alignment sites may be aligned with live stream data for alignment sites on a wafer.

일부 실시예에서, 콘텍스트 맵(예컨대, 데이터베이스와 같은 데이터 구조로 저장된)이 접속되어 처리 노드에 다운로드 될 수 있다. 이러한 콘텍스트 데이터는 당업계에 공지된 임의의 적합한 포맷으로 저장될 수 있다. 이러한 콘텍스트 데이터는 이미지 포맷보다는 콤팩트한 다각형 포맷으로 저장 및/또는 사용될 수 있다. 하지만, 콘텍스트 맵은 그 콘텍스트 맵이 결함 검출 목적으로 사용될 수 있도록 이미지에 부여될 수 있다. 이러한 부여는 초기화 동안에 한번 또는 검사 동안 콘텍스트 맵이 사용될 때마다 수행될 수 있다. 전자의 접근 방식의 이점은 초기화 동안에 콘텍스트 맵을 부여하는 것은 검사 프로세스 동안에 수행되는 데이터 처리 사이클을 줄인다는 것이다. 하지만, 이러한 접근 방식의 단점은 전체 콘텍스트 맵의 부여된 이미지를 저장하는 것은 비교적 다량의 메모리를 필요로 할 수 있다는 것이다.In some embodiments, a context map (eg, stored in a data structure such as a database) may be connected and downloaded to the processing node. Such context data may be stored in any suitable format known in the art. Such context data may be stored and / or used in a compact polygonal format rather than an image format. However, a context map can be assigned to an image so that the context map can be used for defect detection purposes. This grant may be performed once during initialization or whenever the context map is used during inspection. The advantage of the former approach is that giving a context map during initialization reduces the data processing cycles performed during the inspection process. However, a disadvantage of this approach is that storing a given image of the entire context map can require a relatively large amount of memory.

도 1의 단계 14에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 위치를 결정하는 단계를 포함한다. 예컨대, 설계 데이터 좌표(즉, 설계 데이터 스페이스 내)에 대한 소정의 정렬 사이트의 (x, y) 위치가 결정되고, 소정의 정렬 사이트에 대한 데이터가 정렬 사이트에 대한 데이터에 정렬되기 때문에, 웨이퍼 상의 정렬 사이트의 라이브 픽셀 좌표의 절대 위치는 설계 데이터 스페이스 내에서 결정될 수 있다. 다른 실시예에서, 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계는 미가공 데이터 스트림(raw data stream)(예컨대, 라이브 이미지)을 소정의 정렬 사이트에 대한 데이터(예컨대, 기준 이미지)에 정렬시키는 단계를 포함할 수 있다. 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계는 웨이퍼의 검사 이전에 수행되거나 웨이퍼에 대한 검사 데이터의 취득 후에 수행될 수 있다.As shown in step 14 of FIG. 1, the method includes determining an alignment position on the wafer in the design data space based on the position of the predetermined alignment site in the design data space. For example, the (x, y) position of a given alignment site relative to the design data coordinates (ie, within the design data space) is determined, and because the data for the given alignment site is aligned to the data for the alignment site, The absolute position of the live pixel coordinates of the alignment site can be determined within the design data space. In another embodiment, determining the location of the alignment site on the wafer within the design data space may align the raw data stream (eg, live image) to the data (eg, reference image) for a given alignment site. It may include the step of. Determining the position of the alignment site on the wafer within the design data space may be performed prior to inspection of the wafer or after acquisition of inspection data for the wafer.

도 1의 단계 16에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 위치에 기초하여 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대하여 취득한 검사 데이터의 위치를 결정하는 단계를 포함한다. 설계 데이터가 결정되는 위치에 대한 검사 데이터는 검사 동안에 검사 시스템에 의해 웨이퍼에 대하여 취득한 임의의 데이터(예컨대, 이미지 데이터)를 포함할 수 있다. 예컨대, 검사 데이터의 위치는 웨이퍼의 검사 동안에 검사 시스템에 의해 취득한 데이터의 일부 또는 전부에 대하여 결정될 수 있다. 예컨대, 검사 데이터의 위치는 웨이퍼 상의 주의 영역에 대하여 취득한 검사 데이터에 대해서만 결정될 수 있다.As shown in step 16 of FIG. 1, the method includes determining the position of inspection data acquired for the wafer by the inspection system in the design data space based on the alignment position on the wafer in the design data space. Inspection data for the location at which the design data is determined may include any data (eg, image data) acquired for the wafer by the inspection system during inspection. For example, the location of the inspection data can be determined for some or all of the data acquired by the inspection system during inspection of the wafer. For example, the position of the inspection data can be determined only for the inspection data acquired for the attention area on the wafer.

일 실시예에서, 웨이퍼 상의 정렬 사이트에 대응하는 새로운 데이터 스트림의 위치를 전술한 바와 같이 소정의 정렬 사이트의 기준 이미지에 정렬한 후에, 상기 방법은 검사 데이터 스트림과 설계 데이터 사이의 좌표 오프셋을 서브-픽셀 정밀도 내에서 측정하는 단계를 포함할 수 있다. 또한, 라이브 검사 데이터와 설계 데이터 사이의 좌표 에러는, 웨이퍼 상의 정렬 사이트가 다이에 걸친 모든 지점에 대한 소정의 정렬 사이트에 실질적으로 정확히 정렬되도록, 새로운 검사 데이터 이미지를 소정의 정렬 사이트에 대한 기준 이미지에 대하여 이동시킴으로써 보정될 수 있다. 여기에 기술한 상기 방법 및 시스템의 하나의 현저한 이점은 설계 데이터 스페이스 내의 검사 데이터의 위치가 서브-픽셀 정밀도로 결정될 수 있다는 것이다. 이러한 방식에서, 웨이퍼 상의 보호 및 비-주의 영역은 여기에 추가로 기술하는 바와 같이 100nm 이하 정확도의 비교적 높은 정밀도로 결정될 수 있다.In one embodiment, after aligning the position of the new data stream corresponding to the alignment site on the wafer to the reference image of the predetermined alignment site as described above, the method sub-coordinates the coordinate offset between the inspection data stream and the design data. And measuring within pixel precision. In addition, the coordinate error between the live inspection data and the design data is such that the new inspection data image is a reference image for the given alignment site such that the alignment site on the wafer is aligned substantially exactly to the desired alignment site for all points across the die. By moving relative to. One significant advantage of the methods and systems described herein is that the location of inspection data within the design data space can be determined with sub-pixel precision. In this manner, the protective and non-cautionary areas on the wafer can be determined with a relatively high precision of less than 100 nm accuracy, as further described herein.

다른 실시예에서, 소정의 정렬 사이트에 대한 데이터는 라이브 이미지 픽셀 스페이스를 설계 데이터 스페이스에 매핑하기 위해 사용될 수 있는 2-차원 매핑 변환을 결정하는데 사용될 수 있다. 예컨대, 전술한 바와 같이, 상기 방법은 다운로드 한 소정의 정렬 사이트 패치 이미지(검사 프로세스의 셋업 동안에 취득된)와 소정의 범위에 걸친 라이브 이미지 데이터를 상호 연관짓는 단계와, 다운로드 한 이미지와 라이브 이미지 사이의 오프셋을 결정하는 단계를 포함할 수 있다. 상기 방법은, 설계 데이터 스페이스 내의 소정의 정렬 사이트의 (x, y) 위치가 셋업 동안에 결정되기 때문에, 그러한 오프셋을 이용하여 라이브 이미지 픽셀 위치와 설계 데이터 좌표를 사이의 대응성을 결정하는 단계를 또한 포함할 수 있다. 상기 방법은 라이브 이미지 픽셀 위치와 설계 데이터 좌표 사이의 대응성을 사용하여 라이브 픽셀 좌표 스페이스를 설계 데이터 스페이스에 매핑시키기 위한 2-차원 함수를 결정하는 단계를 포함할 수 있다.In another embodiment, data for a given alignment site may be used to determine a two-dimensional mapping transformation that may be used to map the live image pixel space to the design data space. For example, as described above, the method correlates the downloaded predetermined alignment site patch image (obtained during setup of the inspection process) with the live image data over a predetermined range, and between the downloaded image and the live image. Determining the offset of may include. The method further comprises using the offset to determine the correspondence between the live image pixel position and the design data coordinates, since the (x, y) position of a given alignment site in the design data space is determined during setup. It may include. The method may include determining a two-dimensional function for mapping the live pixel coordinate space to the design data space using the correspondence between the live image pixel location and the design data coordinates.

그러한 일 예에서, 설계 데이터 스페이스 내의 절대 좌표에 대한 정렬 사이트의 그리드의 적합한 다항식 피트를 사용함으로써, 검사 데이터(예컨대, 라이브 픽셀 스트림) 내의 임의의 픽셀을 설계 데이터 스페이스 내의 대응하는 위치에 매핑하는데 사용할 수 있는 매핑 함수를 결정할 수 있다. 유사한 방식으로, 검사 데이터 내의 임의의 픽셀은 후술하는 바와 같이 콘텍스트 스페이스 내의 그것의 대응하는 위치에 매핑될 수 있다. 실질적으로 정확한 매핑을 제공하기 위해 몇몇 다른 보정이 사용될 수 있다. 예컨대, 보정은 스테이지(stage) 보정 데이터와, 검사 시스템의 런타임 정렬(RTA) 서브시스템에 의해 취득할 수 있는 x 방향에서의 픽셀 사이즈와 같이 검사 시스템에 의해 제공되는 데이터에 기초하여 수행할 수 있다. 매핑은 다이-다이 검사 모드에 사용할 수 있다. 전술한 바와 같은 라이브 픽셀 스트림의 매핑은 웨이퍼의 검사 동안에 실시간으로 수행되거나, 웨이퍼에 대한 검사 데이터의 취득 이후에 수행할 수 있다. 이러한 방식에서, 설계 데이터 스페이스 내의 검사 데이터의 위치 결정은 웨이퍼의 검사 동안에 수행할 수 있다. 대안적으로, 설계 데이터 스페이스 내의 검사 데이터의 위치 결정은 웨이퍼의 검사에 후속하여 수행할 수 있다.In one such example, by using a suitable polynomial fit of a grid of alignment sites for absolute coordinates in a design data space, it may be used to map any pixel in the inspection data (eg, a live pixel stream) to a corresponding location in the design data space. You can determine the mapping function. In a similar manner, any pixel in the inspection data can be mapped to its corresponding location in the context space as described below. Several other corrections can be used to provide a substantially accurate mapping. For example, the correction may be performed based on stage correction data and data provided by the inspection system, such as pixel size in the x direction that may be obtained by the runtime alignment subsystem (RTA) of the inspection system. . Mapping can be used for die-die inspection mode. The mapping of the live pixel stream as described above may be performed in real time during inspection of the wafer, or after acquisition of inspection data for the wafer. In this manner, positioning of inspection data within the design data space can be performed during inspection of the wafer. Alternatively, positioning of the inspection data in the design data space may be performed subsequent to inspection of the wafer.

설계 데이터 스페이스 내의 검사 데이터의 위치는 여기에 기술한 임의의 방식으로 저장 및 사용할 수 있다.The location of the inspection data in the design data space can be stored and used in any of the ways described herein.

일 실시예에서, 상기 방법은 검사 데이터와, 표준 기준 다이 기반 검사를 위한 표준 기준 다이를 사용하여 웨이퍼 상의 결함을 검출하는 단계를 포함한다. 이러한 방식에서, 여기에 기술한 상기 방법의 실시예는 표준 기준 다이 기반 검사를 수행하는 단계를 포함할 수 있다. 그러한 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 표준 기준 다이 이미지의 매핑을 표준 기준 다이-다이 검사 모드에서 웨이퍼에 대한 검사 시스템에 의해 취득한 라이브 이미지에 인가하는 단계를 포함할 수 있다. 용어 "표준 기준 다이"는 일반적으로 검사되고는 있지만, 다이-다이 검사에 대해 취득된 "테스트" 다이에 대한 통상의 인접 구속을 충족하지 않는 기준 다이를 칭한다. 일부 상업적으로 입수가능한 검사 시스템은 표준 기준 다이-다이 검사 모드의 일부 버전을 사용하도록 구성된다. 표준 기준 다이-다이 검사 모드의 일 구현은 일 다이를 다이열 내의 임의의 다이와 비교하는 단계를 포함한다. 다른 구현에서, 표준 기준 다이 이미지는 저장된 이미지일 수 있다. 따라서, 저장된 표준 기준 다이-다이 검사 모드는 웨이퍼 상의 기준 다이를 사용하는 구속이 제거된 것을 제외하면, 표준 기준 다이-다이 검사 모드와 유사하다. 이러한 검사 모드의 하나의 이점은 저장된 기준 다이 이미지가 "실질적으로 결함이 없는" 표준 기준 다이 이미지를 만들도록 변형될 수 있다는 것이다. 또한, 이러한 검사 모드는 다른 웨이퍼로부터의 표준 기준 다이 이미지를 사용하는 것을 가능하게 하고, 이에 의해, 여기에 추가로 기술하는, iPWQ 애플리케이션의 가장 단순한 구현을 가능하게 한다.In one embodiment, the method includes detecting defects on the wafer using inspection data and a standard reference die for standard reference die based inspection. In this manner, an embodiment of the method described herein may include performing a standard reference die based inspection. In some such embodiments, the method may include applying a mapping of a standard reference die image within the design data space to a live image acquired by the inspection system for the wafer in the standard reference die-die inspection mode. The term “standard reference die” refers to a reference die that is generally inspected but does not meet the usual adjacent constraints on the “test” die obtained for die-die inspection. Some commercially available inspection systems are configured to use some version of the standard reference die-die inspection mode. One implementation of the standard reference die-die inspection mode includes comparing one die to any die in a die string. In another implementation, the standard reference die image may be a stored image. Thus, the stored standard reference die-die inspection mode is similar to the standard reference die-die inspection mode, except that constraints using the reference die on the wafer are removed. One advantage of this inspection mode is that the stored reference die image can be modified to produce a "substantially defect free" standard reference die image. This inspection mode also makes it possible to use standard reference die images from other wafers, thereby enabling the simplest implementation of iPWQ applications, which is described further herein.

표준 기준 다이-다이 검사 모드에 사용할 수 있는 일 실시예에서, 검사되고 있는 다이에 대하여 취득한 라이브 이미지는 다른 웨이퍼 상의 알려진 양호한 다이(표준 기준 다이)로부터 획득한 저장된 다이 이미지에 정렬되고 그것과 비교된다. 그러한 정렬 및 비교는 여기에 기술하는 바와 같이 수행할 수 있다. 이 경우, 설계 데이터 좌표 스페이스로의 표준 기준 다이 픽셀의 매핑은 완전히 오프라인으로 수행할 수 있다. 예컨대, 표준 기준 다이 내의 정렬 사이트는 전술한 바와 같이 설계 데이터 스페이스 내에서 매핑될 수 있고, 매핑된 표준 기준 다이 픽셀은 검사 동안에 오프라인 저장되고, 검사 시스템에 공급될 수 있다. 이러한 방식에서, 표준 기준 다이-다이 검사 모드에 대해, 설계 데이터 좌표 스페이스 내의 라이브 검사 데이터의 위치 결정은 라이브 데이터를 표준 기준 다이 이미지 또는 설계 스페이스에 자체가 매핑된 데이터에 정렬함으로써 수행할 수 있다.In one embodiment that can be used in the standard reference die-die inspection mode, the live image acquired for the die being inspected is aligned to and compared with the stored die image obtained from a known good die (standard reference die) on another wafer. . Such alignment and comparison can be performed as described herein. In this case, the mapping of the standard reference die pixels to the design data coordinate space can be done completely offline. For example, alignment sites in the standard reference die can be mapped in the design data space as described above, and the mapped standard reference die pixels can be stored offline during inspection and fed to the inspection system. In this manner, for the standard reference die-die inspection mode, the positioning of live inspection data within the design data coordinate space may be performed by aligning the live data with the standard reference die image or data mapped to itself in the design space.

다른 실시예에서, 표준 기준 다이-다이 검사에 대해, 기준 웨이퍼 상의 알려진 양호한 다이는 선택된 픽셀 사이즈와 촬상 모드에서 스캐닝되고, 전체의 알려진 양호한 다이 이미지는 적절한 저장 매체(예컨대, 디스크)에 저장할 수 있다. 웨이퍼의 검사 동안에, 적절한 표준 기준 다이 이미지의 스와스는 검사 시스템 이미지 컴퓨터에 다운로드 되고, 각각의 다이가 스캐닝될 때, 타깃 다이(즉, 검사되고 있는 다이)의 프레임은 대응하는 표준 기준 다이 프레임과 정렬된다. 프레임 사이의 정렬 불량은 서브-픽셀의 보간(補間)을 사용하여 정정될 수 있다. 그 후, 표준 기준 다이 이미지는 웨이퍼 상의 결함을 검출하기 위해(즉, 결함 있는 픽셀을 검출하기 위해) 웨이퍼의 이미지와 비교될 수 있다. 이러한 방식에서, 검사 데이터를 설계 데이터 스페이스 좌표에 정렬하기 위해 그리고 결함 검출을 위해 동일한 이미지가 사용될 수 있다.In another embodiment, for standard reference die-die inspection, the known good die on the reference wafer is scanned in the selected pixel size and imaging mode, and the entire known good die image may be stored on an appropriate storage medium (eg, disk). . During inspection of the wafer, the swath of the appropriate standard reference die image is downloaded to the inspection system image computer, and as each die is scanned, the frame of the target die (ie, the die being inspected) is aligned with the corresponding standard reference die frame. do. Misalignment between frames can be corrected using interpolation of sub-pixels. The standard reference die image can then be compared with the image of the wafer to detect defects on the wafer (ie, to detect defective pixels). In this way, the same image can be used to align the inspection data to design data space coordinates and for defect detection.

다른 실시예에서, 상기 방법은 실시간으로 에러를 보정하기 위해서, 검사 데이터 스트림 내의 웨이퍼 상의 정렬 사이트에 대한 정렬 데이터를, 소정의 정렬 사이트에 대하여 랜더링된 GDS 클립에 정렬시키는 단계를 포함한다. 예컨대, 상기 방법은 설계 데이터 스페이스 내의 렌더링된 GDSII 클립의 매핑을 다이-다이 검사 모드에 대해 웨이퍼 상의 정렬 사이트에 대한 데이터에 인가하는 단계를 포함할 수 있다. 상기 방법은 소정의 검색 범위에 걸쳐 라이브 이미지 데이터와 다운로드 한 정렬 사이트 패치 이미지(검사 프로세스의 셋업 동안에 선택된)를 상호 연관짓는 단계를 포함할 수 있다. 다른 예에서, 검사 데이터 스트림 내의 웨이퍼 상의 정렬 사이트에 대한 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬하는 단계는, 여기에 추가로 기술하는 바와 같이 수행할 수 있는, 정렬 사이트 내의 1 이상의 특징의 중심 또는 다른 속성을 정렬함으로써 수행할 수 있다.In another embodiment, the method includes aligning alignment data for alignment sites on the wafer in the inspection data stream with GDS clips rendered for a given alignment site to correct errors in real time. For example, the method may include applying a mapping of the rendered GDSII clip in the design data space to data for alignment sites on the wafer for the die-die inspection mode. The method may include correlating live image data and downloaded alignment site patch images (selected during setup of the inspection process) over a predetermined search range. In another example, the step of aligning data for an alignment site on the wafer in the inspection data stream with data for a given alignment site may be performed as described further herein, center of one or more features in the alignment site. Or by sorting other attributes.

일 실시예에서, 다이-다이 검사 모드에서의 결함 걸출에 대해, 각각의 스캐닝된 다이 프레임은 스와스 내의 후속 다이 프레임에 대한 데이터와 정렬된다. 이 경우, 소정의 정렬 사이트와 웨이퍼 상의 정렬 사이트의 매핑은, 검사 데이터 스트림 내의 각 다이에 대한 데이터의 위치가 검사 시스템의 기계적 에러 소스 및 다른 에러 소스에 처해지기 때문에, 오프라인으로 수행되지 않을 수 있다. 따라서, 이 경우, 상기 방법은 검사 데이터의 취득 동안에 각 다이 내의 정렬 사이트를 식별하는 단계(예컨대, 이미지 컴퓨터를 사용하여)를 포함할 수 있다.In one embodiment, for defect detection in die-die inspection mode, each scanned die frame is aligned with data for subsequent die frames in the swath. In this case, the mapping of a given alignment site to the alignment site on the wafer may not be performed offline because the location of the data for each die in the inspection data stream is at the mechanical error source and other error sources of the inspection system. . Thus, in this case, the method may include identifying an alignment site in each die during acquisition of inspection data (eg, using an image computer).

다른 실시예에서, 결함 검출은 웨이퍼간 검사 모드로 수행될 수 있다. 그러한 일 실시예에서, 일 웨이퍼 상의 정렬 사이트에 대한 데이터는 소정의 정렬 사이트에 대한 데이터에 정렬될 수 있고, 그러한 웨이퍼 상의 정렬 사이트에 대한 데이터는 다른 웨이퍼 상의 정렬 사이트에 대한 데이터에 정렬될 수 있다. 대안적으로, 양쪽의 웨이퍼 상의 정렬 사이트에 대한 데이터는 여기에 기술한 임의의 데이터를 포함하는 소정의 정렬 사이트에 대한 데이터에 정렬될 수 있다. 이러한 방식에서, 웨이퍼 상의 정렬 사이트에 대한 데이터가 소정의 정렬 사이트에 대한 데이터에 정렬된 후에, 웨이퍼에 대한 검사 데이터는 서로 효과적으로 정렬될 수 있고, 결함 검출을 위해 중첩되거나 비교될 수 있다. 일부 실시예에서, 웨이퍼간 검사 모드는 검사되고 있는 웨이퍼의 외측에 존재하는 기준 다이를 사용하는 것을 포함한다(즉, 오프 웨이퍼 기준). 이러한 방법의 구현은, 적절한 감지도의 결과를 달성하기 위해, 검사 시스템이 다이-다이 레벨 오버레이 공차(예컨대, 0.1 픽셀)를 달성할 수 있도록 현재 사용된 런타임 피드백 개념을 분리하는 단계를 포함하기 때문에, 결코 용이하지 있다.In another embodiment, defect detection may be performed in an inter-wafer inspection mode. In one such embodiment, data for alignment sites on one wafer may be aligned with data for an alignment site, and data for alignment sites on such wafers may be aligned with data for alignment sites on another wafer. . Alternatively, data for alignment sites on both wafers may be aligned with data for a given alignment site, including any of the data described herein. In this manner, after the data for the alignment sites on the wafer are aligned with the data for the given alignment sites, the inspection data for the wafers can be effectively aligned with each other and can be superimposed or compared for defect detection. In some embodiments, the inter-wafer inspection mode includes using a reference die that is external to the wafer being inspected (ie, off wafer reference). Since implementation of this method involves separating the currently used runtime feedback concept such that the inspection system can achieve die-to-die level overlay tolerances (eg, 0.1 pixels) to achieve adequate sensitivity results. There is never easy.

그러한 일 실시예에서, 상기 방법은 검사되고 있는 웨이퍼의 오프-웨이퍼 기준 이미지에의 RTA를 포함한다. 오프-웨이퍼 이미지에의 RTA는 다이-다이 비교 및 셀-셀 비교와 같은 웨이퍼 "자기-참조(self-referencing)" 접근 방식으로부터 패터닝된 웨이퍼 상의 결함을 검출하기 위한 웨이퍼간 검사로의 스캐닝 검사 기술의 연장을 가능하게 하도록 사용될 수 있는 이미지 정렬 접근 방식이다. 예컨대, RTA는 검사 시스템의 1 이상의 검출기에 의해 생성된 신호를 디지털화하기 이전에 서브-픽셀 정밀도의 위치 결정을 얻기 위하여 이전에 취득한 이미지와 취득한 라이브 이미지를 전기-기계적으로 정렬하는 단계를 포함할 수 있다. RTA가 여기에 기술한 실시예에서 어떻게 수행될 수 있는지의 예는 미국 특허 제7,061,625호(Hwang et al)에 개시되며, 여기에 완전히 언급된 것과 참고자료로 포함된다.In one such embodiment, the method includes an RTA on an off-wafer reference image of the wafer being inspected. RTA to off-wafer images is a scanning inspection technique from wafer "self-referencing" approach such as die-die comparison and cell-cell comparison to inter-wafer inspection to detect defects on patterned wafers. An image alignment approach that can be used to enable extension of the. For example, the RTA may include electro-mechanically aligning the previously acquired image and the acquired live image to obtain sub-pixel precision positioning prior to digitizing the signal generated by one or more detectors of the inspection system. have. Examples of how RTA can be performed in the embodiments described herein are disclosed in US Pat. No. 7,061,625 to Hwang et al, which is incorporated herein by reference in its entirety.

웨이퍼의 이미지와 오프-웨이퍼 이미지를 비교하는 단계를 포함하는 현재 이용가능한 하나의 접근 방식은 일본의 "Nanogeometric Research"에 의해 사용된 다이-데이터베이스 검사 모드이다(NGR). 다이-데이터베이스 검사 접근 방식은 복잡한 일련의 에지 기반 이미지 처리, 프로세스 시뮬레이션, 및 검출 알고리즘 단계가 후속하는 "단계 및 반복" 이미지 취득 및 스티칭(stitching)을 수반한다. 하지만, 이러한 방법은 다른 웨이퍼의 이미지를 직접적으로 비교하는데 사용될 수 없다. 특히, 다이-데이터베이스 검사 모드는 웨이퍼 이미지와, 설계 레이아웃 데이터베이스로부터 도출된 시뮬레이션된 기준과 비교한다. 이러한 접근 방식의 시뮬레이션 단계는 테스트하의 웨이퍼를 제조하는데 사용된 특정 제조 프로세스에 따라 주위 깊게 교정되어야만 한다. 이러한 교정은 고비용이며 시간 소모적인 프로세스이다. 그 보정은 다중 단계의 통합 프로세스 플로우에 대해서는 특히 복잡하다. 또한, "단계 및 반복" 이미지 취득 검사 프로세스는 스테이지 관성, 스테이지 진동, 정적 이미지 취득, 이미지 스티칭 등의 실제 제한으로 인하여 스캐닝 기반 검사 프로세스에 비하여 통상적으로 느리다.One currently available approach that includes comparing the wafer image with the off-wafer image is the die-database inspection mode (NGR) used by Japan's "Nanogeometric Research". The die-database inspection approach involves "step and iterative" image acquisition and stitching followed by a complex series of edge-based image processing, process simulation, and detection algorithm steps. However, this method cannot be used to directly compare images of different wafers. In particular, the die-database inspection mode compares the wafer image with simulated criteria derived from the design layout database. The simulation steps of this approach must be carefully calibrated according to the specific manufacturing process used to fabricate the wafer under test. This calibration is an expensive and time consuming process. The correction is particularly complicated for multi-step integrated process flows. In addition, the "step and repeat" image acquisition inspection process is typically slower than the scanning based inspection process due to the practical limitations of stage inertia, stage vibration, static image acquisition, image stitching, and the like.

대안적인 다이-데이터베이스 검사 모드는 전술한 "오프-웨이퍼" 기준 다이를 사용하는 검사 모드의 논리적 연장이다. 이 경우, "데이터베이스"는 전술한 바와 같이 설계 데이터 및 프로세스 시뮬레이션으로부터 생성된 랜더링된 이미지이다. 따라서, 웨이퍼간 검사가 취득된 이미지(가능하게는 여기에 기술한 바와 같이 수행될 수 있는 통계적 증대)로부터 생성된 "표준 기준 다이"와, 중점적으로 설계 데이터 및 프로세스 모델링으로부터 생성된 "표준 기준 다이"를 사용하여 수행될 수 있기 때문에, 데이터베이스 기반 검사는 "오프-웨이퍼" 기준 검사 모드의 논리적 연장으로 간주될 수 있다. 중점적으로 설계 데이터 및 프로세스 모델링으로부터 생성된 표준 기준 다이를 사용하는 것은 구현하기가 가장 복잡한 웨이퍼 검사 모드이다. 이러한 검사 모드를 구현하기 위해 여러 시도가 이루어지고 있지만, 그러한 애플리케이션의 연산 강도(모델링 및 검출), 이미지 취득 속도, 및 이미지 품질 도전으로 인하여, 시도된 구현의 현재 성능은 적합하지 않다. 하지만, 여기에 기술한 방법은 통상적인 절대 기준(예컨대, 설계 데이터)이 오프-웨이퍼 기준과 테스트하의 웨이퍼에 대한 검사 데이터의 정렬을 위해 사용될 수 있기 때문에, 구현이 더욱 실질적이다.An alternative die-database inspection mode is a logical extension of the inspection mode using the "off-wafer" reference die described above. In this case, a "database" is a rendered image generated from design data and process simulation as described above. Thus, a "standard reference die" generated from an image (possibly a statistical augmentation that can be performed as described herein) from which wafer-to-wafer inspection has been obtained, and a "standard reference die generated mainly from design data and process modeling. Database-based checking can be considered as a logical extension of the "off-wafer" reference checking mode. Emphasizing the use of standard reference dies generated from design data and process modeling is the most complex wafer inspection mode to implement. Several attempts have been made to implement this inspection mode, but due to the computational intensity (modeling and detection), image acquisition speed, and image quality challenges of such applications, the current performance of the attempted implementation is not suitable. However, the method described herein is more practical because conventional absolute criteria (eg, design data) can be used to align the off-wafer criteria with inspection data for the wafer under test.

따라서, 여기에 기술한 방법은, 잠재적으로 매우 유용한 애플리케이션인, 웨이퍼의 서로에 대한 비교를 가능하게 하도록 사용될 수 있다. 웨이퍼-웨이퍼 비교를 사용하는 결함 검사에 대한 하나의 모티베이션은 웨이퍼 제조 프로세스의 누적 공차와 특정 회로 레이아웃의 상호작용으로부터 기인할 수 있는 "체계적 결함 메커니즘"을 탐색하는 것이다. 이러한 탐색 프로세스는 동일한 장치 설계가 그 위에 인쇄되지만 상이하게 처리되는 웨이퍼들을 비교하는 단계를 포함할 수 있다. 가장 결정적인 접근 방식은 단일 또는 다중 변수 실험에서의 프로세스 매개변수를 모듈화하는 것이다(예컨대, 계통적인 DOE 접근 방식을 사용하여). 일 실시예에서, 웨이퍼와 추가적인 웨이퍼(예컨대, 2 이상의 웨이퍼)는 웨이퍼 레벨 프로세스 매개변수 조정을 사용하여 처리되며, 이는 전술한 바와 같이 또는 임의의 다른 적합한 방식으로 수행할 수 있다. 프로세스 매개변수는 결과적인 웨이퍼의 측정가능한 물리적 및/또는 전기적 속성이 그것의 허용가능한 한계에 접근하게 하도록 조정될 수 있다. 또한, 상기 방법은 웨이퍼와 추가적인 웨이퍼 상의 다이에 대한 검사 데이터를 통상적인 표준 기준 다이에 비교함으로써, 웨이퍼 및 추가적인 웨이퍼 상의 결함을 검출하는 단계를 포함할 수 있다. 이러한 방식에서의 웨이퍼 상의 결함 검출은 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 그러한 일 실시예에서, 상기 방법은 "결함"의 검출에 의해 측정되는 바와 같이, 웨이퍼 사이에 구조적 차이가 발생하는지를 판정하는 단계를 포함할 수 있다. 그러한 접근 방식은 통합된 PWQ(iPWQ)라 칭할 수 있다. 이러한 방식에서, 여기에 기술한 상기 방법은 iPWQ의 구현을 가능하게 하도록 사용될 수 있다(예컨대, iPWQ에 대한 표준 기준 다이 접근 방식을 사용하여). 그와 같이, PWQ 방법론은 iPWQ 방법론의 구현을 목적으로 다른 웨이퍼 상의 다이와 통상적 표준 기준 다이의 비교 및 웨이퍼 레벨 프로세스 매개변수 조정을 포함하도록 연장될 수 있다.Thus, the methods described herein can be used to enable comparison of wafers to each other, which is a potentially very useful application. One motivation for defect inspection using wafer-wafer comparison is to search for "systematic defect mechanisms" that can result from the cumulative tolerances of the wafer fabrication process and the interaction of specific circuit layouts. This search process may include comparing wafers that have the same device design printed thereon but are processed differently. The most critical approach is to modularize process parameters in single or multivariate experiments (eg, using a systematic DOE approach). In one embodiment, the wafer and additional wafers (eg, two or more wafers) are processed using wafer level process parameter adjustments, which can be done as described above or in any other suitable manner. Process parameters can be adjusted to allow the measurable physical and / or electrical properties of the resulting wafer to approach its acceptable limits. The method may also include detecting defects on the wafer and additional wafers by comparing inspection data for the wafer and die on the additional wafer to conventional standard reference dies. Defect detection on the wafer in this manner can be performed as further described herein. In one such embodiment, the method may include determining whether structural differences occur between the wafers, as measured by detection of "defects." Such an approach may be referred to as an integrated PWQ (iPWQ). In this manner, the method described herein can be used to enable the implementation of iPWQ (eg, using a standard reference die approach to iPWQ). As such, the PWQ methodology may be extended to include comparison of die on other wafers with conventional standard reference dies and wafer level process parameter adjustments for the purpose of implementing the iPWQ methodology.

대조적으로, 리소그라피 유도 "체계적 결함 메커니즘"의 탐색은, 여기에 완전히 언급된 것과 같이 참고자료로 포함되는 미국 특허 제6,902,855호(Peterson et al)에 개시된 방법과, KLA-Tencor사로부터 상업적으로 입수가능한 PWQ 제품을 사용하여 수행할 수 있다. PWQ는 설계-리소그라피 상호작용을 결정하기 위한 변수로서 초점 및 노출을 사용하여 레티클 샷(shot) 레벨에서의 리소그라피 노광 프로세스 변수를 조정하기 위하여 리소그라피 툴의 특유의 능력에 영향을 준다. 이러한 애플리케이션은 OPC 입증을 위해 종종 사용된다. 하지만, PWQ는 인쇄된 웨이퍼 상의 다이와 조정된 초점 및/또는 노출 매개변수와의 직접 비교에 제한된다. 에치, 퇴적, 열 처리, 화학적-기계적 연마(CMP) 등과 같은 프로세스 단계와 관련된 다른 프로세스 변수의 영향은, 이들 변수를 단지 웨이퍼 레벨에서 조정할 수 있기 때문에, 직접적으로 평가할 수 없다. 하지만, 이들 프로세스 변수와 관련되거나 그것에 의해 기인하는 체계적 결함 메커니즘은 여기에 기술한 방법을 사용하여 탐색할 수 없다. 특히, 여기에 기술한 방법은 웨이퍼-웨이퍼 비교에 의해 PWQ 타입 애플리케이션에서의 비-리소그라피 프로세스 조정을 검사하기 위해 사용할 수 있다.In contrast, the search for lithography induced “systemic defect mechanisms” is a method disclosed in US Pat. No. 6,902,855 (Peterson et al), incorporated herein by reference in its entirety and commercially available from KLA-Tencor. This can be done using PWQ products. PWQ influences the lithography tool's unique ability to adjust lithography exposure process variables at the reticle shot level using focus and exposure as variables to determine design-lithography interactions. Such applications are often used for OPC verification. However, the PWQ is limited to a direct comparison of the die on the printed wafer with the adjusted focus and / or exposure parameters. The influence of other process variables associated with process steps, such as etch, deposition, heat treatment, chemical-mechanical polishing (CMP), and the like, cannot be evaluated directly because these variables can only be adjusted at the wafer level. However, systematic defect mechanisms associated with or caused by these process variables cannot be explored using the methods described herein. In particular, the method described herein can be used to examine non-lithography process coordination in PWQ type applications by wafer-wafer comparison.

스캐닝 기반 결함 검출 시스템에서, 다이-다이 이미지 감산(image subtraction)은 차분 이미지 레지스트레이션(difference image registration) 노이즈를 줄여서 결함에 대한 더 양호한 감지도를 가능하게 하기 위한 "서브-픽셀" 이미지 정렬에 의해 수행할 수 있다. 결함은 1 이상의 임계치를 초과하는 차분 이미지에서의 픽셀을 검출함으로써 식별할 수 있다. 스캐닝 기반 이미지 취득 프로세스는 RTA라 종종 칭하는 피드백 메커니즘을 포함한다. 이러한 메커니즘은 취득되고 있는 이미지와, 현재의 이미지 이전에 종종 동일한 웨이퍼로부터 취득한 이미지를 정밀하게 정렬한다. 검사 시스템의 구성에 따라, 피드백 메커니즘은 광-기계적 접근 방식, 전기-기계적 접근 방식, 및 전자/알고리즘 접근 방식의 조합을 포함할 수 있다.In scanning-based defect detection systems, die-die image subtraction is performed by "sub-pixel" image alignment to reduce differential image registration noise to enable better detection of defects. can do. Defects can be identified by detecting pixels in the differential image that exceed one or more thresholds. The scanning based image acquisition process includes a feedback mechanism, often referred to as RTA. This mechanism precisely aligns the image being acquired with the image often acquired from the same wafer prior to the current image. Depending on the configuration of the inspection system, the feedback mechanism may include a combination of an opto-mechanical approach, an electro-mechanical approach, and an electronic / algorithm approach.

일 실시예에서, 여기에 기술한 방법은 테스트하의 웨이퍼에 대하여 취득한 이미지보다 저장된 이미지를 기준으로 사용하는 RTA를 포함한다. 저장된 이미지는 "표준 기준 웨이퍼" 또는 기준 웨이퍼의 이미지일 수 있다. 테스트 하 웨이퍼 상의 각 다이는 표준 기준 웨이퍼 상의 대응하는 다이와 비교될 수 있다. 여기에 기술한 실시예가 두 웨이퍼 또는 웨이퍼의 이미지의 비교를 포함하는 것으로 기술하지만, 실시예는 2 이상의 웨이퍼의 검사에 의해 취득한 임의의 데이터를 비교하는 단계를 포함할 수 있다.In one embodiment, the method described herein includes an RTA that uses a stored image as a reference rather than an image acquired for a wafer under test. The stored image may be an “standard reference wafer” or an image of the reference wafer. Each die on the wafer under test can be compared to the corresponding die on a standard reference wafer. Although the embodiments described herein include comparison of two wafers or images of wafers, the embodiments can include comparing any data obtained by inspection of two or more wafers.

도 4는 웨이퍼-웨이퍼 비교를 수행하기 위한 컴퓨터 구현 방법의 각종 실시예를 도시한다. 도 4에 도시한 단계는 방법을 수행하는데 필수적인 것은 아니다. 1 이상의 단계가 도 4에 도시한 방법으로부터 생략될 수 있으며, 그 방법은 여전히 본 실시예의 범주 내에서 실시할 수 있다.4 illustrates various embodiments of a computer implemented method for performing a wafer-wafer comparison. The steps shown in FIG. 4 are not essential to performing the method. One or more steps may be omitted from the method shown in FIG. 4, which may still be implemented within the scope of this embodiment.

단계 220에 나타낸 바와 같이, 본 방법은 웨이퍼-웨이퍼 비교를 포함한다. 일 실시예에서, 웨이퍼-웨이퍼 비교는 단계 222에 나타낸 바와 같이 기준 웨이퍼 이미지를 테스트 웨이퍼 이미지와 비교하는 단계를 포함한다. 예컨대, 여기에 기술한 방법에 사용된 기준 웨이퍼 이미지는 전체 기준 웨이퍼의 저장된 이미지일 수 있다. 기준 웨이퍼 이미지와 테스트 웨이퍼 이미지의 비교는 여기에 기술한 바와 같이 수행할 수 있다. 대안적으로, 단계 224에 나타낸 바와 같이, 웨이퍼-웨이퍼 비교는 표준 기준 다이 이미지와 웨이퍼(예컨대, 기준 또는 테스트 웨이퍼) 상의 모든 다이의 이미지와 비교하는 단계를 포함할 수 있다.As shown in step 220, the method includes a wafer-wafer comparison. In one embodiment, wafer-wafer comparison includes comparing a reference wafer image with a test wafer image as shown in step 222. For example, the reference wafer image used in the method described herein may be a stored image of the entire reference wafer. Comparison of the reference wafer image and the test wafer image can be performed as described herein. Alternatively, as shown in step 224, the wafer-wafer comparison may include comparing a standard reference die image with an image of all dies on a wafer (eg, reference or test wafer).

일 실시예에서, 상기 방법은 검사 데이터, 표준 기준 다이, 및 표준 기준 다이 기반 검사에 대한 섭동(攝動) 매트릭스 내의 표준 기준 다이와 관련된 웨이퍼 노이즈의 표현(noise representation)을 사용하여 웨이퍼 상의 결함을 검출하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 표준 기준 다이와 연관된 웨이퍼 노이즈의 비교적 콤팩트한 표현을 섭동 매트릭스의 형태로 사용하는 것을 포함할 수 있다. 예컨대, 기준 웨이퍼 상의 기준 다이의 이미지는 섭동 매트릭스, 또는 다이 픽셀이 기준 웨이퍼 상의 다이로부터 다이로 어떻게 변하는지를 보여주는 다른 적합한 데이터 구조에 부가하여 저장될 수 있다. 전체 기준 웨이퍼 이미지 대신에 섭동 매트릭스에 부가하여 기준 다이의 이미지를 저장하는 것은 기준 웨이퍼의 더욱 콤팩트한 표현이 저장되게 허용한다. 이러한 방식에서, 섭동 매트릭스는 기준 웨이퍼 이미지 사이즈를 실질적이고 허용가능한 방식으로 구현될 수 있는 레벨까지 저감시키기 위하여 기준 웨이퍼의 표현에 포함될 수 있다. 그와 같이, 상기 방법은 노이즈 시그네처의 섭동 매트릭스 표현을 사용하는 것을 포함하는 표준 기준 다이 기반 검사를 포함할 수 있다.In one embodiment, the method detects defects on a wafer using a representation of wafer noise associated with inspection data, standard reference die, and standard reference die in a perturbation matrix for standard reference die based inspection. It includes a step. In this manner, the method may include using a relatively compact representation of wafer noise associated with a standard reference die in the form of a perturbation matrix. For example, an image of the reference die on the reference wafer can be stored in addition to the perturbation matrix, or other suitable data structure showing how the die pixels change from die to die on the reference wafer. Storing an image of the reference die in addition to the perturbation matrix instead of the entire reference wafer image allows a more compact representation of the reference wafer to be stored. In this manner, a perturbation matrix can be included in the representation of the reference wafer to reduce the reference wafer image size to a level that can be implemented in a practical and acceptable manner. As such, the method may include a standard reference die based inspection that includes using a perturbation matrix representation of the noise signature.

기준 웨이퍼 이미지 및 대응하는 섭동 매트릭스를 생성하는 단계는 기준 웨이퍼로부터 취득한 표준 기준 다이를 사용하는 표준 기준 다이 기반 검사를 포함할 수 있다(즉, 일종의 자기 참조). 기준 웨이퍼 상의 단일의 표준 기준 다이 이미지는, 기준 웨이퍼 상의 각각의 다이에 대하여 저장된 압축된 차분 데이터로 섭동된 베이스라인 이미지뿐만 아니라, 감지도에 대하여 RTA 성능이 갖는 임의의 영향을 저감하기 위하여 구동시 RTA 기준으로서 사용될 수 있다. 저장된 차분 데이터는 다이 스와스 당 전체 주의 영역 크기에 대한 제한 부가뿐만 아니라, 압축 알고리즘을 통하여 저감될 수 있다. 구동시, 차분 이미지 데이터의 섭동 매트릭스는 로드되는 각각의 대응하는 표준 기준 다이 스와스에 대한 스와스로 전체 기준 웨이퍼에 대하여 로드될 수 있다. 전체 웨이퍼에 대한 섭동 매트릭스 데이터 용적은 약 1Gb 내지 약 3Gb 정도일 수 있으며, 표준 기준 다이에 대한 데이터 용적은 1Gb 정도일 수 있다. 표준 기준 다이 비교를 포함하는 여기에 기술한 모든 다른 방법은 전술한 바와 같은 섭동 매트릭스를 사용할 수 있다.Generating the reference wafer image and the corresponding perturbation matrix may include standard reference die based inspection using standard reference die obtained from the reference wafer (ie, a kind of self reference). A single standard reference die image on the reference wafer is driven to reduce any effects of RTA performance on sensitivity, as well as baseline images perturbed with compressed differential data stored for each die on the reference wafer. Can be used as an RTA standard. Stored differential data can be reduced through a compression algorithm, as well as a limitation on the total attention region size per die swath. In operation, a perturbation matrix of differential image data can be loaded for the entire reference wafer with swaths for each corresponding standard reference die swath loaded. The perturbation matrix data volume for the entire wafer may be on the order of about 1 Gb to about 3 Gb, and the data volume for the standard reference die may be on the order of 1 Gb. All other methods described herein, including standard reference die comparisons, can use the perturbation matrix as described above.

섭동 매트릭스는 열에 m개의 다이가 있는 경우, P1(x, y), Dx(1, 2), Dy(1, 2) Diff1 ,2(x, y); P2(x, y), Dx(2, 3), Dy(2, 3) Diff2 ,3(x, y); … Pm -1(x, y), Dx(m-1, m), Dy(m-1, m) Diffm -1,m(x, y)로 규정할 수 있고, 여기에서 Pi(x, y)는 위치(x, y)에서의 i번째 다이에서의 픽셀 값이며, Dx(i, i+1), Dy(i, i+1)은 다이(i+1)에 대한 다이(i)의 각각 x 및 y에서의 오프셋이며, Diffi ,i+1(x, y)은 다이(i+1)가 그것을다이(i)의 프레임과 정렬시키기 위해 x 및 y 오프셋으로 시프된 후, 위치 x, y에서의 다이(i)에 대한 다이(i+1)의 차분 그레이(gray) 레벨이다. 하지만, 보간 에러 경계 내에서, P2(x, y)는 P1(x, y), Dx(1, 2), Dy(1, 2) 및 Diff1 ,2(x, y)로부터 재구성될 수 있다. 또한, Pi(x, y)는 각각의 다이에 대하여 이들 단계를 연속적으로 인가하여 임의의 다른 다이에 대하여 재구성될 수 있다. 물론, 이는 보간 에러 및 다이로부터 다이로의 이미지의 점진적인 블러(blur)를 혼합할 수 있다.The perturbation matrix is defined as P 1 (x, y), D x (1, 2), D y (1, 2) Diff 1 , 2 (x, y) when there are m dies in a column; P 2 (x, y), D x (2, 3), D y (2, 3) Diff 2 , 3 (x, y); ... P m -1 (x, y), D x (m-1, m), D y (m-1, m) Diff m -1, m (x, y), where P i (x, y) is the pixel value at the i-th die at position (x, y), D x (i, i + 1), D y (i, i + 1) is the die (i + 1) Is the offset at x and y of die i, respectively, and Diff i , i + 1 (x, y) is the x and y offset for die i + 1 to align it with the frame of die i. After being shifted, it is the differential gray level of die i + 1 relative to die i at positions x, y. However, within the interpolation error boundary, P 2 (x, y) is derived from P 1 (x, y), D x (1, 2), D y (1, 2) and Diff 1 , 2 (x, y). Can be reconstructed. In addition, P i (x, y) can be reconstructed for any other die by applying these steps successively for each die. Of course, this can mix interpolation errors and gradual blur of the image from the die to the die.

하지만, 표준 기준 다이가 저장되고, 모든 보간이 각각의 다이에 대하여 수행되는 경우에는, 전술한 이행(移行) 에러 축적은 발생하지 않는다. 오히려, 에러는 오프셋 및 차분 이미지가 주어지면, 표준 기준 다이로부터 웨이퍼 상의 임의의 다이를 재구성하는 것과 관련된 단순한 보간 에러이다. 따라서, 단계 226에 나타낸 바와 같이, 상기 방법은 표준 기준 다이에 대하여 각 다이의 차분 이미지를 보존하는 단계를 포함할 수 있다.However, if the standard reference die is stored and all interpolation is performed for each die, the above-described transition error accumulation does not occur. Rather, the error is a simple interpolation error associated with reconstructing any die on the wafer from a standard reference die, given an offset and differential image. Thus, as shown in step 226, the method may include preserving differential images of each die with respect to the standard reference die.

도 5는 비교를 위한 기준으로서 차분 이미지를 사용하는 웨이퍼-웨이퍼 비교를 수행하는 방법의 일 실시예를 도시한다. 예컨대, 기준 웨이퍼(250)는 복수의 다이[(0,0), (0,1), … (4,2)]를 포함하고, 그것의 하나(예컨대, 다이(2,2))가 표준 기준 다이로서 지정된다. 테스트 웨이퍼에 대한 비교를 위해 사용되는 기준 웨이퍼(252)는 표준 기준 다이 이미지(254)에 대하여 각각의 다이에 대한 차분 이미지[Diff(0,0), Diff(0,1) … Diff(4,2)]를 저장하여 생성된다. 그 후, 테스트 웨이퍼(256)는 기준 웨이퍼(252)와 비교될 수 있다. 예컨대, 도 5에 도시한 바와 같이, 테스트 다이(1,3)에 대한 결함 검출은, 표준 기준 다이 이미지(254)와 대응하는 차분 이미지(Diff(1,3))를 부가한 후, 테스트 다이(1,3) 및 기준 다이(1,3) 사이의 차분(258)을 생성하기 위해 테스트 다이(1,3)를 감산하여 수행할 수 있다.5 shows one embodiment of a method of performing a wafer-wafer comparison using a differential image as a reference for comparison. For example, the reference wafer 250 may include a plurality of dies [(0,0), (0,1),... (4,2)], one of which is (eg, dies 2,2) designated as a standard reference die. The reference wafer 252 used for the comparison to the test wafer has a difference image for each die [Diff (0,0), Diff (0,1)... Diff (4, 2)] is generated by storing. The test wafer 256 can then be compared to the reference wafer 252. For example, as shown in FIG. 5, defect detection for the test dies 1, 3 is performed after adding the difference image Diff (1, 3) corresponding to the standard reference die image 254. Test dies 1, 3 may be subtracted to produce a difference 258 between (1,3) and reference dies 1,3.

따라서, 임의의 다이(테스트 하)와 표준 기준 다이 사이의 차분 이미지는 콤팩트 방식으로 표현될 수 있다. 손실 압축(lossy compression) 알고리즘은 더 높은 압축도를 달성하기 위해 채택될 수 있다. 그러한 압축 기술에 의해 손실될 수 있는 정보는 기술 자체에 의존한다. 예컨대, 도 4의 단계 228에 나타낸 바와 같이, 상기 방법은 차분 이미지의 중요하지 않은 영역에 대해서는 손실 압축을, 차분 이미지의 중요한 영역에 대해서는 손실 없는 압축을 수행하는 단계를 포함할 수 있다. 이러한 방식에서, 덜 중요한 장치 영역이 더 중요한 영역보다 손실이 크게 허용하도록 "지능적" 압축 기술을 사용할 수 있다. 기준 웨이퍼 이미지에 대하여 유사한 압축 기술을 사용할 수 있다. 예컨대, 단계 230에 나타낸 바와 같이, 상기 방법은 웨이퍼 이미지의 중요하지 않은 영역에 대해서는 손실 압축을 그리고 웨이퍼 이미지의 중요한 영역에 대해서는 손실 없는 압축을 수행하는 단계를 포함할 수 있다.Thus, the difference image between any die (under test) and the standard reference die can be represented in a compact manner. Lossy compression algorithms can be employed to achieve higher degrees of compression. The information that can be lost by such a compression technique depends on the technique itself. For example, as shown in step 228 of FIG. 4, the method may include performing lossy compression for non-essential regions of the difference image and lossless compression for important regions of the differential image. In this way, "intelligent" compression techniques can be used so that less critical device areas allow for greater loss than more important areas. Similar compression techniques can be used for the reference wafer image. For example, as shown in step 230, the method may include performing lossy compression on non-essential areas of the wafer image and lossless compression on critical areas of the wafer image.

대안적으로, 상기 방법은 단계 232에 나타낸 바와 같이, 표준 기준 다이에 대하여 픽셀당 차분 통계치를 보존하는 단계를 포함할 수 있다. 예컨대, 단계 234에 나타낸 바와 같이, 상기 방법은 콘텍스트 유형마다 다이당 통계치를 보존하는 단계를 포함할 수 있다. 각각의 다이는 1 이상의 콘텍스트 유형으로 분리될 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 그러한 일 예에서, 상기 방법은 다른 그룹의 다이에 대하여 표준 기준 다이 내의 각각의 (x,y) 위치에서의 차분에 대하여 통계치를 기록하는 단계를 포함할 수 있다. 단계 236에 나타낸 바와 같이, 콘텍스트는 다이 영역일 수 있다. 대안적으로, 단계 238에 나타낸 바와 같이, 콘텍스트는 백그라운드 타입일 수 있다. 픽셀당 차분 통계치는 임의의 적합한 방식으로 결정할 수 있다.Alternatively, the method may include preserving difference statistics per pixel for the standard reference die, as shown in step 232. For example, as shown in step 234, the method may include preserving statistics per die per context type. Each die may be separated into one or more context types, which may be performed as further described herein. In one such example, the method may include recording statistics for the difference at each (x, y) location in the standard reference die for another group of dies. As shown in step 236, the context may be a die area. Alternatively, as shown in step 238, the context may be of background type. Per pixel difference statistics can be determined in any suitable manner.

다른 예에서, 웨이퍼는 N개의 반경 방향 섹터 및/또는 M 개의 환형 링으로 분리할 수 있다. 예컨대, 도 6에 도시한 바와 같이, 웨이퍼(260)는 환형 링(1, 2 및 3)으로 분리할 수 있다. 웨이퍼(260)를 3개의 환형 링으로 분리한 것으로 도시하였지만, 웨이퍼는 임의의 적합한 수의 환형 링으로 분리할 수 있다는 것을 이해해야 한다. 또한(또는 대안적으로), 도 7에 도시한 바와 같이, 웨이퍼(260)는 웨이퍼 섹터(A, B, C, D, E, F, G 및 H)로 분리할 수 있다. 웨이퍼(260)를 8개 섹터로 분리한 것으로 도시하였지만, 웨이퍼는 임의의 적합한 수의 섹터로 분리할 수 있다는 것을 이해해야 한다. 상기 방법은, 도 4의 단계 240에 나타낸 바와 같이, 웨이퍼 섹터 및/또는 환형 링마다 픽셀당 통계치를 보존하는 단계를 포함한다. 그러한 일 예에서, (N+M) 구획 각각에 대하여, (x, y) 위치에서의 표준 기준 다이 이미지에 대한 차분의 평균 및 표준 편차가 기록될 수 있다. 8 비트 평균 및 8 비트 표준 편차는 각각의 (x, y) 위치에서 2×(N+M) 바이트를 저장하는 것 대(對) 웨이퍼 상의 다이당 차분의 바이트를 저장하는 것을 포함한다. 이러한 방식에서, 웨이퍼 상에 100개의 다이가 있는 경우, 8개의 섹터 및 8개의 환형 링을 사용하는 것은 (x, y) 위치당 32 바이트 대 (x, y) 위치당 100 바이트를 필요로 한다. 추가의 예에서, 상기 방법은, 단계 242에 나타낸 바와 같이, 콘텍스트 타입마다 전술한 바와 같은 웨이퍼 섹터 및/또는 환형당 통계치를 보존하는 것을 포함한다. 콘텍스트 타입은 단계 244에 나타낸 바와 같이, 다이 영역에 기초할 수 있다. 대안적으로, 콘텍스트 타입은 단계 246에 나타낸 바와 같이 백그라운드 타입에 기초할 수 있다. 콘텍스트 타입당 통계치 및 콘텍스트 타입은 여기에 기술하는 바와 같이 결정할 수 있다.In another example, the wafer may be separated into N radial sectors and / or M annular rings. For example, as shown in FIG. 6, wafer 260 can be separated into annular rings 1, 2, and 3. Although the wafer 260 is shown as being separated into three annular rings, it should be understood that the wafer can be separated into any suitable number of annular rings. In addition (or alternatively), as shown in FIG. 7, wafer 260 may be divided into wafer sectors A, B, C, D, E, F, G, and H. As shown in FIG. Although wafer 260 is shown as being divided into eight sectors, it should be understood that the wafer can be divided into any suitable number of sectors. The method includes preserving statistics per pixel per wafer sector and / or annular ring, as shown in step 240 of FIG. In one such example, for each (N + M) partition, the mean and standard deviation of the difference for the standard reference die image at the (x, y) location can be recorded. The 8-bit average and 8-bit standard deviation include storing 2 × (N + M) bytes at each (x, y) location versus storing the difference bytes per die on the wafer. In this manner, if there are 100 dies on the wafer, using 8 sectors and 8 annular rings requires 32 bytes per (x, y) position versus 100 bytes per (x, y) position. In a further example, the method includes preserving wafer sector and / or annular statistics as described above per context type, as shown in step 242. The context type may be based on the die area, as shown in step 244. Alternatively, the context type may be based on the background type as shown in step 246. Statistics per context type and context type may be determined as described herein.

도 8은 환형 링당 기초로 표준 기준 다이 상의 각각의 (x, y) 위치에 대하여 통계치가 저장되는 경우 그러한 기술이 어떻게 수행되는지를 도시한다. 특히, 도 8은 기준으로서의 환형에 의해 차분 통계치를 사용하여 웨이퍼-웨이퍼 비교를 수행하기 위한 방법의 일 실시예를 도시한다. 예컨대, 도 8에 도시한 바와 같이, 기준 웨이퍼(262)는 복수의 다이 [(0,0), (0,1) … (4,2)]을 포함하고, 그것의 하나(예컨대, 다이(2,2))가 표준 기준 다이로서 지정된다. 테스트 웨이퍼에 대한 비교를 위해 사용된 기준 웨이퍼(264)는 픽셀(x, y)에서의 평균 차분과, 각 환형에 대한 표준 기준 다이 이미지(266)에 대한 픽셀(x, y)에서의 차분의 표준 편차를 결정하여 생성된다. 테스트 웨이퍼(268)(환형이 테스트 웨이퍼 상에 오버레이된 도 8에 도시된)는 기준 웨이퍼(264)와 비교될 수 있다. 예컨대, 테스트 다이(1,3) 및 표준 기준 다이 이미지(266) 사이의 차분(270)을 생성하기 위해서, 테스트 다이(1,3)를 표준 기준 다이 이미지(266)로부터 감산한다. 도 8에 추가로 도시한 바와 같이, 테스트 다이(1,3)는 환형(1) 및 환형(2) 내부에 위치한다. 따라서, 단계 272에서, 차분 이미지(270)는 환형 기초로 테스트 다이 내의 각각의 (x, y) 위치에서의 통계치(274)(예컨대, 평균 차분 +/- k × 차분의 표준 편차)와 비교된다. 즉, 환형(1) 내부에 위치된 테스트 다이의 부분에 대한 차분(270)은 환형(1)에 대한 통계치와 비교되고, 환형(2) 내부에 위치한 테스트 다이의 부분에 대한 차분(270)은 환형(2)에 대한 통계치와 비교된다.8 illustrates how such a technique is performed when statistics are stored for each (x, y) location on a standard reference die on a per ring annular basis. In particular, FIG. 8 illustrates one embodiment of a method for performing wafer-wafer comparison using differential statistics by annulus as a reference. For example, as shown in FIG. 8, the reference wafer 262 is divided into a plurality of dies [(0,0), (0,1)... (4,2)], one of which (eg, dies 2,2) is designated as a standard reference die. The reference wafer 264 used for comparison to the test wafer is the average difference in pixels (x, y) and the difference in pixels (x, y) for the standard reference die image 266 for each annulus. It is generated by determining the standard deviation. The test wafer 268 (shown in FIG. 8 with the annular overlay on the test wafer) may be compared to the reference wafer 264. For example, to produce the difference 270 between the test dies 1, 3 and the standard reference die image 266, the test dies 1, 3 are subtracted from the standard reference die image 266. As further shown in FIG. 8, the test dies 1, 3 are located inside the annular 1 and the annular 2. Thus, at step 272, difference image 270 is compared with statistics 274 (e.g., mean difference +/- k x standard deviation of difference) at each (x, y) location in the test die on an annular basis. . That is, the difference 270 for the portion of the test die located inside the annulus 1 is compared with the statistics for the annulus 1, and the difference 270 for the portion of the test die located inside the annulus 2 is The statistics for annulus 2 are compared.

표준 기준 다이의 더욱 콤팩트한 저장은 표준 기준 다이 데이터를 통계적 기초로 저장(예컨대, 다이를 프레임으로 분리, 프레임을 다른 구조로(비닝(binning)된 콘텍스트) 함으로써 실현될 수 있고, 각각의 프레임/콘텍스트에 대하여, 다이-다이 차분의 평균/표준 편차를 저장한다. 예컨대, 도 4의 단계 248에 나타낸 바와 같이, 상기 방법은 표준 기준 다이에 대한 콘텍스트 통계치마다 프레임마다의 다이당 보존하는 단계를 포함할 수 있다. 예컨대, 도 9에 도시한 바와 같이, 다이의 배열[(0,0), (0,1) … (M,N)](276)이 웨이퍼(278) 상에 형성될 수 있다. 또한, 도 10에 도시한 바와 같이, 다이(276)는 프레임(280)으로 분리될 수 있다. 다이는 프레임(280)으로 분리될 수 있고, 각 프레임의 픽셀은 콘텍스트에 기초하여 분리될 수 있다(도 10에서는 도시 생략). 각 다이 내의 각 프레임의 각각의 다른 콘텍스트에 대한 차분 통계치는 여기에 기술한 바와 같이 결정할 수 있다.More compact storage of standard reference die can be realized by storing standard reference die data on a statistical basis (eg, dividing the die into frames, dividing the frames into different structures (binning contexts), each frame / For context, the average / standard deviation of die-die differences is stored, for example, as shown in step 248 of Figure 4, the method includes preserving per die per frame per context statistics for a standard reference die. For example, as shown in Figure 9, an array of dies ((0, 0), (0, 1) ... (M, N)) 276 may be formed on the wafer 278. Also, as shown in Figure 10, die 276 may be separated into frame 280. Die may be separated into frame 280, and pixels of each frame may be separated based on context. (Not shown in Figure 10.) In each die The difference statistics for each other context of each frame can be determined as described herein.

도 11은 콘텍스트에 의해 분리된 차분 프레임 통계치를 사용하여 웨이퍼-웨이퍼 비교를 수행하는 방법을 도시한다. 도 11에 도시한 바와 같이, 기준 웨이퍼(282)는 다수의 다이[(0,0), (0,1) … (4,2)]를 포함하고, 그것의 하나(예컨대, 다이(2,2))가 표준 기준 다이로서 지정된다. 테스트 웨이퍼(286)와의 비교를 위해 사용된 기준(284)은 프레임(280)으로 분리된 다이(276)와 표준 기준 다이 이미지(288)를 포함한다. 프레임(280)은 전술한 바와 같이 구성할 수 있다. 기준(284)은 각각의 다이에 대한 각각의 프레임 내의 각각의 콘텍스트와 각각의 프레임에 대한 차분의 평균과 표준편차와 같은 통계치(290)를 결정하여 생성할 수 있다. 테스트 웨이퍼(286) 상의 결함을 검출하기 위해, 테스트 웨이퍼는 기준(284)과 비교된다. 예컨대, 테스트 다이(1,3) 내의 결함을 검출하기 위해, 테스트 다이(1,3)는 표준 기준 다이 이미지(288)로부터 감산되어, 테스트 다이와 표준 기준 다이 이미지 사이의 차분(292)을 생성한다. 단계 294에서, 차분(292)은 콘텍스트 마다의 프레임당 기초로, 기준 웨이퍼(282)의 다이(1,3)에 대한 통계치(290)(예컨대, 각각의 프레임 및 콘텍스트에 대한 차분의 평균 및 표준편차)와 비교된다.11 illustrates a method of performing wafer-wafer comparison using differential frame statistics separated by context. As shown in Fig. 11, the reference wafer 282 has a plurality of dies [(0,0), (0,1) ... (4,2)], one of which is (eg, dies 2,2) designated as a standard reference die. The reference 284 used for comparison with the test wafer 286 includes a die 276 and a standard reference die image 288 separated by a frame 280. The frame 280 may be configured as described above. The reference 284 may be generated by determining statistics 290, such as the mean and standard deviation of the difference for each frame and each context within each frame for each die. In order to detect defects on the test wafer 286, the test wafer is compared to the reference 284. For example, to detect defects in the test dies 1, 3, the test dies 1, 3 are subtracted from the standard reference die image 288, creating a difference 292 between the test die and the standard reference die image. . In step 294, the difference 292 is a statistics 290 (eg, average and standard of difference for each frame and context) for the dies 1, 3 of the reference wafer 282 on a per frame basis per context. Deviation).

"표준 기준 다이"가 결함 프리(free)인 알려지지 않으면, 단일 조정이 "폴리싱" 기술을 사용하여 수행될 수 있다(결함 검출은 진정으로 결함 프리한 기준 다이와의 1회 비교를 이용하여 수행할 수 있다). 또한, "폴리싱"은 "고유적이거나" 기대되는 프로세스 변동으로 인하여, 웨이퍼에 걸쳐 기대되는 이미지 변동을 반영하도록 수행할 수 있다. 따라서, "결함 프리" 기준 웨이퍼를 생성하기 위해, 표준 기준 다이 "폴리싱"을 기준 웨이퍼 상의 모든 다이에 대하여 수행할 수 있다.If the "standard reference die" is not known to be defect free, a single adjustment may be performed using the "polishing" technique (defect detection may be performed using a one-time comparison with a truly defect free reference die). have). Also, "polishing" may be performed to reflect the expected image variation across the wafer, due to "unique" or expected process variation. Thus, to create a "defect free" reference wafer, a standard reference die "polishing" may be performed on all dies on the reference wafer.

표 1은, 40mm × 40mm인 최대 다이 사이즈, 90nm인 가장 작은 검사 픽셀 사이즈, 44개인 웨이퍼 상의 최대 사이즈 다이의 수, 1.975E+11인 최대 사이즈 다이 내의 픽셀 수, 512×512 픽셀인 프레임 사이즈, 7.535+0.5인 최대 사이즈 다이당 프레임, 2바이트인 차분의 평균 차분 및 표준 편차를 저장하기 위한 바이트, 0.91 G픽셀인 최대 사이즈 다이의 스와스당 픽셀, 217인 최대 사이즈 다이당 스와스, 및 2048 픽셀 높이 스와스를 상정하여, 전술한 바와 같은 각종 웨이퍼-웨이퍼 비교에 대한 기준 데이터의 대략의 사이즈를 나타낸다. 표준 기준 다이는 2K 높이 센서를 상정하여, 스와스당 197 G픽셀 또는 0.91 G픽셀을 포함한다. 또한, 기준 웨이퍼 상의 각 다이에 대한 차분 이미지 또는 그것의 일부 압축된 형태가 저장되어야 한다.Table 1 shows the maximum die size of 40mm x 40mm, the smallest inspection pixel size of 90nm, the number of maximum size die on 44 wafers, the number of pixels in the maximum size die of 1.975E + 11, the frame size of 512x512 pixels, Frames per full size die of 7.535 + 0.5, bytes for storing average differences and standard deviations of two-byte differences, pixels per swath of full size dies of 0.91 G pixels, swaths per full size die of 217, and 2048 pixels Assuming a height swath, the approximate size of the reference data for the various wafer-wafer comparisons as described above is shown. The standard reference die assumes a 2K height sensor and includes 197 G pixels or 0.91 G pixels per swath. In addition, a differential image or some compressed form thereof for each die on the reference wafer must be stored.

방법Way 데이터 사이즈(Gbytes)Data size (Gbytes) 저장된 차분 이미지(압축 없음)Saved difference image (no compression) 8727.88727.8 전체 픽셀의 0.1%에서의 차분 이미지Difference image at 0.1% of total pixels 8.78.7 픽셀 섹터 기반 통계당: 8섹터Per pixel sector-based stats: 8 sectors 3160.53160.5 픽셀 링 기반 통계당: 8개의 환형 링Per pixel ring based stats: 8 annular rings 3160.53160.5 픽셀 섹터 + 링기반 통계당: 8개 섹터, 8개 링Per pixel sector + ring based statistics: 8 sectors, 8 rings 6321.06321.0 프레임 기반 통계: 512×512 프레임Frame Based Statistics: 512 × 512 Frames 0.06660.0666 프레임 + 콘텍스트 기반 통계: 8 콘텍스트/프레임Frames + context based statistics: 8 contexts / frames 0.53270.5327

표 1은 차분 이미지를 저장하기 위한 데이터 사이즈가 다이당 콘텍스트 기반 통계 및 프레임을 저장하기 위한 데이터 사이즈보다 훨씬 크다는 것을 보여준다. 하지만, 최대 차분을 갖는 일부의 차분 픽셀(예컨대, 0.1%)과 중요 영역에 있는 것을 저장하면, 데이터 사이즈 요건을 8727.7 기가바이트로부터 8.7기가바이트로 저감한다.Table 1 shows that the data size for storing differential images is much larger than the data size for storing frames and context-based statistics per die. However, storing some difference pixels (e.g., 0.1%) with the maximum difference and those in the critical region reduces the data size requirement from 8727.7 gigabytes to 8.7 gigabytes.

테스트 웨이퍼 상의 다이는 검사 데이터의 복수의 스와스를 생성하기 위해, 서펜타인(serpentine) 스캔 경로를 사용하여 복수의 스캐닝될 수 있다. 그러한 스펜타인 스캔의 일 실시예는 도 12에 도시된다. 도 12에 도시한 바와 같이, 테스트 웨이퍼(296)는 다이[(0,0), (0,1) … (4,2)]의 배치를 포함한다. 테스트 웨이퍼(296)는 서펜타인 스캔(298) 및 서펜타인 스캔(300)에 의해 스캐닝된다. 도 12에 두 가지의 서펜타인 스캔을 도시하였지만, 테스트 웨이퍼는 임의의 적합한 회수를 사용하여 스캐닝될 수 있다는 것을 이해해야 한다. 다이당 217 스와스와, 모든 다이열에서 동일한 서펜타인 스캔을 수행하는 것을 상정하면, 표준 기준 다이 스와스와, 스와스 1, 스와스 2 등에 대하여 모든 다이에 대한 압축 차분을 로드할 수 있다. 이 경우, 테스트 웨이퍼 스캔에 대하여 기준 데이터를 저장하기 위한 메모리 요건은 스와스당 (197+8.7)/217 = 0.95 G픽셀이다.The die on the test wafer may be scanned multiplely using a serpentine scan path to generate multiple swaths of inspection data. One embodiment of such a Spentine scan is shown in FIG. 12. As shown in Fig. 12, the test wafer 296 includes dies [(0,0), (0,1)]. (4,2)]. The test wafer 296 is scanned by the serpentine scan 298 and the serpentine scan 300. Although two serpentine scans are shown in FIG. 12, it should be understood that the test wafer can be scanned using any suitable number of times. Assuming 217 swaths per die and the same Serpentine scan in all die rows, the compression differentials for all dies can be loaded for the standard reference die swath, swath 1, swath 2, and the like. In this case, the memory requirement for storing reference data for the test wafer scan is (197 + 8.7) / 217 = 0.95 G pixels per swath.

표준 기준 다이-다이 검사의 구현에서의 한 가지 고려 사항은 디스크 입력/출력(I/O) 속도이며, 그 속도가 처리량에 영향을 끼칠 수 있다. 디스크 I/O 트래픽(traffic)은 "표준 기준 다이"의 각각의 스와스를 한 번에 로딩함으로써 저감할 수 있다. 그러한 로딩은 웨이퍼 스캔 사이에 다이 레벨 단차(대 인접 웨이퍼 스캔의 서펜타인 패턴)를 갖는, 전체 웨이퍼에 걸친 서펜타인 스캐닝에 사용될 수 있다.One consideration in the implementation of standard reference die-die inspection is disk input / output (I / O) speed, which can affect throughput. Disk I / O traffic can be reduced by loading each swath of the "standard reference die" at once. Such loading can be used for serpentine scanning over the entire wafer, with die level steps (the serpentine pattern of the adjacent wafer scan) between wafer scans.

물론, 여기에 기술한 모든 검사 모드에 있어서, 디스크에 저장된 하나의 이미지 대 디스크에 저장된 다른 이미지 또는 실시간으로 웨이퍼로부터 막 취득한 메모리 내의 이미지를 사용하여, 검사를 수행할 수 있다. 전술한 모든 데이터는 여기에 추가로 기술하는 바와 같이 저장될 수 있으며, 여기에 기술한 모든 저장 단계는 여기에 기술한 임의의 방식으로 수행할 수 있다.Of course, in all the inspection modes described herein, inspection can be performed using one image stored on the disk versus another image stored on the disk or an image in memory just acquired from the wafer in real time. All of the data described above may be stored as further described herein, and all the storage steps described herein may be performed in any manner described herein.

전술한 바와 같이, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 단계는 웨이퍼의 검사에 후속하여 수행할 수 있다. 그러한 일 실시예에서, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 단계는 웨이퍼 상에서 검출된 데이터에 대응하지 않는 검사 데이터의 부분이 아닌 웨이퍼 상에서 검출된 결함에 대응하는 검사 데이터의 부분에 대하여 수행된다. 이러한 방식에서, 픽셀 또는 웨이퍼 스페이스로부터 설계 데이터 스페이스로의 매핑 변환은 결함이 발견된 위치에만 인가된다. 즉, 상기 방법은 웨이퍼 상에서 검출된 결함의 설계 데이터 스페이스로의 포스트(post)-프로세스 매핑을 포함할 수 있다. 또한, 결함 검출이 포스트-처리 단계에서 완료된 후에, 정렬(예컨대, 정렬 에러 측정)이 수행될 수 있을지라도, 각각의 다이 내의 정렬 사이트는 검사 동안에 식별될 수 있다. 그 후, 매핑은 설계 데이터 스페이스 내의 결함의 위치를 찾기 위해 인가된다.As mentioned above, the step of determining the location of the inspection data in the design data space may be performed subsequent to the inspection of the wafer. In one such embodiment, determining the location of the inspection data in the design data space is performed on the portion of inspection data corresponding to the defect detected on the wafer rather than the portion of inspection data that does not correspond to the data detected on the wafer. . In this way, the mapping transformation from pixel or wafer space to design data space is applied only to the location where the defect is found. That is, the method may include post-process mapping of the defects detected on the wafer into the design data space. Also, after defect detection is completed in the post-processing step, even if alignment (eg, alignment error measurement) can be performed, the alignment site within each die can be identified during inspection. The mapping is then applied to locate the defect in the design data space.

설계 데이터 스페이스 내의 검사 데이터의 위치 결정이 언제 또는 어떻게 수행되는지에 관계없이, 웨이퍼 상에 1 이상의 결함이 존재하면, 검사 데이터는 웨이퍼 상의 결함 또는 결함들에 대한 데이터를 포함한다. 따라서, 설계 데이터 스페이스 내의 1 이상의 결함의 위치는 설계 데이터 스페이스 내의 검사 데이터의 위치로부터 결정될 수 있다. 또한, 설계 데이터 스페이스 내의 1 이상의 결함의 위치는 설계 데이터 스페이스 내의 검사 데이터의 위치와 동일한 실질적으로 높은(예컨대, 서브-픽셀) 정밀도로 유리하게 결정될 수 있다.Regardless of when or how the positioning of inspection data in the design data space is performed, if one or more defects are present on the wafer, the inspection data includes data about the defect or defects on the wafer. Thus, the location of one or more defects in the design data space can be determined from the location of the inspection data in the design data space. In addition, the location of one or more defects in the design data space can be advantageously determined with substantially higher (eg, sub-pixel) precision equal to the location of inspection data in the design data space.

여기에 추가로 기술하는 바와 같이, 일부 실시예에서, 검사 데이터는 웨이퍼를 스캐닝함으로써 스와스 내에서 취득된다. 그러한 일 실시예에서, 각각의 스와스 내의 정렬 사이트를 소정의 정렬 사이트에 대한 데이터에 정렬시킴으로써, 검사 데이터의 각각의 스와스는 설계 데이터 스페이스에 개별적으로 정렬될 수 있으며, 이는 전술한 바와 같이 수행될 수 있다.As further described herein, in some embodiments, inspection data is acquired within a swath by scanning a wafer. In one such embodiment, by aligning the alignment sites in each swath with the data for a given alignment site, each swath of inspection data can be individually aligned in the design data space, which can be performed as described above. Can be.

다른 실시예에서, 검사 데이터의 위치를 결정하는 단계는 설계 데이터 스페이스 내의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 검사 데이터의 스와스의 위치를 결정하는 단계와, 설계 데이터 스페이스 내의 스와스의 위치에 기초하여 설계 데이터 스페이스 내의 검사 데이터의 추가적인 스와스의 위치를 결정하는 단계를 포함한다. 이러한 방식에서, 검사 데이터의 일 스와스는 전술한 바와 같이 설계 데이터 스페이스에 정렬될 수 있고(예컨대, 소정의 정렬 사이트에 대한 데이터와, 검사 데이터의 스와스 내의 웨이퍼 상의 정렬 사이트에 대한 데이터를 정렬시킴으로써), 검사 데이터의 그러한 스와스에 검사 데이터의 추가적인 스와스가 정렬될 수 있다.In another embodiment, determining the location of the inspection data includes determining a location of a swath of inspection data in the design data space based on the location of the alignment site in the design data space, and a location of the swath in the design data space. Determining a location of an additional swath of inspection data in the design data space based on the determination. In this manner, one swath of inspection data can be aligned in the design data space as described above (eg, by aligning data for a given alignment site with data for alignment sites on a wafer within a swath of inspection data). ), Additional swaths of inspection data can be aligned with those swaths of inspection data.

예컨대, 도 13에 도시한 바와 같이, 스와스(예컨대, 스와스 #N+1)는 스와스간 이미지 정렬을 사용하여 이전의 스와스(예컨대, 스와스 #N)에 정렬될 수 있다. 특히, 도 13에 도시한 바와 같이, 스와스 #N+1, #N은 웨이퍼 스페이스 내의 영역(41)에서 부분적으로 중첩한다. 따라서, 양쪽 스와스는 영역(41) 내에 형성된 특징들에 대한 검사 데이터를 포함할 수 있다. 그와 같이, 이들 특징에 대한 검사 데이터는 하나의 스와스를 다른 스와스에 정렬하는데 사용될 수 있다. 그러한 일 예에서, 도 14는 두 개의 연속적인 스캔에 대한 검사 데이터가 중첩하는 웨이퍼 스페이스 내의 스와스간 오버랩 영역(41)에 형성된 특징(41a 및 41b)을 도시한다. 특징(41a 및 41b)은 스와스-스와스 등록을 위해 사용할 수 있다. 특징(41a 및 41b)은 다른 정렬 특징에 대하여 여기에 기술한 바와 같이 추가로 구성될 수 있다.For example, as shown in FIG. 13, a swath (eg, swath # N + 1) may be aligned to a previous swath (eg, swath #N) using inter-swat image alignment. In particular, as shown in FIG. 13, swaths # N + 1 and #N partially overlap in the region 41 in the wafer space. Thus, both swaths may include inspection data for features formed within region 41. As such, inspection data for these features can be used to align one swath with another swath. In one such example, FIG. 14 shows features 41a and 41b formed in the inter-swap overlap region 41 in the wafer space where inspection data for two consecutive scans overlap. Features 41a and 41b can be used for swath- swath registration. Features 41a and 41b may be further configured as described herein for other alignment features.

이러한 방식에서, 다일 열 내의 정렬 사이트(들)에 대한 데이터를 디자인 데이터 베이스로부터의 제공된 이미지 또는 여기에 기술한 다른 소정의 정렬 사이트 데이터에 정렬함으로써, 다이 열에 대한 제 1 스와스가 설계 데이터 스페이스에 정렬되면, 다이 열의 후속 스와스는 여기에 기술한 기술을 사용하여 정렬할 수 있다. 특히, 스와스 내의 정렬 특징의 위치와 설계 데이터 스페이스에 대한 스와스 #N의 위치를 사용함으로써, 설계 데이터 스페이스에 대한 스와스 #N+1의 위치를 결정할 수 있다. 예컨대, 스와스 #N+1의 위치를 결정하는 단계는, 스와스 #N 취득 스캔 동안에 취득한 정렬 특징 이미지를 저장하고, 정렬 특징 이미지를 스와스 #N+1의 취득 동안에 취득한 동일한 특징의 이미지에 정렬함으로써 수행된다. 두 정렬 특징 이미지 사이의 비정렬 오프셋을 결정함으로써, 설계 데이터 스페이스에 대한 스와스 #N+1의 절대 위치를 결정할 수 있다.In this manner, the first swath for the die column is aligned in the design data space by sorting the data for the alignment site (s) in the DAIL column to the provided image from the design database or other predetermined alignment site data described herein. Subsequent swaths of the die row can then be aligned using the techniques described herein. In particular, by using the position of the alignment feature within the swath and the swath #N relative to the design data space, the position of swath # N + 1 relative to the design data space can be determined. For example, determining the position of swath # N + 1 may include storing the alignment feature image acquired during the swath #N acquisition scan, and placing the alignment feature image on the image of the same feature acquired during acquisition of swath # N + 1. By sorting. By determining the misalignment offset between the two alignment feature images, one can determine the absolute position of swath # N + 1 with respect to the design data space.

검사 레시피의 셋업 동안에, 웨이퍼는 스와스간 오버랩 영역 내의 적합한 정렬 사이트를 결정하기 위해 연속적인 스와스 사이의 비교적 큰 오버랩(예컨대, 50% 오버랩)으로 스캐닝될 수 있다. 이들 사이트 위치를 사용하여, 대응하는 이전의 스와스에 대한 각각의 스와스의 위치를 결정할 수 있다. 소정의 정렬 사이트를 웨이퍼 상의 정렬 사이트에 정렬시키기 위하여 전술한 방법을 사용하여 결정된 설계 데이터 스페이스에 대한 제 1 스와스의 위치와, 제 1 및 제 2 스와스 사이의 오버랩 영역 내의 정렬 사이트를 사용하여 결정된 것에 대한 제 2 스와스의 시프트를 사용하여, 설계 데이터 스페이스에 대한 제 2 스와스의 절대 위치를 결정할 수 있다. 각각의 후속 스와스에 대하여 이러한 프로시저를 반복함으로써, 전체 다이에 대한 픽셀이 설계 데이터 스페이스로 매핑될 수 있다.During the setup of the inspection recipe, the wafer can be scanned with a relatively large overlap (eg, 50% overlap) between successive swaths to determine a suitable alignment site within the interswath overlap area. These site locations can be used to determine the location of each swath relative to the corresponding previous swath. Using the alignment site in the overlap area between the first and second swaths and the position of the first swath with respect to the design data space determined using the method described above to align the desired alignment site to the alignment site on the wafer. The shift of the second swath with respect to the determined can be used to determine the absolute position of the second swath with respect to the design data space. By repeating this procedure for each subsequent swath, pixels for the entire die can be mapped into the design data space.

그 후, 각각의 검사 스와스(즉, 스와스 사이의 오버랩이 다이가 완전히 스캐닝되는 것을 보장하는 최소 오버랩인 검사 동안에 사용된 스와스) 내에 적어도 하나의 사이트가 존재하도록 적합한 정렬 사이트가 선택될 수 있다(전술한 방법을 사용하여). 설계 데이터 스페이스 내의 이들 정렬 사이트의 위치는 각각의 정렬 사이트의 패치 이미지와 함께 검사 레시피 내에 저장된다. 검사 동안에, 각각의 스와스에 대하여, 대응하는 정렬 사이트가 레시피로부터 탐색되고, 그것의 위치는 검사 시스템에 의해 취득된 픽셀 스트림 내에서 결정된다. 정렬 사이트가 픽셀 스트림 내에 일단 위치되면, 교차-상호 연관 또는 다른 이미지 매칭 기술을 사용하여, 검사 스와스 내의 픽셀의 위치를 설계 데이터 좌표 스페이스 내에서 서브-픽셀 정밀도도 결정할 수 있다. 이 방법의 하나의 이점은 검사 스와스는 비교적 작은 오버랩으로 취득할 수 있다(그리하여 속도를 향상함)는 것이지만, 전체 다이에 대한 픽셀을 설계 데이터 좌표 스페이스에 매핑하기 위해 사용되는 스와스 "스티칭"을 수행하고, 각각의 검사 스와스 내에서 발생하는 스페이스 내의 적절한 정렬 사이트를 찾기 위해서, 셋업 스와스(레시피 셋업을 위해서만 사용됨)는 비교적 큰 오버랩으로 취득된다. 스와스 스티칭 기술은 다른 스캐닝 패턴, 예컨대, 영역 센서를 사용하는 필드별 취득에 인가될 수 있다는 것을 이해해야 한다. 필드는 전술한 것과 유사한 방식으로 서로 스티치될 수 있다.A suitable alignment site can then be selected such that there is at least one site within each inspection swath (i.e., swath used during inspection where the overlap between swaths is the minimum overlap that ensures that the die is fully scanned). (Using the method described above). The location of these alignment sites in the design data space is stored in the inspection recipe along with the patch image of each alignment site. During inspection, for each swath, the corresponding alignment site is retrieved from the recipe, and its position is determined within the pixel stream acquired by the inspection system. Once the alignment site is located within the pixel stream, cross-correlation or other image matching techniques can also be used to determine the sub-pixel precision within the design data coordinate space by positioning the pixel within the inspection swath. One advantage of this method is that inspection swath can be obtained with a relatively small overlap (and thus speed up), but the swath “stitching” used to map the pixels for the entire die into the design data coordinate space. To perform and find the appropriate alignment site in the space that occurs within each test swath, the setup swath (used only for recipe setup) is obtained with a relatively large overlap. It should be understood that swath stitching techniques can be applied to field-by-field acquisition using other scanning patterns, such as area sensors. The fields can be stitched together in a manner similar to that described above.

설계 데이터 스페이스에 대한 각각의 스와스 정렬에 대한 전술한 실시예의 다른 이점은, 이러한 기술은 더 소수의 정렬 사이트에 대한 데이터가 설계 데이터로부터 렌더링 되는 것을 필요로 한다는 것이다. 또한, 설계 데이터로부터 정렬 사이트에 대하여 렌더링 데이터는, 특히 웨이퍼가 그 위에 형성된 다중 층을 갖는 경우, 주어진 특징이 웨이퍼 상에 어떻게 인쇄될지를 예측하기 위해 사용될 수 있는 모델의 복잡도로 인하여 도전(challenge)을 갖는다. 하지만, 전술한 바와 같이, 소정의 정렬 사이트에 대한 데이터는 복수의 다른 방식으로 취득할 수 있으며, 그 방식은 검사되고 있는 층에 기초하여 선택되고, 이에 의해 검사되는 층에 관계없이 소정의 정렬 사이트에 대하여 적합한 데이터를 제공한다.Another advantage of the foregoing embodiments for each swath alignment for the design data space is that this technique requires that data for fewer alignment sites be rendered from the design data. In addition, rendering data from the design data to the alignment site is challenged due to the complexity of the model that can be used to predict how a given feature will be printed on the wafer, especially if the wafer has multiple layers formed thereon. Has However, as described above, data for a given alignment site can be obtained in a number of different ways, the manner of which is selected based on the layer being inspected, and thereby the predetermined alignment site regardless of the layer being inspected. Provide appropriate data for.

전술한 바와 같이, 커버리지 모드에서 "짧은 스와스"를 사용하는 스와스 스티칭이 검사 데이터를 설계 데이터에 정렬하기 위해 사용될 수 있다. 하지만, 일부 실시예에서, 도 14a에 도시한 바와 같이, 정렬 사이트(302)는 제 1 검사 스와스(304a)에 대응하는 웨이퍼 상의 영역으로부터 이격된(예컨대, 멀리 떨어진) 웨이퍼 상에 위치될 수 있다. 이러한 상황은 단지 적합한 정렬 사이트가 제 1 검사 스와스에 대하여 스캐닝되는 웨이퍼의 영역으로부터 분리되는 경우에 발생할 수 있다. 제 1 검사 스와스의 위치는 주의 영역 규정(예컨대, 자동으로 규정되거나 유저에 의해 규정됨)으로부터 결정할 수 있다. 그러한 상황에서, 여기에 기술한 방법 또는 시스템은 웨이퍼 상에서 일련의 "미니(mini)-스캔"(306)을 수행할 수 있고, 각각의 다이는 도 14a에 도시한 바와 같이 넓다. 미니-스캔에 의해 취득한 스와스는 전술한 스와스간 정렬 방법을 사용하여 제 1 검사 스와스(304a)와 함께 정렬 사이트를 함유하는 스와스를 "스티치"하는데 사용된다. 후속 검사 스와스(304b 및 304c)는 위에서 추가로 기술한 바와 같이 제 1 검사 스와스(304a)에 정렬될 수 있다.As mentioned above, swath stitching using “short swath” in coverage mode may be used to align the inspection data with the design data. However, in some embodiments, as shown in FIG. 14A, the alignment site 302 may be located on a wafer spaced apart (eg, away from) an area on the wafer corresponding to the first inspection swath 304a. have. This situation can only occur if a suitable alignment site is separated from the area of the wafer being scanned for the first inspection swath. The location of the first inspection swath can be determined from the attention area definition (eg, automatically defined or defined by the user). In such a situation, the method or system described herein may perform a series of “mini-scans” 306 on a wafer, with each die wide as shown in FIG. 14A. The swath obtained by mini-scan is used to "stitch" the swath containing the alignment site with the first inspection swath 304a using the above-described swath alignment method. Subsequent inspection swaths 304b and 304c may be aligned to the first inspection swath 304a as further described above.

여기에 기술한 방법 및 시스템은 복수의 다른 방식으로 웨이퍼에 대한 검사 스와스를 취득할 수 있다. 예컨대, 도 14b에 도시한 바와 같이, 시스템은 100% 검사 모드에서 웨이퍼에 대한 검사 스와스(308)를 취득할 수 있다. 특히, 시스템은 영역의 100%를 검사하는데 사용될 수 있는 오퍼래핑 스와스를 취득하기 위해 웨이퍼를 앞뒤로 스캔한다. 다른 예에서, 도 14c에 도시한 바와 같이, 시스템은 표준 커버리지 모드에서 웨이퍼에 대한 검사 스와스(310)를 취득할 수 있다. 이러한 커버리지 모드에서, 스와스가 취득되는 웨이퍼 상의 영역은 다이 영역의 약 25% 내지 약 50%일 수 있다. 도 14c에 도시한 스와스는 교호적인 스와스가 검사를 위해 사용되는 50% 커버리지 모드에 대응한다. 다른 예에서, 도 14d에 도시한 바와 같이, 시스템은 "스마트 스캐닝(smart scanning)" 모드에 대하여 검사 스와스(312)를 취득할 수 있다. 이러한 모드에서, 다이 영역의 약 50%가 스캐닝되고, 스캐닝된 영역은 설계에 대한 정보 또는 설계와 프로세스 사이의 기대되는 상호작용에 기초하여 선택할 수 있다. 또한, 여기에 기술한 시스템은 전술한 임의의 각종 스캐닝 방법(예컨대, 다른 웨이퍼에 대한 다른 스캐닝 방법)을 수행하도록 구성될 수 있다. 또한, 여기에 기술한 상기 방법(또는 설계 분석 툴)은 웨이퍼에 대한 최적 "커버리지" 기술을 결정하기 위해 검사 시스템의 지식(예컨대, 스캐닝 용량)을 사용하는 것을 포함할 수 있다.The methods and systems described herein can obtain inspection swaths for wafers in a number of different ways. For example, as shown in FIG. 14B, the system can acquire an inspection swath 308 for the wafer in 100% inspection mode. In particular, the system scans the wafer back and forth to obtain an operating swath that can be used to inspect 100% of the area. In another example, as shown in FIG. 14C, the system can acquire an inspection swath 310 for the wafer in a standard coverage mode. In this coverage mode, the area on the wafer where swath is acquired can be from about 25% to about 50% of the die area. The swath shown in FIG. 14C corresponds to the 50% coverage mode in which alternate swaths are used for inspection. In another example, as shown in FIG. 14D, the system may acquire an inspection swath 312 for a “smart scanning” mode. In this mode, about 50% of the die area is scanned, and the scanned area can be selected based on information about the design or the expected interaction between the design and the process. In addition, the system described herein may be configured to perform any of the various scanning methods described above (eg, other scanning methods for other wafers). In addition, the method (or design analysis tool) described herein may include using knowledge of the inspection system (eg, scanning capacity) to determine the optimal "coverage" technique for the wafer.

다른 실시예에서, 상기 방법은 검사 데이터를 설계 데이터에 정렬하는 단계와, 이러한 다이 정렬 단계에 의해 결정된 다이 대응 설계 데이터 스페이스 좌표를 사용하여 추가적인 검사 데이터의 좌표를 설계 데이터 스페이스 좌표로 변환하는 단계를 포함할 수 있다. 변환은, 유저 입력에 기초하여 또는 적절한 설계 파일 및/또는 프로세스 레시피(스테퍼 레시피)로부터 관련 정보를 추출하여 수행할 수 있다. 유저로부터의 입력 없이 변환을 결정하기 위한 대안적인 접근 방식은, 정렬 사이트를 수동으로 선택하거나, 알고리즘 오버레이 최적화 접근 방식을 사용하여 검사 데이터를 설계 데이터에 정렬시키는(예컨대, 오버레이 하는) 단계를 포함할 수 있다. 이는 다이 정렬 기술임을 유념해야 한다. 다이 대응 좌표가 사용되는 경우(즉, 검사 시스템이 각각의 다이에 대하여 정렬 사이트가 존재하는 곳을 이미 알고 있는 경우), 웨이퍼 정렬 기술은 사용되지 않을 수 있다.In another embodiment, the method includes arranging inspection data to design data, and converting additional inspection data coordinates to design data space coordinates using the die corresponding design data space coordinates determined by this die alignment step. It may include. The transformation may be performed based on user input or by extracting relevant information from appropriate design files and / or process recipes (stepper recipes). Alternative approaches for determining the transformation without input from the user may include manually selecting an alignment site or aligning (eg, overlaying) the inspection data with the design data using an algorithmic overlay optimization approach. Can be. Note that this is a die alignment technique. If die correspondence coordinates are used (ie, the inspection system already knows where an alignment site exists for each die), wafer alignment techniques may not be used.

여기에 기술한 방법은 웨이퍼의 검사를 수행하여 검사 데이터를 취득하는 단계를 포함하거나 포함하지 않을 수 있다. 즉, 여기에 기술한 방법은 광학 또는 전자 검사 서브시스템을 포함하지 않는 시스템(여기에 추가로 기술하는 바와 같은 시스템)에 의해 수행할 수 있다. 대신에, 그 시스템은 검사 시스템으로부터 검사 데이터를 수신하도록 구성되는 "독립" 시스템으로서 구성할 수 있다. 이러한 방식에서, 독립 시스템은 검사 시스템으로부터 검사 데이터를 취득할 수 있다. 독립 시스템은 당업계에 알려진 임의의 방식으로(예컨대, "유선" 및/또는 "무선" 부분을 포함할 수 있는 전송 매체를 통하여) 검사 데이터를 취득할 수 있다. 대안적으로, 상기 방법은 검사 시스템을 포함하는 시스템에 의해 수행할 수 있다. 이러한 방식에서, 검사 시스템은 시스템의 일부를 구성할 수 있고, 검사 데이터는 웨이퍼의 검사를 수행함으로써 그 시스템에 의해 취득할 수 있다. 또한, 검사 데이터가 취득되는 방식에 무관하게, 여기에 기술한 상기 방법은 당업계에 알려진 임의 타입의 검사 데이터를 당업계에 알려진 임의의 포맷으로 사용하여 수행할 수 있다. 검사 데이터는 웨이퍼 상의 검출된 결합에 대한 데이터를 포함할 수 있다. 다른 예에서, 일 실시예에서, 검사 데이터는 여기에 추가로 기술되는 PWQ에 대하여 취득된다.The method described herein may or may not include the step of performing inspection of the wafer to obtain inspection data. That is, the method described herein may be performed by a system (system as further described herein) that does not include an optical or electronic inspection subsystem. Instead, the system can be configured as a “standalone” system configured to receive inspection data from the inspection system. In this manner, the independent system can obtain inspection data from the inspection system. The independent system may acquire the inspection data in any manner known in the art (eg, via a transmission medium that may include the “wired” and / or “wireless” portion). Alternatively, the method may be performed by a system including an inspection system. In this manner, the inspection system can form part of the system, and inspection data can be obtained by the system by performing inspection of the wafer. Further, regardless of how the inspection data is obtained, the method described herein may be performed using any type of inspection data known in the art in any format known in the art. The inspection data may include data for detected bonds on the wafer. In another example, in one embodiment, inspection data is obtained for a PWQ described further herein.

여기에 기술한 방법은 비교적 높은 정밀도로 검사 스페이스를 설계 데이터 스페이스에 상호 연관짓기 위해 이롭게 사용될 수 있고, 그러한 상호연관은 여기에 추가로 기술하는 바와 같이 복수의 단계로 사용될 수 있다. 예컨대, 설계 데이터 스페이스 내의 검사 데이터의 위치는 검사 데이터가 웨이퍼 상의 주의 영역 또는 비-주의 영역에 대응하는지를 결정하는데 이롭게 사용될 수 있고, 검사 프로세스는 검사 데이터에 대응하는 영역 또는 검사 데이터의 다른 부위의 유형에 기초하여 수행할 수 있다. 예컨대, 주의 영역이 다이에 걸친 모든 지점에 대하여 설계 또는 CAD 데이터베이스 내의 소정의 특징에 실질적으로 정확히 정렬되도록, 새로운 이미지 데이터를 검사 주의 영역에 대하여 이동시킴으로써, 여기에 기술한 상기 방법 및 시스템은 CMP 패턴 충전 영역과 같이 중요하지 않은 영역은 무시되는 동안 비어(via) 위치와 같은 다이 상의 중요 위치에만 검사가 수행될 수 있도록, 실질적으로 정확한 주의 영역을 생성한다. 이들 중요 위치, 또는 "검사할" 영역은 레시피 셋업에 입력될 수 있고, CAD DRC, DFM 분석 예컨대, 디자인 스캔 및/또는 PWQ 분석, 전기 테스트, FA, 또는 그것의 일부 조합의 결과를 사용하여 수행되는 "핫 스폿" 분석에 의해 결정될 수 있다.The method described herein can advantageously be used to correlate test spaces to design data spaces with relatively high precision, and such correlations can be used in multiple steps as further described herein. For example, the location of inspection data within the design data space can be advantageously used to determine whether the inspection data corresponds to an attention region or a non-attention region on the wafer, and the inspection process is a type of region corresponding to the inspection data or other portion of the inspection data. Can be performed on the basis of For example, by moving the new image data relative to the inspection attention region such that the attention region is aligned substantially accurately to a predetermined feature in the design or CAD database for every point across the die, the method and system described herein provides a CMP pattern. Non-critical areas, such as filled areas, create a substantially accurate attention area so that inspection can be performed only on critical locations on the die, such as via locations. These critical locations, or areas to be "inspected", can be entered in recipe setup and performed using the results of CAD DRC, DFM analysis such as design scan and / or PWQ analysis, electrical test, FA, or some combination thereof. Can be determined by a "hot spot" analysis.

예컨대, 일부 실시예에서, 여기에 기술한 방법은 레이아웃 분석 소프트웨어 툴로부터 생성된 표준 EDA 레이아웃 포맷(예컨대, GDSII, OASIS 등)으로 저장된 주의 영역과 같은 설계 데이터에 대한 정보 및 설계 데이터를 검사 시스템에 의해 사용할 수 있는 포맷으로 변환하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 주의 영역 정보를 설계 툴로부터 검사 시스템으로 전달하는 단계를 포함할 수 있다. 예컨대, 변환 모듈(도시 생략)은 GDS 또는 OASIS와 같은 표준 설계 포맷으로부터 검사 보호 영역을 생성하도록 구성될 수 있다. 따라서, 그러한 설계 포맷 내의 파일은 설계가 아니라 EDA 툴에 의해 수행된 설계 분석으로부터의 결과적인 다각형을 포함한다. 따라서, 변환 모듈은 두 스페이스(즉, 설계 및 검사) 사이의 효율적인 변환을 허용한다.For example, in some embodiments, the methods described herein may provide design information and design data to inspection systems, such as attention areas, stored in standard EDA layout formats (eg, GDSII, OASIS, etc.) generated from layout analysis software tools. Converting to a format usable by. In this manner, the method may include transferring the attention area information from the design tool to the inspection system. For example, a transformation module (not shown) may be configured to generate inspection protection regions from standard design formats such as GDS or OASIS. Thus, the file in such a design format contains the resulting polygons from the design analysis performed by the EDA tool, not the design. Thus, the conversion module allows for efficient conversion between two spaces (ie, design and inspection).

다른 실시예에서, 상기 방법은, 여기에 기술한 바와 같이 수행될 수 있는, 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상에서 검출된 결함의 위치를 결정하는 단계와, 설계 데이터의 1 이상의 속성에 대한 소정의 값이 설계 데이터 스페이스 내의 위치 함수로서 저장되는 데이터 구조를 사용하여 결함의 위치에 대응하는 설계 데이터의 1 이상의 속성에 대한 값을 결정하는 단계를 포함한다. 이러한 방식에서, 결함 위치에 대응하는 설계 데이터 속성에 대한 값은, 예컨대, 구조 내의 다각형으로부터 1 이상의 속성에 대한 값을 결정함으로써(예컨대, 다각형 상의 구조적 구동의 함수로서), 설계의 구조에 기초하여 이전에 계산된 속성로부터 결정될 수 있다. 이러한 방식에서, 설계는 다각형 레벨로 처리되고, 결정될 수 있는 다각형 레벨에서의 임의의 속성은 데이터 구조에 저장될 수 있다. 그와 같이, 데이터 구조에 저장된 설계 데이터의 1 이상의 속성의 값에 대한 데이터의 "슈퍼세트(superset)"를 포함할 수 있다. 설계 데이터 스페이스 내의 위치의 함수로서 설계 데이터의 1 이상의 속성에 대한 소정의 값은 EDA 레이아웃 분석 툴 또는 당업계에 알려진 임의의 다른 방법 또는 시스템을 사용하여 생성할 수 있다. 이러한 방식에서, 설계는 설계 데이터 스페이스에 걸친 위치의 함수로서 설계 데이터의 1 이상의 속성 값을 결정하기 위해 전처리될 수 있고, 1 이상의 속성에 대한 값은, 설계 데이터 스페이스 내의 결함 위치를 사용하여 "작동중" 데이터 구조 내의 1 이상의 속성의 값을 조회하여 결함별 기초로 결정될 수 있다. 소정의 값이 설계 데이터 스페이스 위치의 함수로서 저장되는 데이터 구조는 당업계에 알려진 임의의 적합한 데이터 구조를 포함할 수 있다. 유사한 방식에서, 데이터 구조는 설계에 대한 설계 레이아웃의 1 이상의 속성, 설계의 평면도의 1 이상의 속성, 설계 내의 셀의 1 이상의 속성, 설계에 대한 임의의 다른 정보, 또는 그것의 임의의 조합에 대한 소정의 값을 설계 데이터 스페이스 내의 위치의 함수로서 포함할 수 있다.In another embodiment, the method includes determining a location of a detected defect on a wafer in a design data space based on the location of inspection data in the design data space, which may be performed as described herein, and design data. Determining a value for at least one attribute of the design data corresponding to the location of the defect using a data structure in which the predetermined value for at least one attribute of is stored as a position function in the design data space. In this way, the value for the design data attribute corresponding to the defect location is based on the structure of the design, for example by determining a value for one or more attributes from the polygon in the structure (eg, as a function of structural drive on the polygon). Can be determined from previously calculated attributes. In this way, the design is processed at the polygon level, and any attribute at the polygon level that can be determined can be stored in the data structure. As such, it may include a "superset" of data for the value of one or more attributes of the design data stored in the data structure. Certain values for one or more attributes of the design data as a function of position in the design data space can be generated using an EDA layout analysis tool or any other method or system known in the art. In this manner, the design can be preprocessed to determine one or more attribute values of the design data as a function of position across the design data space, and the values for the one or more attributes are " actuated " using defect locations within the design data space. Medium "can be determined on a defect-by-defect basis by querying the values of one or more attributes in the data structure. The data structure in which the predetermined value is stored as a function of the design data space location may include any suitable data structure known in the art. In a similar manner, a data structure may be defined for one or more properties of a design layout for a design, one or more properties of a top view of the design, one or more properties of a cell in the design, any other information about the design, or any combination thereof. Can be included as a function of position in the design data space.

일 실시예에서, 상기 방법은 도 1의 단계 18에 도시한 바와 같이, 웨이퍼의 다른 부위 상의 결함을 검출하기 위하여 감지도를 결정하는 단계를 포함할 수 있다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 웨이퍼의 다른 부위 상의 결함을 검출하기 위해 감지도를 결정하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 주의 영역 정보를 설계 툴로부터 검사 시스템에 전달함으로써 설계 기반 검사를 수행하는 것을 포함할 수 있다. 예컨대, 주의 영역 정보는 웨이퍼 상의 다른 부위와, 그 다른 부위 내의 결함을 검출하도록 사용되는 감지도를 식별하도록 사용될 수 있다. 그와 같이, 설계 데이터의 1 이상의 속성은 주의 영역 정보를 포함할 수 있다. 하지만, 설계 데이터의 1 이상의 속성은 또한(또는 대안적으로) 여기에 기술한 설계 데이터의 임의의 속성을 또한 포함할 수 있다.In one embodiment, the method may include determining sensitivity to detect a defect on another portion of the wafer, as shown in step 18 of FIG. 1. In one such embodiment, the method includes determining a sensitivity to detect a defect on another portion of the wafer based on a location of inspection data in the design data space and one or more attributes of the design data in the design data space. do. In one such embodiment, the method may include performing design based inspection by passing the attention area information from the design tool to the inspection system. For example, the attention area information can be used to identify other areas on the wafer and the sensitivity used to detect defects in those other areas. As such, one or more attributes of the design data may include attention zone information. However, one or more attributes of the design data may also include (or alternatively) any attributes of the design data described herein.

데이터 준비 단계를 설계 데이터의 1 이상의 속성에 대한 데이터를 생성하거나 취득하는 단계를 포함할 수 있다. 웨이퍼의 다른 부위 상의 결함을 검출하기 위하여 감지도를 결정하는데 사용되는 설계 데이터의 1 이상의 속성은 설계 데이터와 관련된 프로세스 또는 수율 정보를 포함할 수 있다. 예컨대, 일 실시예에서, 설계 데이터의 1 이상의 속성은, 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층, 다른 프로세스 계층 또는 그것의 일부 조합에 대하여, 설계 데이터, 다른 설계 데이터, 또는 그것의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼, 또는 그것의 일부 조합에 대하여 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여 선택된다. 이러한 방식에서, 웨이퍼의 다른 부위 상의 결함을 검출하기 위한 감지도를 결정하는데 사용되는 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성은, 동일하거나 다른 프로세스 계층 상의 동일하거나 다른 설계에 대한 동일한 웨이퍼 또는 다른 웨이퍼로부터의 이전에 수집된 검사 데이터의 속성와의 상관관계에 기초하여 선택될 수 있다. 이전에 수집된 검사 데이터는 팹 데이터베이스 또는 임의의 다른 적합한 데이터베이스, 파일 등과 같은 데이터 구조에 저장될 수 있거나, 여기에 기술하는 바와 같이 구성될 수 있는 지식 베이스(knowledge base)에 포함될 수 있다. 이러한 방식에서, 본 실시예에서는 설계 데이터의 1 이상의 속성은 누적 학습, 이력 데이터 또는 데이터의 트레이닝 세트에 기초하여 선택될 수 있다.The data preparation step can include generating or obtaining data for one or more attributes of the design data. One or more attributes of the design data used to determine the sensitivity to detect defects on other portions of the wafer may include process or yield information associated with the design data. For example, in one embodiment, one or more attributes of the design data may be applied to design data, other design data, or some combination thereof, relative to the process layer, other process layer, or some combination thereof, from which inspection data for the wafer is obtained. Is selected based on one or more attributes of inspection data previously acquired for a wafer, another wafer, or some combination thereof. In this manner, one or more attributes of the design data in the design data space used to determine the sensitivity for detecting defects on other portions of the wafer are the same or different wafers for the same or different designs on the same or different process layers. Can be selected based on a correlation with attributes of previously collected inspection data from. The previously collected inspection data may be stored in a data structure such as a fab database or any other suitable database, file, or the like, or may be included in a knowledge base that may be configured as described herein. In this manner, in this embodiment one or more attributes of the design data may be selected based on cumulative learning, historical data, or a training set of data.

다른 실시예에서, 설계 데이터의 1 이상의 속성은 다른 부위에서 이전에 검출된 결함의 수율 임계, 해당 부위에서 이전에 검출된 결함의 오류 확률, 또는 그것의 일부 조합에 기초하여 선택된다. 이러한 방식에서, 결함을 검출하는 감지도는 다른 부위에서 검출된 결함의 수율 임계 및/또는 오류 확률에 기초하여 선택된 설계 데이터의 1 이상의 속성에 적어도 부분적으로 기초할 수 있다. 프로세스 또는 수율 임계 정보는 예컨대, PWQ에 의해 결정된 중요 결함, 핫 스폿(예컨대, 검사로부터 결정된)에 기초한 관심 있는 결함(DOI)의 위치, 로직 비트맵으로부터 결정된 핫 스폿 정보, 핫 스폿에서 검출된 결함에 대하여 테스트 결과로부터 결정된 KP 값, 여기에 기술한 임의의 다른 프로세스 또는 수율 정보, 또는 그것의 일부 조합을 포함할 수 있다. KP 값은 여기에 추가로 기술하는 바와 같이 결정될 수 있다. 또한, 오류 확률은 결함에 대한 KP 값을 결정하기 위해 여기에 ㅇ기술한 것과 유사한 방식으로 결정할 수 있다. 수율 임계값은 결함의 수율 관련성을 결정하기 위하여 여기에 추가로 기술하는 것과 유사한 방식으로 결정할 수 있다.In other embodiments, one or more attributes of the design data are selected based on the yield threshold of defects previously detected at other sites, the probability of error of defects previously detected at that site, or some combination thereof. In this manner, the sensitivity to detect the defect may be based at least in part on one or more attributes of the selected design data based on the yield threshold and / or error probability of the defect detected at the other site. Process or yield threshold information may include, for example, a critical defect determined by the PWQ, the location of a defect of interest (DOI) based on the hot spot (e.g., determined from the inspection), hot spot information determined from the logic bitmap, a defect detected in the hot spot. KP value determined from the test results for, any other process or yield information described herein, or some combination thereof. KP values may be determined as described further herein. In addition, the error probability may be determined in a manner similar to that described herein to determine the KP value for the defect. The yield threshold may be determined in a similar manner as described further herein to determine the yield relevance of the defect.

설계 데이터의 1 이상의 속성에 대한 데이터는 1 이상의 속성(예컨대, 콘택 영역 또는 더미 충전 영역, "검사할 장소"의 정보 또는 "주의 영역", 프로세스 오류가 가능한 "중요" 영역, 또는 그것의 일부 조합과 같은 영역 내의 특징의 유형)의 다른 값을 갖는 장치 설계 내의 구조적 영역을 규정하는 "콘텍스트" 데이터라 칭할 수 있다. 용어 콘텍스트 데이터는 용어 "콘텍스트 정보" 및 "콘텍스트 맵"과 여기에서 상호 교환가능하게 사용된다. 콘텍스트 정보는 KLA-Tencor사로부터 입수가능한 시뮬레이션, 모델링, 및/또는 분석 소프트웨어 제품, DRC 소프트웨어와 같은 다른 소프트웨어, 또는 그것의 일부 조합을 포함하는 각종 소스로부터 취득될 수 있다. 또한, 추가적인 콘텍스트 데이터가 결정되고, 설계 데이터의 속성에 대한 데이터와 결합될 수 있다. 설계 데이터 및/또는 콘텍스트 데이터를 포함하는 데이터베이스 또는 파일과 같은 데이터구조는 당업계에 알려진 임의의 적합한 포맷을 가질 수 있다.Data for one or more attributes of the design data may include one or more attributes (eg, contact area or dummy filling area, information of "place to inspect" or "attention area", "critical" area where process errors are possible, or some combination thereof. And "context" data that defines a structural area within the device design that has a different value of type of feature within the area, such as. The term context data is used interchangeably with the terms "context information" and "context map". Contextual information may be obtained from various sources, including simulation, modeling, and / or analysis software products available from KLA-Tencor, other software, such as DRC software, or some combination thereof. In addition, additional context data may be determined and combined with data about attributes of the design data. Data structures such as databases or files containing design data and / or context data may have any suitable format known in the art.

전술한 바와 같이 감지도를 결정하는 단계는 설계 데이터의 1 이상의 속성의 다른 값을 갖는 설계 데이터에 대응하는 웨이퍼의 다른 부위에서 검출된 결함이 다른 감지도로 검출되도록 수행될 수 있다. 이러한 방식에서, 상기 방법은 설계 데이터 스페이스 위치의 함수로서 1 이상의 설계 데이터 속성의 값에 기초하여 다른 부위를 결정하고, 식별하고, 및/또는 선택하는 단계를 또한 포함할 수 있다. 다른 부위의 전체 또는 일부의 치수는 상이할 수 있고, 설계 데이터의 속성의 값이 이용가능하거나 취득되는 해상도에 의존하여 변할 수 있다. 예컨대, 여기에 추가로 기술하는 바와 같이 다른 부위에 대한 감지도를 결정하기 위해 콘텍스트 맵을 사용하면, 다른 부위의 치수는 콘텍스트 맵의 해상도에 따라 변할 수 있다.As described above, the determining of the sensitivity may be performed such that defects detected at different portions of the wafer corresponding to the design data having different values of one or more attributes of the design data are detected with different sensitivity. In this manner, the method may also include determining, identifying, and / or selecting other sites based on values of one or more design data attributes as a function of design data space location. The dimensions of all or some of the other sites may be different and may vary depending on the resolution at which the value of the attribute of the design data is available or obtained. For example, if context maps are used to determine the sensitivity for other sites as described further herein, the dimensions of the other sites may vary depending on the resolution of the context map.

그러한 일 실시예에서, 감지도는 콘텍스트 맵 및 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 결정되며, 여기에 추가로 기술하는 바와 같이, 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성에 대한 값을 포함할 수 있다. 예컨대, 상기 방법은 콘텍스트의 임계에 기초하여 가변 감지도 영역 및 중요 영역에 대하여 웨이퍼 상의 다이 내의 비교적 높은 감지도 영역을 규정하기 위해 콘텍스트 맵을 사용하는 것을 포함할 수 있다. 일 예에서, 설계 데이터의 세그먼트는 조밀한 어레이(dense array) 및 로직, 오픈 영역, 및 그레이니 메탈(grainy metal)을 고립시키기 위해 규정될 수 있다. 설계 데이터 내의 1 이상의 세그먼트를 규정하기 위해 이미지 그레이(gray) 레벨 및 콘텍스트의 조합이 또한 사용될 수 있다. 예컨대, 중간 그레이 레벨을 갖는 픽셀은 하나의 세그먼트로 조합될 수 있다. 이미지 그레이 레벨은 검사 시스템 또는 다른 이미지 취득 시스템에 의해 취득된 이미지 또는 시뮬레이션된 이미지를 사용하여 결정할 수 있다.In one such embodiment, the sensitivity is determined based on the location of the inspection data within the context map and the design data space, and as described further herein, values for one or more attributes of the design data across the design data space. It may include. For example, the method may include using a context map to define a relatively high sensitivity area within the die on the wafer relative to the variable sensitivity area and the critical area based on the threshold of the context. In one example, segments of design data may be defined to isolate dense arrays and logic, open regions, and grainy metal. Combinations of image gray levels and contexts may also be used to define one or more segments in the design data. For example, pixels with intermediate gray levels can be combined into one segment. The image gray level can be determined using an image acquired by an inspection system or other image acquisition system or a simulated image.

일부 실시예에서, 콘텍스트 맵 및 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 웨이퍼의 다른 부위 상의 결함을 검출하기 위하여 감지도를 결정하는 단계는 웨이퍼의 검사 동안에 검사 시스템에 의해 수행될 수 있다. 예컨대, 콘텍스트 맵은 웨이퍼의 검사시 여기에 기술한 바와 같은 검사 시스템에 의해 사용될 수 있다. 다른 실시예에서, 콘텍스트 맵 및 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 웨이퍼의 다른 부위 상의 결함을 검출하기 위해 감지도를 결정하는 단계는 웨이퍼에 대한 검사 데이터의 취득이 완료된 후에 검사 시스템에 의해 수행된다. 예컨대, 콘텍스트 맵은 검사 데이터가 오프라인으로 이용가능해진 후에 전술한 바와 같은 검사 시스템에 의해 사용될 수 있다. 이들 실시예의 양쪽에서, 상기 방법은 웨이퍼 상의 다이의 더미 영역(비 검사 영역)을 자동으로 규정하고, 다른 감지도 임계치가 사용되는 다이의 거친 영역을 규정하기 위해 콘텍스트 맵을 사용할 수 있다. 예컨대, 콘텍스트 맵(예컨대, 더미 충전 영역을 규정하는 콘텍스트 맵)은 검사를 필요로 하지 않는, 따라서, 결함 검출 목적에서 배제되는 비-주의 영역을 자동으로 규정하기 위해 사용될 수 있다. 그러한 영역은 통상적으로 덜 제어되고, 그리하여 비교적 다량의 노이즈를 생성한다(다이-다이와 비교하여). 따라서, 그러한 영역의 배제는 검사의 전체 S/N을 증가시킬 수 있다.In some embodiments, determining the sensitivity to detect defects on other portions of the wafer based on the location of the inspection data in the context map and design data space may be performed by the inspection system during inspection of the wafer. For example, the context map can be used by an inspection system as described herein in the inspection of a wafer. In another embodiment, determining the sensitivity to detect a defect on another portion of the wafer based on the location of the inspection data in the context map and design data space may be determined by the inspection system after acquisition of inspection data for the wafer is completed. Is performed. For example, the context map can be used by the inspection system as described above after the inspection data is made available offline. In both of these embodiments, the method can automatically define a dummy area (non-test area) of the die on the wafer and use a context map to define the rough area of the die where other sensitivity thresholds are used. For example, a context map (eg, a context map defining a dummy fill area) can be used to automatically define non-caution areas that do not require inspection, and therefore are excluded for defect detection purposes. Such regions are typically less controlled and thus produce a relatively large amount of noise (compared to die-die). Thus, the exclusion of such regions can increase the overall S / N of the inspection.

일 실시예에서, 콘텍스트 맵 및 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 웨이퍼의 다른 부위 상의 결함을 검출하기 위해 감지도를 결정하는 단계는, 웨이퍼의 다른 부위 상의 결함을 검출하기 위하여 검사 데이터와 함께 사용되는 감지도 임계치를 결정하는 단계를 포함한다. 이러한 방식에서, 결함 검출을 위해 사용되는 1 이상의 임계치를 변경하여 영역-영역으로부터 변경될 수 있으며, 이는 SAT(segmented automatic threshold) 방법과 유사하다. 예컨대, 낮은 임계(고 감지도) 검출은 중요 영역에 대하여 사용할 수 있고, 높은 임계(저 감지도) 검출은 중요하지 않은 영역에 대하여 사용할 수 있다. 설계 데이터의 1 이상의 속성에 기초하여, 설계 데이터를 세그먼트화 하고, 결함 검출을 위해 사용된 임계치를 변경함으로써, 검사 프로세스의 전체 감지도가 증가할 수 있다. 따라서, 여기에 기술한 상기 방법 및 시스템은 개선된 결함 검출을 제공한다.In one embodiment, determining the sensitivity to detect a defect on another portion of the wafer based on the location of the inspection data in the context map and design data space includes: Determining a sensitivity threshold to be used together. In this way, one or more thresholds used for defect detection can be changed from the area-area, which is similar to the segmented automatic threshold (SAT) method. For example, low threshold (high sensitivity) detection can be used for critical areas and high threshold (low sensitivity) detection can be used for non-critical areas. Based on one or more attributes of the design data, the overall sensitivity of the inspection process can be increased by segmenting the design data and changing the thresholds used for defect detection. Thus, the methods and systems described herein provide improved defect detection.

상기 방법은 복수의 다른 단계를 수행하기 위해 전술한 콘텍스트 맵을 사용하는 것을 또한 포함할 수 있다. 예컨대, 콘텍스트 맵(결함 검출을 위해 다이-다이 검사 모드, 표준 기준 다이-다이 검사 모드 등이 사용되는지에 관계없이)은 감지도를 결정하는 단계, 뉴슨스 결함을 필터링하는 단계, 결함을 분류하는 단계, 온라인 또는 오프라인 리뷰를 위해 리뷰 샘플을 생성하는 단계와 같은 각종 단계를 수행하는데 사용할 수 있으며, 그러한 단계에 한정되지 않는다. 여기에 추가로 기술하는 바와 같은 설계 또는 콘텍스트 정보를 사용하기 위해, 검사 프로세스 동안에 취득한(예컨대, 웨이퍼를 스캐닝하여) 다른 검사 데이터 또는 이미지 픽셀의 절대 위치가 설계 데이터 스페이스(예컨대, 설계 데이터베이스 좌표) 내에서 결정된다. 검사 픽셀 사이즈의 절반 이내로 검사 데이터를 설계 데이터에 매핑하는 단계는 검사 임계치의 실질적으로 정확한 세팅(중요 영역을 중요하지 않은 영역으로부터 실질적으로 정확히 분리하여), 실제 결함으로부터 뉴슨스 결함의 필터링, 및 임의의 다른 단계를 허용하며, 여기에 추가로 기술하는 바와 같이 수행할 수 있다.The method may also include using the context map described above to perform a plurality of other steps. For example, a context map (whether or not a die-die inspection mode, a standard reference die-die inspection mode, etc. is used for defect detection) may be used to determine the sensitivity, filter the Nuson's defects, classify the defects, It can be used to perform various steps, such as generating a review sample for a step, an online or offline review, and is not limited to such a step. In order to use design or contextual information as described further herein, the absolute position of other inspection data or image pixels acquired during the inspection process (eg, by scanning the wafer) is within the design data space (eg, design database coordinates). Is determined. The mapping of inspection data to design data within half of the inspection pixel size can be achieved by substantially accurate setting of inspection thresholds (substantially precisely separating critical areas from non-critical areas), filtering of neusons defects from actual defects, and arbitrary Other steps of may be allowed and can be performed as described further herein.

또한, 비교적 높은 밴드 폭, 픽셀-레벨 콘텍스트 정보는 폭넓은 애플리케이션에 대하여 설계 스페이스 좌표로의 검사 스페이스의 실질적으로 매우 정확한 매핑에 사용할 수 있다. 예컨대, 비교적 높은 해상도 콘텍스트 맵은 다른 감지도로 검사될 수 있는 픽셀-레벨 영역을 자동으로 규정하는데 사용될 수 있다. 여기에 기술하는 바와 같은 비교적 높은 해상도 콘텍스트는 주의 영역 경계에서의 모호(예컨대, 약 5㎛ 이상의 퍼짐)로 인하여 비교적 거칠고(약, 50㎛×50㎛) 부정확한 유저 규정 영역 기반 다중-임계치(RBMT)보다 일반적으로 더욱 정확하다.In addition, relatively high bandwidth, pixel-level context information can be used for a substantially very accurate mapping of inspection space to design space coordinates for a wide range of applications. For example, a relatively high resolution context map can be used to automatically define pixel-level regions that can be examined with different sensitivity. The relatively high resolution context as described herein is relatively rough (approximately 50 μm × 50 μm) and inaccurate user defined region based multi-threshold (RBMT) due to ambiguity (e.g., spread over about 5 μm) at the attention area boundary. Generally more accurate than

일 실시예에서, 콘텍스트 맵은 각 픽셀에서의 검출 감지도를 제어하기 위해 픽셀 레벨에서 사용할 수 있다. 하지만, 더 단순한 접근 방식(시스템 복잡성 관점으로부터)은 콘텍스트 정보를 사용하여 결함을 검출하지 않는 검출 방법을 사용하여 검출된 결함의 포스트-처리를 위해서만 콘텍스트 맵을 사용한다. 이러한 방식에서, 설계 데이터 스페이스로의 검사 또는 웨이퍼 스페이스의 매핑은 검출된 결함에 대응하는 검사 데이터에만 인가될 수 있다. 다이-다이, 및 표준 기준 다이-다이 검사에 대하여 전술한 바와 같이, 결함의 위치는 설계 데이터 스페이스 내에서 결정된다. 따라서, 설계 데이터 스페이스 내의 결함의 위치에서의 설계 데이터의 패치 이미지를 취득할 수 있고, 이러한 패치 이미지는 결함에 대응하는 설계 콘텍스트를 결정하는데 사용될 수 있다. 대안적으로, 설계 데이터에 정렬된 콘텍스트 맵은 설계 데이터 스페이스 내의 결함의 위치에 기초하여 결함에 대응하는 설계 데이터 콘텍스트를 결정하는데 사용할 수 있다.In one embodiment, the context map may be used at the pixel level to control the detection sensitivity at each pixel. However, a simpler approach (from a system complexity point of view) uses a context map only for post-processing of detected defects using a detection method that does not detect defects using contextual information. In this way, inspection into the design data space or mapping of wafer space can be applied only to inspection data corresponding to the detected defect. As discussed above for the die-die and standard reference die-die inspection, the location of the defect is determined within the design data space. Thus, a patch image of the design data at the location of the defect in the design data space can be obtained, and this patch image can be used to determine the design context corresponding to the defect. Alternatively, the context map aligned with the design data can be used to determine the design data context corresponding to the defect based on the location of the defect in the design data space.

표준 기준 다이-다이 검사에 있어서, 검사 데이터 내의 각 픽셀의 콘텍스트를 결정하는 단계는 각각의 표준 기준 다이 픽셀의 콘텍스트를 결정하는 단계를 포함할 수 있다. 표준 기준 다이 이미지가 레시피 셋업 단계 동안에 취득되기 때문에, 상기 방법은 표준 기준 다이 이미지 내의 정렬 사이트(전술한 바와 같이 선택된)에 대한 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬시키는 단계와, 설계 데이터 스페이스 내의 각각의 표준 기준 다이 픽셀의 위치를 결정하기 위해 매핑 변환을 수행하는 단계를 포함할 수 있다. 이들 단계는 레시피 셋업 단계 동안에 수행할 수 있다. 또한, 표준 기준 다이는 설계 데이터 스페이스로의 표준 기준 다이의 매핑에 기초하여 콘텍스트 데이터에 매핑될 수 있고, 각 픽셀에 대응하는 콘텍스트와 함께 표준 기준 다이 픽셀이 오프라인으로 저장되고, 검사 동안 검사 시스템에 제공되거나 그것에 의해 취득될 수 있다. 이 처리는 오프라인으로 수행될 수 있고, 레시피 셋업 단계 동안에 단 1회 수행될 수 있다.For a standard reference die-die inspection, determining the context of each pixel in the inspection data may include determining the context of each standard reference die pixel. Since a standard reference die image is acquired during the recipe setup step, the method includes aligning data for an alignment site (selected as described above) in the standard reference die image with data for a given alignment site, and design data space. And performing a mapping transform to determine the location of each standard reference die pixel within. These steps can be performed during the recipe setup step. In addition, the standard reference die can be mapped to the context data based on the mapping of the standard reference die to the design data space, the standard reference die pixels are stored offline with the context corresponding to each pixel, and the inspection system during inspection It may be provided or obtained by it. This process can be performed off-line and only once during the recipe setup phase.

그러한 일 실시예에서, 각각의 표준 기준 다이 픽셀은 콘텍스트 정보와 연관지어질(태그) 수 있다. 이러한 방식에서, 콘텍스트 정보는 표준 기준 다이 픽셀에 "부가"될 수 있다. 일 예에서, 16개의 다른 가능한 콘텍스트가 있는 경우, 4비트 태그가 각 픽셀에 부가될 수 있다. 대안적으로, 콘텍스트 데이터는 적절한 압축 알고리즘 또는 방법을 사용하여 압축할 수 있거나, 콘텍스트 데이터는 다각형 형태로 표현될 수 있다. 이러한 방식에서, 검사 동안에, 표준 기준 다이 픽셀 데이터 및 표준 기준 다이 픽셀 데이터와 연관지어진 매핑된(변환된) 콘텍스트 데이터는 이미지 컴퓨터나 검사 시스템의 다른 프로세스에 제공되거나 그것에 의해 취득될 수 있다. 따라서, 검사 데이터 픽셀에 대응하는 콘텍스트는 표준 기준 다이 이미지 내의 대응하는 픽셀의 콘텍스트 정보에 기초하여 결정될 수 있다. 그와 같이, 검사 데이터 픽셀에 대응하는 콘텍스트 정보는, 여기에 추가로 기술하는 바와 같이 수행할 수 있는, 결함 검출 및 분류(및/또는 비닝) 애플리케이션에 이용가능할 수 있다.In one such embodiment, each standard reference die pixel may be associated (tag) with context information. In this manner, the context information may be "added" to the standard reference die pixel. In one example, if there are 16 different possible contexts, a 4 bit tag may be added to each pixel. Alternatively, the context data may be compressed using any suitable compression algorithm or method, or the context data may be represented in polygonal form. In this manner, during inspection, mapped (transformed) context data associated with standard reference die pixel data and standard reference die pixel data may be provided to or obtained by an image computer or other process of the inspection system. Thus, the context corresponding to the inspection data pixel can be determined based on the context information of the corresponding pixel in the standard reference die image. As such, contextual information corresponding to the inspection data pixels may be available to defect detection and classification (and / or binning) applications, which may be performed as described further herein.

다른 실시예에서, 상기 방법은 웨이퍼 검사를 보조하기 위해서 임의의 해상도에서 콘텍스트 맵을 사용할 수 있다. 예컨대, 웨이퍼 검사 및 결함의 비닝에서의 보조를 위해 웨이퍼 가변 해상도 콘텍스트 맵을 사용할 수 있다. 콘텍스트 맵의 해상도는, 라이브 픽셀 스트림이 설계 데이터에 정렬될 수 있는 정확도 및 애플리케이션의 정확도 요건에 따라 변할 수 있다. 다른 해상도에서의 콘텍스트 맵은 복수의 다른 방식으로 표현할 수 있다. 예컨대, 픽셀 레벨 콘텍스트 맵을 생성하기 위해 적절한 픽셀 사이즈에서 다각형 형태의 콘텍스트 맵의 절대 표현(즉, 마이크론의 여러 소수자리)이 검사 시스템에 부여될 수 있다. 또한(또는 대안적으로), 거친 콘텍스트 맵은 예컨대, 약 1㎛ × 약 1㎛의 측면 치수를 갖는 비교적 거친 영역에 대한 콘텍스트를 포함할 수 있다. 거친 영역은 설계 데이터를 분리하는 "타일(tile)" 형태일 수 있다. 특징 타입(예컨대, 더미 특징, 콘택, 라인 단부), 특징 속성(예컨대, 구조 사이의 최소 라인 폭/스페이스 등), 또는 그것의 일부 조합과 같은 콘텍스트 데이터가 각 타일에 연관될 수 있다.In another embodiment, the method may use a context map at any resolution to assist in wafer inspection. For example, wafer variable resolution context maps can be used for wafer inspection and assistance in binning of defects. The resolution of the context map can vary depending on the accuracy with which the live pixel stream can be aligned to the design data and the accuracy requirements of the application. Context maps at different resolutions can be represented in a number of different ways. For example, an absolute representation (i.e., several decimal places of microns) of polygonal context maps may be given to the inspection system at an appropriate pixel size to produce a pixel level context map. In addition (or alternatively), the rough context map may include a context for a relatively rough area having, for example, a lateral dimension of about 1 μm × about 1 μm. Rough areas may be in the form of "tiles" that separate design data. Context data, such as feature type (eg, dummy feature, contact, line end), feature attribute (eg, minimum line width / space between structures, etc.), or some combination thereof, may be associated with each tile.

일 실시예에서, 상기 방법은 가능한 설계 룰 위반과 중요 영역에 대한 설계를 분석하는데 사용될 수 있는 임의의 소프트웨어 프로그램으로부터 취득할 수 있는 설계에 대한 속성 정보 및 위치를 사용하여 비교적 높은 해상도 콘텍스트 맵을 생성하는 단계를 포함한다. 그러한 콘텍스트 맵은 KLA-Tencor사로부터 상업적으로 입수가능한 분석 소프트웨어(예컨대, 디자인 스캔), 또는 검사, 계측, 또는 리뷰 시스템에 의한 사용을 위한 포맷으로 변환될 수 있는 각 위치의 일부 속성(또는 라벨) 및 위치의 리스트를 생성하는 DRC 소프트웨어와 같은 다른 소프트웨어를 사용하여 생성할 수 있다.In one embodiment, the method generates a relatively high resolution context map using attribute information and locations for the design that can be obtained from any software program that can be used to analyze possible design rule violations and designs for critical areas. It includes a step. Such context maps are some attributes (or labels) of each location that can be converted into a commercially available analysis software (eg, design scan) from KLA-Tencor, or a format for use by an inspection, metrology, or review system. And other software such as DRC software to generate a list of locations.

다른 실시예에서, 상기 방법은 CAD 레이아웃으로부터 특징 벡터를 추출하고, 동등한 콘텍스트 그룹을 규정하기 위해 비-관리 클러스터링(clustering)을 사용하여, 비교적 낮은 해상도인 거친 콘텍스트 맵을 생성하는 단계를 포함한다. 예컨대, 비교적 거친 콘텍스트 맵(예컨대, 약 1㎛ × 약 1㎛)을 생성하기 위한 방법은 CAD 레이아웃 파일을 처리하는 단계와, 타일을 렌더링하거나 분석하는 단계, 및 각 타일에 대하여 특정 속성 또는 특징 벡터를 추출하는 단계를 포함할 수 있다. 각각의 영역에 대하여, 다중 특징이 미리 규정한 특징 세트로부터 추출될 수 있다. 각 특징의 값은 그것의 특징 벡터이다. 각 영역에 대한 특징 벡터는 특징 스페이스 내의 클러스터링을 평가하여 영역의 유사성을 결정하는데 사용할 수 있는 일련의 특징 벡터로 결합될 수 있다. 이들 특징 벡터(타일당 1 이상의 벡터)는 임의의 비-감독 클러스터링 알고리즘 및/또는 벡터의 클러스터(즉, 유사한 속성을 갖는 타일)를 찾는데 사용할 수 있는 당업계에서 알려진 방법을 사용하여 특징 스페이스 내에서 클러스터링될 수 있다. 여기에 기술한 방법에서 사용할 수 있는 그러한 알고리즘 및 방법의 예는 여기에 완전히 언급한 것과 같이 참고자료로 포함되는 미국 특허 제6,104,835호(Han)에 개시된다. 그러한 각각의 클러스터는 특유의 콘텍스트 또는 아이덴티티(identity)가 할당될 수 있다. 각각의 타일이 이러한 코드 또는 아이덴티티로 표현되는 다이의 맵은 여기에 추가로 기술하는 바와 같은 검사 시스템에 의해 사용될 수 있다.In another embodiment, the method includes extracting feature vectors from the CAD layout and using a non-managed clustering to define equivalent context groups, creating a coarse context map of relatively low resolution. For example, a method for generating a relatively coarse context map (eg, about 1 μm × about 1 μm) may include processing a CAD layout file, rendering or analyzing tiles, and specific attribute or feature vectors for each tile. It may include the step of extracting. For each region, multiple features can be extracted from a predefined feature set. The value of each feature is its feature vector. The feature vectors for each region can be combined into a series of feature vectors that can be used to determine cluster similarity by evaluating clustering within the feature space. These feature vectors (one or more vectors per tile) can be used within the feature space using any non-directed clustering algorithm and / or methods known in the art that can be used to find clusters of vectors (ie tiles with similar properties). Can be clustered. Examples of such algorithms and methods that can be used in the methods described herein are disclosed in US Pat. No. 6,104,835 (Han), which is incorporated by reference as if fully set forth herein. Each such cluster may be assigned a unique context or identity. The map of the die where each tile is represented by this code or identity can be used by the inspection system as further described herein.

다른 실시예에서, 상기 방법은 동등한 콘텍스트 그룹(여기에 추가로 기술하는 바와 같이 비닝을 위해 사용될 수 있는)을 식별하기 위해 CAD 레이아웃 패치 이미지를 렌더링하고 CAD 레이아웃 패치 이미지를 교차-상호연관 지음으로써, 비교적 낮은 해상도인 거친 콘텍스트 맵을 생성하는 단계를 포함할 수 있다. 콘텍스트 맵(예컨대, 비교적 거친 콘텍스트 맵)을 생성하기 위한 다른 방법은 CAD 레이아웃 파일을 패치 이미지로 렌더링하고, 설계 데이터를 패치 이미지로 분리하고, 패치 이미지 사이의 이미지 교차-상호연관을 식별하여, 비교적 높은 교차-상호연관을 갖는 패치 이미지가 동일한 텍스트 타입에 대응하는 패치 이미지의 그룹으로 비닝될 수 있게 하는 단계를 포함한다.In another embodiment, the method renders the CAD layout patch image and cross-correlates the CAD layout patch image to identify equivalent groups of contexts (which may be used for binning as further described herein). Generating a rough context map with a relatively low resolution. Another method for generating a context map (eg, a relatively coarse context map) renders the CAD layout file into a patch image, separates design data into patch images, identifies image cross-correlations between patch images, Allowing patch images with high cross-correlation to be binned into groups of patch images corresponding to the same text type.

일부 실시예에서, 여기에 사용된 방법에 사용된 콘텍스트 데이터는 웨이퍼 상에 존재하거나 형성될 수 있는 1 이상의 층에 대한 콘텍스트 데이터를 포함할 수 있다. 예컨대, 일부 결함은 결함이 검출된 층 내의 중요 영역에는 위치하지 않을 수 있다. 하지만, 중첩층 내의 중요 영역이 웨이퍼 상에 형성될 수 있는 웨이퍼 상의 영역에 결함이 위치하는 경우, 그러한 중요하지 않은 결함은 중요해질 수 있다. 여기에 기술한 임의의 단계에서 사용되는 콘텍스트 맵은 웨이퍼 상의 다중 층을 위한 콘텍스트 맵일 수 있다.In some embodiments, the context data used in the methods used herein may include context data for one or more layers that may be present or formed on the wafer. For example, some defects may not be located in the critical area in the layer where the defect was detected. However, if the defect is located in an area on the wafer where the critical area in the overlapping layer can be formed on the wafer, such non-critical defect can be significant. The context map used in any of the steps described herein may be a context map for multiple layers on the wafer.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성와, 검사 데이터의 1 이상의 속성에 기초하여 웨이퍼 상의 다른 부위 상의 결함을 검출하기 위해 감지도를 결정하는 단계를 또한 포함할 수 있다. 이러한 단계에서 사용되는 설계 데이터의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 그러한 실시예에서, 결함이 다른 부위에서 검출되는 경우, 검사 데이터의 1 이상의 속성은 1 이상의 이미지 노이즈 속성 또는 그것의 일부 조합을 포함한다. 이러한 방식에서, 본 실시예에서 사용된 검사 데이터의 1 이상의 속성은 이미지 노이즈 속성 및/또는 검사 데이터의 다른 영역에서의 결함의 검출 또는 비-검출을 포함할 수 있다. 이러한 단계에서 사용된 검사 데이터의 속성은 여기에 기술한 검사 데이터의 임의의 다른 속성을 포함할 수 있다. 본 실시예에서 감지도를 결정하는 단계는 설계 데이터에 상호 연관지어진 이미지 노이즈에 기초하여 검사 프로세스에 대한 PBMT 셋업을 위해 수행될 수 있다. 본 실시예에서 감지도를 결정하는 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있다.In another embodiment, the method includes a sensitivity diagram for detecting defects on other portions of the wafer based on the location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. Determining may also include. The attributes of the design data used in this step may include any of the attributes described herein. In such embodiments, if a defect is detected at another site, the one or more attributes of the inspection data include one or more image noise attributes or some combination thereof. In this manner, one or more attributes of the inspection data used in this embodiment may include detection or non-detection of image noise attributes and / or defects in other areas of the inspection data. The attributes of the inspection data used in this step may include any other attributes of the inspection data described herein. Determining the sensitivity in this embodiment may be performed for PBMT setup for the inspection process based on image noise correlated to the design data. Determining the sensitivity in the present embodiment may be performed as further described herein.

다른 실시예에서, 상기 방법은 웨이퍼 상에서 제조되고 있는 장치의 설계에 대한 개략적인 데이터(schematic data)의 1 이상의 속성, 장치에 대한 물리적 레이아웃의 기대되는 전기적 거동의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 웨이퍼 상의 결함을 검출하기 위한 1 이상의 매개변수를 변경하는 단계를 포함한다. 이러한 방식에서, 디자인 개략 데이터 속성 및 다른 물리적 설계(레이아웃)의 기대되는 거동의 다른 전기적 디스크립션(description)은 결함을 검출하기 위한 1 이상의 매개변수 또는 검사 프로세스의 임의의 다른 매개변수를 변경하는데 사용할 수 있다. 예컨대, 중요 경로 및 비-중요 경로, 활성 및 비-활성 구조, 및 물리적 설계(레이아웃)의 기대되는 전기적 거동 또는 개략 데이터에 관한 그러한 다른 정보는, 결함을 검출하기 위한 감지도를 변경하고, 웨이퍼의 어느 부위에서 결함을 검출할지를 결정하고(예컨대, 주의 영역 및 비-주의 영역), 결함을 검출하기 위해 검사 데이터의 어느 부위를 사용할지를 결정하고(예컨대, 설계 데이터 스페이스에 대한 웨이퍼 스페이스로부터의 상호연관), 검사 프로세스의 1 이상의 다른 매개변수를 변경하는데 사용할 수 있다.In another embodiment, the method may include one or more properties of schematic data about the design of the device being manufactured on a wafer, one or more properties of expected electrical behavior of the physical layout for the device, or some combination thereof. Changing one or more parameters for detecting a defect on the wafer based on the change. In this way, the design schematic data attributes and other electrical descriptions of the expected behavior of other physical designs (layouts) can be used to change one or more parameters for detecting defects or any other parameters of the inspection process. have. For example, such other information about critical and non-critical paths, active and non-active structures, and expected electrical behavior or schematic data of the physical design (layout) may change the sensitivity to detect defects, and Determine where in the defect to detect defects (e.g., attention area and non-attention area), determine which part of the inspection data to use to detect the defect (e.g. Association), one or more other parameters of the inspection process.

다른 예에서, 데이터 포착 속도 및 전기적 거동 감시는 설계/이미지 콘텍스트에 기초하여 수행될 수 있다. 예컨대, 전기적 거동은 전기 테스트, FA, 또는 당업계에 알려진 임의의 다른 테스트 또는 분석을 수행하거나, 그러한 테스트나 분석 결과를 사용하여 감시할 수 있다. 전기 테스트, FA, 또는 다른 테스트나 분석의 결과는 개략적인 데이터 및 장치의 물리적 레이아웃에 관한 콘텍스트 정보에 상호 연관지어질 수 있다. 감시된 결함 포착 속도 및 전기적 거동은, 웨이퍼 상의 검출 결함에 관한 정보, 결함을 검출하기 위해 사용된 검사 프로세스에 관한 정보, 및 설계에 관한 정보를 결정하기 위해 설계/이미지 콘텍스트에 상호 연관지어질 수 있다. 예컨대, 결함 포착 속도 및 전기적 거동 감시 결과는 웨이퍼 상에서 어느 유형의 결함이 검출되는지, 어느 결함은 검출되어야 하지만(예컨대, 온라인 검사 프로세스에서) 어느 결함은 검출되지 않는지, 그리고 설계 내의 위크 지점을 결정하기 위해 사용될 수 있다. 그러한 정보는 여기에 추가로 기술하는 바와 같이 검사 프로세스를 변경하는데 사용될 수 있다.In another example, data capture rate and electrical behavior monitoring can be performed based on design / image context. For example, electrical behavior can be monitored using an electrical test, FA, or any other test or analysis known in the art, or using the results of such a test or analysis. The results of an electrical test, FA, or other test or analysis can be correlated to the contextual information regarding the schematic data and the physical layout of the device. Monitored defect capture rates and electrical behavior can be correlated to the design / image context to determine information about detection defects on the wafer, information about the inspection process used to detect the defect, and information about the design. have. For example, defect capture rate and electrical behavior monitoring results may be used to determine which type of defects are detected on the wafer, which defects should be detected (eg, in an online inspection process), but which defects are not detected, and the weak point in the design. Can be used for Such information can be used to modify the inspection process as further described herein.

추가적인 실시예에서, 상기 방법은 웨이퍼 상에 수행되는 전기 테스트 프로세스의 1 이상의 매개변수에 기초한 검사 데이터를 사용하여 웨이퍼 상의 데이터를 검출하기 위한 1 이상의 매개변수를 변경하는 단계를 포함한다. 예컨대, 웨이퍼 상의 결함을 검출하기 위한 1 이상의 매개변수 또는 검사 프로세스의 임의의 다른 매개변수는 대응(물리적) 설계 데이터 스페이스에 연관된 전기 테스트 규정에 기초하여 변경할 수 있다. 이러한 방식에서, 검사 프로세스는 전기 테스트를 어떻게 수행되는지에 기초하여 변경할 수 있다. 그러한 일 예에서, 전기 테스트 프로세스에 의해서 분석될 웨이퍼 상의 영역은 전기 테스트 프로세스의 1 이상의 매개변수에 기초하여 결정할 수 있고, 결함을 검출하기 위한 1 이상의 매개변수 또는 검사 프로세스의 임의의 다른 매개변수는 전기 테스트 프로세스에서 분석되지 않을 웨이퍼 상의 영역 내의 결함이 적절한 감지도로 검사될 수 있도록 변경할 수 있다.In a further embodiment, the method includes changing one or more parameters for detecting data on the wafer using inspection data based on one or more parameters of an electrical test process performed on the wafer. For example, one or more parameters for detecting defects on the wafer or any other parameters of the inspection process may change based on electrical test rules associated with the corresponding (physical) design data space. In this way, the inspection process can change based on how the electrical test is performed. In such an example, the area on the wafer to be analyzed by the electrical test process can be determined based on one or more parameters of the electrical test process, and the one or more parameters for detecting defects or any other parameter of the inspection process Defects in areas on the wafer that will not be analyzed in the electrical test process can be altered to check for proper sensitivity.

또한, 전기 테스트 프로세스의 1 이상의 매개변수 및 설계 데이터 스페이스 또는 웨이퍼 스페이스 내의 결함의 위치는 전기 테스트 프로세스에 의해 테스트 되지 않을(또는 "전기 테스트 배제") 결함을 식별하는데 사용될 수 있다. 그러한 일 예에서, 전기 테스트 프로세스에서 테스트 될 웨이퍼 상의 영역 및 웨이퍼 상의 결함의 위치는 전기 테스트 프로세스에 의해 어느 결함을 테스트할지를 결정하는데 사용할 수 있다. 다른 예에서, 전기 테스트 프로세스에서 테스트 될 설계 내의 영역 및 설계 데이터 스페이스 내의 결함의 위치는 전기 테스트 프로세스에 의해 어느 결함을 검출하지 않을지를 결정하는데 사용할 수 있다. 유사한 방식에서, 전기 테스트 프로세스의 1 이상의 매개변수 및 설계 데이터 스페이스 또는 웨이퍼 스페이스 내의 결함의 위치는, 결함이 전기 테스트 프로세스에 의해서 테스트 될지 테스트 되지 않을지에 따라서 결함을 다른 그룹으로 분리하거나 비닝 하기 위해 사용할 수 있다.In addition, one or more parameters of the electrical test process and the location of the defects in the design data space or wafer space may be used to identify defects that will not be tested (or “exclude electrical test”) by the electrical test process. In one such example, the area on the wafer and the location of the defect on the wafer to be tested in the electrical test process can be used to determine which defect to test by the electrical test process. In another example, the area in the design to be tested in the electrical test process and the location of the defect in the design data space can be used to determine which defect will not be detected by the electrical test process. In a similar manner, one or more parameters of the electrical test process and the location of the defects in the design data space or wafer space may be used to separate or bin the defects into different groups depending on whether the defects will be tested by the electrical test process or not. Can be.

웨이퍼 스페이스에서, 핫 스폿에 관한 정보(예컨대, 핫 스폿 데이터베이스로부터의 정보) 및 설계 데이터의 속성은 감시 단계에서 검사 레시피를 셋업하는데 사용할 수 있다. 예컨대, 주의 영역은 감시 단계에서 웨이퍼 스페이스 내에서 자동으로 규정될 수 있다. 자동으로 규정된 영역은 매크로 및 마이크로 보호 영역을 포함할 수 있다. 자동으로 규정된 보호 영역은 비-보호 영역을 또한 포함할 수 있다. 또한, 검사 레시피는 감지도를 자동으로 변경하고, 뉴슨스 결함을 필터링하고, 알려진 체계적 결함의 포착을 강화하고(예컨대, 핫 스폿 또는 핫 스폿 영역에 대한 감지도를 강화), 콜드 스폿(cold spot) 영역에 대응하는 결함 신호 또는 데이터를 억제하기 위해 셋업될 수 있다. 또한, 핫 스폿에 관한 정보 및 설계 데이터의 속성은 검사 레시피를 더 낳은 그룹으로 셋업하고, GDS(즉, GDS 패턴 그룹화) 및/또는 GDS 패턴 그룹화 파레토(pareto)를 사용하는 설계 데이터 기반 비닝을 포함할 수 있는 결함 및 샘플 결함 분류 또는 비닝을 위해 사용할 수 있다.In wafer space, information about hot spots (eg, information from a hot spot database) and attributes of design data can be used to set up inspection recipes in the monitoring phase. For example, the attention area can be automatically defined within the wafer space at the monitoring stage. Automatically defined areas may include macro and micro protected areas. Automatically defined protected areas may also include non-protected areas. In addition, inspection recipes automatically change the sensitivity, filter out Newsons defects, enhance the capture of known systematic defects (e.g., enhance the sensitivity to hot spots or hot spot areas), and cold spots. ) Can be set up to suppress the defect signal or data corresponding to the region. In addition, information about hot spots and attributes of the design data include design data based binning using GDS (i.e.GDS pattern grouping) and / or GDS pattern grouping pareto to set up more inspection recipes. Can be used for classification and binning of possible defects and sample defects.

추가의 실시예에서, 상기 방법은 피드백 제어 기술을 사용하여 상기 방법의 1 이상의 단계의 결과에 기초하여 검사 시스템에 의해 수행되는 검사 프로세스의 1 이상의 매개변수를 주기적으로 변경하는 것을 포함할 수 있다. 다른 실시예에서, 상기 방법은 피드백 제어 기술을 사용하여 상기 방법의 1 이상의 단계의 결과에 기초하여 검사 시스템에 의해서 수행되는 검사 프로세스의 1 이상의 매개변수를 자동으로 변경하는 것을 포함할 수 있다. 또한, 감시 단계는 프로세스 영역 차이의 이전의 지식과 조합하여 이전의 계측에 기초하여 검사 레시피 또는 매개변수를 변경하는 단계를 포함하는 검사 프로세스에 대한 자동 프로세스 제어(APC)를 포함할 수 있다. 계측 프로세스에 대한 APC는, 후속 계측에서 수행될 측정에 부가하여 측정이 수행될 위치를 결정하기 위해, 여기에 기술한 임의의 실시예에 따라 식별될 수 있는 체계적 결함에 기초하여 수행될 수 있다. 테스트 프로세스에 대한 APC는, 후속 전기 테스트에서 테스트 될 전기적 매개변수 및 테스트가 수행될 위치를 결정하기 위해, 여기에 기술한 임의의 실시예에 따라 식별될 수 있는 체계적 결함에 기초하여 수행할 수 있다.In a further embodiment, the method may include periodically changing one or more parameters of the inspection process performed by the inspection system based on the results of one or more steps of the method using a feedback control technique. In another embodiment, the method may include using a feedback control technique to automatically change one or more parameters of the inspection process performed by the inspection system based on the results of the one or more steps of the method. In addition, the monitoring step may include an automatic process control (APC) for the inspection process that includes changing inspection recipes or parameters based on previous measurements in combination with previous knowledge of process area differences. APC for the metrology process can be performed based on systematic defects that can be identified in accordance with any of the embodiments described herein to determine where measurements are to be performed in addition to the measurements to be performed in subsequent metrology. The APC for the test process can be performed based on systematic defects that can be identified in accordance with any of the embodiments described herein to determine the electrical parameters to be tested in the subsequent electrical test and where the test is to be performed. .

추가적인 실시예에서, 상기 방법은 그 방법의 1 이상의 단계의 결과를 사용하여 지식 베이스를 생성하는 단계와, 그 지식 베이스를 사용하여 검사 시스템에 의해 수행되는 검사 프로세스를 생성하는 단계를 포함한다. 지식 베이스는 1 이상의 이미지 속성 및/또는 설계 데이터의 1 이상의 속성을 적합한 데이터 구조에 저장함으로써 생성할 수 있다. 또한, 지식 베이스는 검사 프로세스를 생성하기 위해 사용될 수 있는 검사 시스템에 의해 취득한 누적 학습을 포함할 수 있다. 예컨대, 검사 프로세스에 있어서, 지식 베이스는 결함 검출 빈도 및 뉴슨스 결함인 검출 결함의 퍼센티지와 같은 검사의 누적 결과를 결정하는데 사용될 수 있으며, 그러한 누적 결과는 결함이 뉴슨스 결함일 확률과 같은 부가적인 정보를 결정하는데 사용할 수 있다.In a further embodiment, the method includes generating a knowledge base using the results of one or more steps of the method, and generating a test process performed by the inspection system using the knowledge base. The knowledge base can be created by storing one or more image attributes and / or one or more attributes of the design data in a suitable data structure. In addition, the knowledge base can include cumulative learning acquired by an inspection system that can be used to create an inspection process. For example, in the inspection process, a knowledge base can be used to determine cumulative results of the inspection, such as the frequency of defect detection and the percentage of detection defects that are Newson's defects, which cumulative results can be added to additional factors such as the probability that the defect is a Newsons defect. Can be used to determine information.

그러한 지식 베이스는 여기에 추가로 기술하는 바와 같은 검사 프로세스를 생성하는데 사용할 수 있다. 이러한 방식에서, 지식 베이스는 새로운 검사 레시피를 생성하기 위해 사용할 수 있다. 또한, 지식 베이스는 레시피 셋업 및/또는 웨이퍼-리스(wafer-less) 레시피 셋업을 위한 검사 프로세스를 생성하는데 사용할 수 있다. 검사 프로세스를 생성하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 선택하는 단계를 포함할 수 있다. 또한, 지식 베이스는 레시피 최적화 및 자동 레시피 최적화에 의해 검사 프로세스를 변경하는데 사용할 수 있다. 예컨대, 상기 방법은 존재하는 검사 프로세스의 1 이상의 매개변수의 주기적 또는 자동 최적화를 위한 지식 베이스의 트레이닝을 위해 피드백 메커니즘을 사용하는 단계를 포함할 수 있다. 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다.Such knowledge base can be used to create an inspection process as described further herein. In this way, the knowledge base can be used to create new test recipes. In addition, the knowledge base can be used to create inspection processes for recipe setup and / or wafer-less recipe setup. Generating the inspection process may include selecting any one or more parameters of the inspection process. In addition, the knowledge base can be used to modify the inspection process by recipe optimization and automatic recipe optimization. For example, the method may comprise using a feedback mechanism for training the knowledge base for periodic or automatic optimization of one or more parameters of the existing inspection process. Changing the inspection process may include changing any one or more parameters of the inspection process.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 콘텍스트 맵을 사용하여 웨이퍼 상의 레티클 결함의 인쇄 적성을 결정하기 위해 웨이퍼 검사 프로세스를 최적화하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 콘텍스트 맵과 조합하여 CBI를 사용하여 레티클 상에서 검출된 결함의 인쇄 적성을 결정할 목적으로 웨이퍼 검사 프로세스의 최적화를 포함할 수 있다. 웨이퍼 검사 프로세스를 최적화하는 단계는 여기에 기술한 임의의 웨이퍼 검사 프로세스의 임의의 매개변수를 포함할 수 있는, 웨이퍼 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 일반적으로, 웨이퍼 상의 레티클 결함의 인쇄 적성을 결정하는 단계는 레티클 상의 결함에 대응할 수 있는 웨이퍼 상의 결함을 검출하기 위해 웨이퍼를 검사하는 단계를 포함할 수 있다. 이러한 방식에서, 레티클 결함의 인쇄 적성을 결정하기 위해 웨이퍼 검사 프로세스를 최적화하는 단계는 레티클 상의 결함에 대응할 수 있는 웨이퍼 상의 결함을 결정하기 위하여 웨이퍼 검사 프로세스를 최적화하는 단계를 포함할 수 있다.In another embodiment, the method includes optimizing the wafer inspection process to determine the printability of the reticle defect on the wafer using the context map and the location of the inspection data in the design data space. In this manner, the method may include optimization of the wafer inspection process for the purpose of determining the printability of the defects detected on the reticle using the CBI in combination with the context map. Optimizing the wafer inspection process may include changing any one or more parameters of the wafer inspection process, which may include any parameters of any wafer inspection process described herein. In general, determining the printability of the reticle defect on the wafer may include inspecting the wafer to detect a defect on the wafer that may correspond to a defect on the reticle. In this manner, optimizing the wafer inspection process to determine the printability of the reticle defect may include optimizing the wafer inspection process to determine a defect on the wafer that may correspond to a defect on the reticle.

일 예에서, 상기 방법은 레티클 결함의 인쇄 적성을 결정하는데 사용될 수 있는 검사 데이터의 위치를 식별하기 위해서, 여기에 기술하는 바와 같이 결정할 수 있는, 설계 데이터 스페이스 내의 웨이퍼에 대해 취득한 검사 데이터의 위치와, 설계 데이터 스페이스 내의 1 이상의 레티클 결함의 위치를 사용하는 것을 포함할 수 있다. 이러한 방식에서, 웨이퍼에 대해 취득한 검사 데이터 및 레티클 결함의 설계 데이터 스페이스 위치는 레티클 결함에 대응할 수 있는 웨이퍼 상의 결함을 검출하기 위해 사용할 수 있는 검사 데이터의 부위를 결정하기 위해 사용할 수 있다. 콘텍스트 맵에 포함되는 설계 데이터의 임의의 속성은 레티클 결함의 인쇄 적성을 결정하기 위해 웨이퍼 검사 프로세스의 1 이상의 매개변수를 선택하는데 사용할 수 있다. 예컨대, 콘텍스트 맵은 전술한 바와 같이 식별된 검사 데이터의 부위에 대응하는 설계 데이터의 1 이상의 속성을 결정하는데 사용될 수 있다. 이러한 방식에서, 전술한 바와 같이 식별된 검사 데이터의 다른 부위에 대해 사용된 웨이퍼 검사 프로세스의 1 이상의 매개변수는 그 다른 부위에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 선택할 수 있다. 그와 같이, 1 이상의 속성의 다른 값을 갖는 설계 데이터에 대응하는, 전술한 바와 같이 식별된 검사 데이터의 다른 부위는 레티클 결함에 대응할 수 있는 웨이퍼 결함을 검출하기 위해 1 이상의 다른 매개변수에 의해 처리할 수 있다. 그러한 일 예에서, 텍스트 맵은 전술한 바와 같이 식별된 웨이퍼에 대하여 취득한 검사 데이터의 다른 부위에 대응하는 설계 데이터의 임계를 결정하는데 사용할 수 있고, 그 임계는 검사 데이터의 다른 부위 내의 결함을 검출하기 위해 감지도를 결정하는데 사용할 수 있다. 그러한 특정 예에서, 웨이퍼 검사 프로세스의 다른 매개변수가 검사 데이터의 다른 부위에 대해 선택될 수 있어서, 1 이상의 레티클 결함의 인쇄 적성은 설계 데이터의 중요하지 않은 영역보다 설계 데이터의 중요 영역에서 더 높은 정확도로 결정될 수 있다.In one example, the method includes the location of inspection data acquired for a wafer in a design data space, which may be determined as described herein, to identify a location of inspection data that may be used to determine the printability of the reticle defect. , Using the location of one or more reticle defects in the design data space. In this manner, the inspection data acquired for the wafer and the design data space location of the reticle defect can be used to determine the site of inspection data that can be used to detect defects on the wafer that may correspond to the reticle defect. Any attribute of the design data included in the context map can be used to select one or more parameters of the wafer inspection process to determine the printability of the reticle defect. For example, the context map can be used to determine one or more attributes of the design data corresponding to the portions of the inspection data identified as described above. In this manner, one or more parameters of the wafer inspection process used for other portions of the inspection data identified as described above may be selected based on one or more attributes of the design data corresponding to those other portions. As such, other portions of the inspection data identified as described above, corresponding to design data having different values of one or more attributes, are processed by one or more other parameters to detect wafer defects that may correspond to reticle defects. can do. In one such example, the text map can be used to determine a threshold of design data corresponding to another portion of the inspection data acquired for the identified wafer as described above, the threshold being used to detect a defect within another portion of the inspection data. It can be used to determine risk sensitivity. In that particular example, different parameters of the wafer inspection process can be selected for different areas of the inspection data, such that the printability of one or more reticle defects is higher in the critical areas of the design data than in the non-critical areas of the design data. Can be determined.

웨이퍼 검사 프로세스의 1 이상의 매개변수는 설계 데이터 스페이스 내의 검사 데이터의 위치, 콘텍스트 맵, 및 여기에 기술한 임의의 다른 정보에 기초하여 변경 및/또는 최적화될 수 있다. 예컨대, 1 이상의 레티클 결함이 검출되는 설계 데이터의 다른 부위의 1 이상의 속성은 콘텍스트 맵을 사용하여 결정될 수 있고, 레티클 결함이 검출되는 설계 데이터의 다른 부위에 대응하는 검사 데이터의 다른 부위에 대한 웨이퍼 검사 프로세스 매개변수를 선택하기 위해, 다른 부위의 1 이상의 설계 데이터 속성은 레티클 검사 데이터의 1 이상의 속성(예컨대, 1 이상의 레티클 결함의 속성)와 조합하여 사용될 수 있다. 그러한 예에서, 웨이퍼 검사 프로세스의 1 이상의 매개변수는, 실질적으로 동일한 속성을 갖는 설계 데이터의 부위에 위치된 다른 유형의 레티클 결함의 인쇄 적성이 웨이퍼 검사 프로세스의 1 이상의 다른 매개변수에 의해 결정될 수 있도록 선택할 수 있다. 다른 예에서, 웨이퍼 검사 프로세스의 1 이상의 매개변수는, 속성의 다른 값을 갖는 부위에 위치한 동일한 유형의 레티클 결함의 인쇄 적성이 웨이퍼 검사 프로세스의 1 이상의 다른 매개변수에 의해 결정될 수 있도록 선택할 수 있다.One or more parameters of the wafer inspection process may be changed and / or optimized based on the location of the inspection data in the design data space, the context map, and any other information described herein. For example, one or more attributes of another portion of the design data where one or more reticle defects are detected may be determined using a context map, and wafer inspection of other portions of the inspection data corresponding to other portions of the design data where the reticle defects are detected. In order to select process parameters, one or more design data attributes of the other site may be used in combination with one or more attributes of the reticle inspection data (eg, attributes of one or more reticle defects). In such an example, one or more parameters of the wafer inspection process may be such that the printability of other types of reticle defects located at the site of the design data having substantially the same properties may be determined by one or more other parameters of the wafer inspection process. You can choose. In another example, one or more parameters of the wafer inspection process may be selected such that the printability of the reticle defects of the same type located in the site with different values of attributes may be determined by one or more other parameters of the wafer inspection process.

레티클 결함의 인쇄 적성을 결정하기 위하여 웨이퍼 검사 프로세스를 최적화하기 위하여 전술한 바와 같은 실시예에서 사용된 콘텍스트 맵은 여기에 기술한 바와 같이 구성되고, 여기에 기술한 임의의 콘텍스트 맵을 포함할 수 있다. 또한, 콘텍스트 맵에 포함된 임의의 정보는 웨이퍼 검사 프로세스의 1 이상의 매개변수를 변경하기 위해 전술한 실시예에서 사용할 수 있다.The context map used in the embodiment as described above to optimize the wafer inspection process to determine the printability of the reticle defects is configured as described herein and may include any context map described herein. . In addition, any information included in the context map may be used in the embodiments described above to change one or more parameters of the wafer inspection process.

일부 실시예에서, 상기 방법은 검사 데이터를 사용하여 웨이퍼 상에서 검출되는 결함에 기초하여 웨이퍼에 대하여 수행되는 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 예컨대, 테스트 스페이스에서, 감시 단계는 테스트 패턴 및/또는 다른 테스트 매개변수를 규정 또는 수정하기 위해 여기에 기술한 임의의 실시예에 따라 식별된 체계적 결함을 사용하는 단계를 포함할 수 있다. 또한, 검사 데이터를 사용하여 웨이퍼 상에서 검출된 결함은 1 이상의 결함을 전기 테스트 프로세스에 의해 테스트하지 않을지(또는 "전기 테스트 회피")를 결정하고, 1 이상의 결함이 전기 테스트 프로세스에 의해 테스트 되도록 전기 테스트 프로세스가 수행되는 웨이퍼 상의 영역을 규정하는 1 이상의 매개변수를 변경하는데 사용할 수 있다. 이러한 방식에서, 검사 프로세스의 결과는 전기 테스트 프로세스에서 테스트 되지 않은 복수의 결함을 줄이기 위해 전기 테스트 프로세스에 공급될 수 있다. 또한, 전기 테스트 프로세스의 1 이상의 매개변수는, 검사 데이터를 사용하여 웨이퍼 상에서 검출된 결함, 여기에 기술한 바와 같이 결정할 수 있는 설계 데이터 스페이스 또는 웨이퍼 스페이스 내의 결함의 위치, 여기에 기술한 방식으로 결정된 여기에 기술한 결함의 임의의 속성을 포함할 수 있는 결함의 1 이상의 속성, 여기에 기술한 임의의 방식으로 결정된 여기에 기술한 임의의 설계 데이터 속성을 포함할 수 있는 설계 데이터의 1 이상의 속성, 여기에 기술한 임의의 다른 정보, 또는 그것의 일부 조합에 기초하여 변경할 수 있다. 예컨대, 결합의 위치, 결함의 속성, 및 설계 데이터의 속성은 여기에 기술한 1 이상의 결함에 대한 오류 확률 값을 결정하기 위해 사용할 수 있다. 존재하는 전기 테스트 프로세스에 의해 테스트 되지 않을 결함이 비교적 낮은 오류 확률 값을 갖는 경우, 전기 테스트 프로세스의 1 이상의 매개변수는 상기 방법에 의해 변경되지 않을 수 있다. 대조적으로, 존재하는 전기 테스트 프로세스에 의해 테스트 되지 않을 결함이 비교적 높은 오류 확률 값을 갖는 경우, 전기 테스트 프로세스의 1 이상의 매개변수는 비교적 높은 오류 확률 값을 갖는 결함이 전기 테스트 프로세스에 의해 테스트 되도록 변경될 수 있다. 유사한 방식에서, 계측 프로세스의 샘플링과 같은 계측 프로세스의 1 이상의 매개변수가 전술한 바와 같이 선택되거나, 결정되거나, 변경될 수 있다.In some embodiments, the method includes changing one or more parameters of an electrical test process performed on the wafer based on defects detected on the wafer using inspection data. For example, in a test space, the monitoring step may include using systematic defects identified in accordance with any of the embodiments described herein to define or modify test patterns and / or other test parameters. In addition, defects detected on the wafer using inspection data determine whether one or more defects are not tested by an electrical test process (or “electrical test avoidance”), and the electrical test such that one or more defects are tested by an electrical test process. It can be used to change one or more parameters that define the area on the wafer on which the process is performed. In this manner, the results of the inspection process can be fed to the electrical test process to reduce a plurality of defects that have not been tested in the electrical test process. In addition, one or more parameters of the electrical test process may be determined in such a manner that defects detected on the wafer using inspection data, design data spaces or positions of defects within the wafer space that can be determined as described herein, One or more properties of the defects that may include any of the properties of the defects described herein, one or more properties of the design data that may include any of the design data properties described herein, determined in any manner described herein, Changes may be made based on any other information described herein, or some combination thereof. For example, the location of the bond, the nature of the defect, and the nature of the design data can be used to determine the error probability value for one or more of the defects described herein. If a defect that will not be tested by an existing electrical test process has a relatively low error probability value, one or more parameters of the electrical test process may not be changed by the method. In contrast, if a defect that will not be tested by an existing electrical test process has a relatively high error probability value, one or more parameters of the electrical test process are changed such that a defect with a relatively high error probability value is tested by the electrical test process. Can be. In a similar manner, one or more parameters of the metrology process, such as sampling of the metrology process, can be selected, determined, or changed as described above.

설계 데이터에 대한 검사 데이터의 정렬은 웨이퍼 상의 "핫 스폿"의 검사를 가능하게 한다. "핫 스폿"은 킬러 결함(killer defect)이 존재할 수 있는 웨이퍼 상에 인쇄된 설계 데이터 내의 위치로서 일반적으로 규정될 수 있다. 대조적으로, "콜드 스폿"은 뉴슨스 결함이 존재할 수 있는 웨이퍼 상에 인쇄된 설계 데이터 내의 위치로서 일반적으로 규정될 수 있다. 뉴슨스 결함의 일 예는 웨이퍼 상에 형성된 장치의 수율에 실질적으로 영향을 미치지 않지만, 그 위치에 결함이 존재한다는 것을 검사 시스템이 지시하게 야기하는 특징의 임계 치수(CD) 내의 변동이다. 일부 결함은, 결함이 웨이퍼의 다른 층에 형성된 장치 구조에 의해 접촉되는 경우와 같은 특정 상황하에서만 킬러 결함일 수 있다. 따라서, 웨이퍼 상에 인쇄되는 설계 데이터 내에 그러한 결함이 존재할 수 있는 위치는 일반적으로 "조정적 핫 스폿"이라 칭할 수 있다.Alignment of inspection data to design data allows inspection of "hot spots" on the wafer. A "hot spot" can generally be defined as a location in the design data printed on the wafer where a killer defect may be present. In contrast, a "cold spot" can generally be defined as a location in the design data printed on a wafer where there may be a Newsons defect. One example of the Newson's defect is a variation in the critical dimension (CD) of the feature that does not substantially affect the yield of the device formed on the wafer, but causes the inspection system to indicate that there is a defect at that location. Some defects may be killer defects only under certain circumstances, such as when the defects are contacted by device structures formed in other layers of the wafer. Thus, the location where such a defect may exist in the design data printed on the wafer may be generally referred to as an "adjustable hot spot."

추가의 실시예에서, 도 1의 단계 20에 나타낸 바와 같이, 상기 방법은 웨이퍼 상에서 검출된 결함이 뉴슨스 결함인지를 결정하는 단계를 포함한다. 결함이 뉴슨스 결함인지의 여부는 설계 데이터 스페이스 내의 검사 데이터의 위치와 설계 데이터의 1 이상의 속성에 기초하여 결정된다. 예컨대, 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계와, 설계 데이터 스페이스 내의 결함의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 결함이 뉴슨스 결함인지를 결정하는 단계를 포함한다. 이러한 단계에서 뉴슨스 결함을 식별하기 위해 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 예컨대, 설계 데이터의 1 이상의 속성이 콘텍스트 맵 내에 규정될 수 있다. 이러한 방식에서, 상기 방법은 비한정적으로 예컨대, PWQ와 같은 애플리케이션에서 중요하지 않은 것으로 간주된 결함(예컨대, 뉴슨스 결함)을 필터링하기 위해 결함 데이터에 콘텍스트 맵을 인가하는 단계를 포함할 수 있다. 그와 같이, 제조 프로세스의 용량의 한계에 근접하는 설부의 부위는 콘텍스트에 기초하여, 중요한 부위와 중요하지 않은 부위로 분리된다. 다른 예에서, 이러한 단계에서 뉴슨스 결함을 식별하기 위해 사용된 설계 데이터의 속성은 설계 데이터에 대한 핫 스폿 정보를 포함한다. 이러한 방식에서, 설계 데이터 스페이스 내의 결함의 위치 및 핫 스폿 정보는 설계 데이터 내의 콜드 스폿에서 검출된 결함을 뉴슨스 결함으로 식별하는데 사용할 수 있다.In a further embodiment, as shown in step 20 of FIG. 1, the method includes determining whether the defect detected on the wafer is a Newson's defect. Whether the defect is a Newsons defect is determined based on the location of the inspection data in the design data space and one or more attributes of the design data. For example, in some embodiments, the method includes determining a location of a defect in the design data space based on a location of inspection data in the design data space, and a location of the defect in the design data space and one of the design data in the design data space. And determining whether the defect is a Newsons defect based on the above attributes. At this stage, one or more attributes of the design data used to identify the Newsons defect may include any of the attributes described herein. For example, one or more attributes of the design data may be defined in the context map. In this manner, the method may include, but is not limited to, applying a context map to the defect data to filter out defects (eg, Newson's defects) that are considered insignificant in an application such as, for example, PWQ. As such, the areas of the tongue that approach the limit of the capacity of the manufacturing process are separated into important and non-essential areas based on the context. In another example, the attributes of the design data used to identify the Newson's defects at this stage include hot spot information for the design data. In this manner, the location and hot spot information of the defects in the design data space can be used to identify defects detected at cold spots in the design data as Newson's defects.

리소그라피를 위한 PWQ 애플리케이션은 다른 노광량 및 초점 오프셋(즉, 조정된 조사량 및 초점)에서 웨이퍼 상의 다이를 노광시키는 단계와, 설계 취약점 영역을 결정하고, 프로세스 창을 결정하는데 사용될 수 있는 다이 내의 체계적 결함을 식별하는 단계를 일반적으로 포함한다. 리소그라피를 위한 PWQ 애플리케이션의 예는 통상적으로 양도된 미국 특허 출원 일련번호 11/005,658호(2004년 12월 7일 출원; Wu et al.)호 개시되며, 그것은 여기에 완전히 언급한 것과 같이 참고자료로 포함된다. 초점 및 노광 조정의 여러 인공요소는 결함(다이-표준 기준 다이 차분)으로서 나타낼 수 있지만, 실제로 뉴슨스 결함이다. 그러한 인공 요소의 예는 CD 변동 및 라인-단부 풀백(pullback) 또는 그러한 인공 요소가 장치의 수율 또는 성능에 영향을 미치지 않거나 적게 미치는 영역의 단축화를 포함할 수 있다. 하지만, 결함의 위치는 여기에 기술한 방법을 사용하여 설계 레이아웃에 대하여 실질적으로 정확히 결정할 수 있다. 또한, 여기에 기술한 방법은 전술한 바와 같이 비교적 높은 정확도로 보호 영역을 결정하는데 사용할 수 있다. "마이크로" 보호 영역은 알려진 핫 스폿에 집중되어 비교적 높은 감지도로 검사될 수 있거나, 비-주의 영역 또는 비교적 낮은 감지도로 검사되는 영역으로서 알려진 콜드-스폿(체계적 뉴슨스)에 집중될 수 있다.The PWQ application for lithography exposes the die on the wafer at different exposure and focus offsets (ie, adjusted dosage and focus), and systematic defects within the die that can be used to determine the design vulnerability area and determine the process window. Identifying generally includes. An example of a PWQ application for lithography is disclosed in commonly assigned US patent application Ser. No. 11 / 005,658, filed Dec. 7, 2004; Wu et al., Which is incorporated herein by reference in its entirety. Included. Several artifacts of focus and exposure adjustment can be represented as defects (die-standard reference die differentials), but are actually Newson's defects. Examples of such artificial elements may include CD fluctuations and line-end pullbacks or shortening of areas where such artificial elements do not affect or reduce the yield or performance of the device. However, the location of the defect can be determined substantially accurately with respect to the design layout using the method described herein. In addition, the method described herein can be used to determine the protection area with a relatively high accuracy as described above. The “micro” protection areas can be concentrated in known hot spots and inspected at relatively high sensitivity, or can be concentrated in cold spots (systemic sensations) known as non-cautionary or relatively low sensitivity regions.

따라서, 전술한 바와 같이, 상기 방법은 설계 데이터 스페이스에 대한 결함의 위치 및 그 위치가 주의 영역 내에 있는지의 여부에 기초하여 결함이 뉴슨스 결함인지를 결정하는 단계를 포함할 수 있다. 결함은 콘텍스트, 사이즈, 용장, PWQ "룰", 또는 그것의 일부 조합에 따라 필터링될 수 있다. 예컨대, 프로세스 스페이스에서, PWQ 분석 및 DOE 분석은 감시 단계에서 핫 스폿을 사용하여 수행할 수 있다. 또한, 여기에 기술한 방법은 제한된 해상도로 인하여 현재 사용되는 노이즈 필터가 오류가 나는 65nm 설계 룰 이하의 PWQ 애플리케이션까지 연장하는데 사용할 수 있다. 따라서, 여기에 기술한 방법의 하나의 장점은, 상기 방법이 체계적 결함 및 DFM 결함을 검출하기 위하여 BF 검사를 연장시키는데 사용될 수 있다는 것이다. 특히, 여기에 기술한 바와 같이, CBI는 체계적 결함 검사 및/또는 65nm 설계 룰 이하에서의 DFM 애플리케이션과 같은 BF 검사 시스템에 대한 추가적인 기능성을 가능하게 할 수 있다. 상기 방법은 DFM 체계적 결함의 근본 원인의 비교적 신속한 결정을 제공하거나 지원할 수 있다. 근본 원인의 결정은 여기에 추가로 기술하는 바와 같이 수행할 수 있다.Thus, as discussed above, the method may include determining whether the defect is a Newsons defect based on the location of the defect relative to the design data space and whether the location is within the attention area. Defects can be filtered according to context, size, redundancy, PWQ "rules", or some combination thereof. For example, in the process space, PWQ analysis and DOE analysis can be performed using hot spots in the monitoring phase. In addition, the method described here can be used to extend PWQ applications up to 65nm design rules, where current noise filters fail due to limited resolution. Thus, one advantage of the method described herein is that the method can be used to extend BF inspection to detect systematic defects and DFM defects. In particular, as described herein, the CBI may enable additional functionality for BF inspection systems such as systematic defect inspection and / or DFM applications below 65 nm design rules. The method may provide or support a relatively quick determination of the root cause of DFM systematic defects. Determination of the root cause can be performed as further described herein.

다른 실시예에서, 단계 22에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성(전술한 바와 같이 콘텍스트 맵 내에 규정될 수 있는)에 기초하여, 또는 결함의 위치를 목록이나 데이터베이스와 같은 데이터 구조 내에 저장될 수 있는 핫 스폿의 위치와 비교하여, 뉴슨스 결함으로 결정되지 않은 결함이 체계적 또는 랜덤 결함인지를 결정하는 단계를 포함한다. 또한, 관심이 없는 모든 결함이 뉴슨스 결함은 아닐 수 있다. 예컨대, 수율에 대한 영향이 비교적 낮거나 없는 체계적 결함은 뉴슨스 결함이 아니라 관심이 없는 결함일 수 있다. 그러한 결함은 활성 패턴 상에 또는 웨이퍼의 장치 영역에 나타날 수 있다. 여기에 기술한 방법은 그러한 결함을 식별하는 단계를 포함할 수 있다. 그러한 결함, 또는 콜드 스폿에 위치한 결함은 설계 콘텍스트(예컨대, 용장 비어), 모델링(예컨대, 디자인스캔), PWQ, 검사 및 리뷰, 및 테스트와 결함의 상관관계(예컨대, 비교적 낮은 적층 전기적 오류 위치를 갖는 위치에서의 비교적 높은 적층 결함 밀도 등)으로부터 식별될 수 있다. 또한, 이들 결함의 감시는 결함의 위치와 핫 스폿 및 콜드 스폿의 위치를 비교함으로써 수행될 수 있다. 이들 결함이 위치한 패턴이 공통적인 경우, 여기에 기술한 설계 데이터 기반 그룹화 방법을 사용하여 다른 체계적 결함으로부터 개별적으로 비닝될 수 있다. 또한, 체계적 결함의 탐색은 설계로부터의 복수의 입력 소스, 모델링된 결과, 검사 결과, 계측 결과, 및 테스트 및 FA 결과를 상호 연관지음으로써 수행될 수 있다.In another embodiment, as shown in step 22, the method is based on one or more attributes of the design data in the design data space (which may be defined in the context map as described above), or lists the location of the defect or database. And comparing the location of the hot spots that may be stored in a data structure, such as determining whether a defect that is not determined to be a Newson's defect is a systematic or random defect. Also, not all defects of interest may not be Newson's defects. For example, systematic defects with relatively low or no impact on yield may be defects that are of no interest, not Newson's defects. Such defects may appear on the active pattern or in the device region of the wafer. The method described herein may include identifying such a defect. Such defects, or defects located in cold spots, may be associated with design context (eg redundancy vias), modeling (eg design scans), PWQ, inspection and review, and test and defect correlation (eg, relatively low stacked electrical error locations). Relatively high stacking fault density at the location). In addition, monitoring of these defects can be performed by comparing the positions of the defects with the positions of the hot and cold spots. If the patterns in which these defects are located are common, they can be binned separately from other systematic defects using the design data-based grouping method described herein. In addition, the search for systematic defects may be performed by correlating a plurality of input sources from the design, modeled results, inspection results, metrology results, and test and FA results.

체계적 DOI는 모든 패턴 의존적 결함 유형을 포함할 수 있다. 체계적 결함을 식별하는 것은, 결함이 장치에 끼칠 수 있는 영향이 분석될 수 있어서 유리하다. 랜덤 DOI는 중요한 유형의 랜덤 결함의 통계적 샘플을 포함할 수 있다. 중요한 유형의 랜덤 결함을 분석하여 그 결함이 장치에 대하여 끼치는 영향을 결정할 수 있기 때문에, 랜덤 결함을 분석하는 것은 이롭다. 또한, 랜덤 결함을 분석함으로써, 1 이상의 검사 프로세스 매개변수는 뉴슨스 결함으로 고려할 수 있는 랜덤 결함의 검출을 억제하도록 변경될 수 있다. 또한, 검사 프로세스 매개변수는 뉴슨스 결함을 체계적 원인(콜드 스폿)으로부터 구분하도록 변경될 수 있다.The systematic DOI may include all pattern dependent defect types. Identifying systematic defects is advantageous as the effects of the defects on the device can be analyzed. The random DOI may include statistical samples of significant types of random defects. Analyzing random defects is beneficial because it is possible to analyze important types of random defects to determine the effect that defects have on the device. In addition, by analyzing the random defects, one or more inspection process parameters can be changed to suppress the detection of random defects that can be considered as Newson's defects. In addition, the inspection process parameters can be changed to distinguish Newson's defects from systematic causes (cold spots).

웨이퍼 상에서 검출된 결함의 유형과, 다른 유형의 결함이 갖는 수율에의 관련성에 기초하여 수율을 더욱 정확히 예측할 수 있기 때문에, 결함이 뉴슨스 결함, 체계적 결함, 또는 랜덤 결함인지의 결정은 이롭다. 또한, 가능하게는 수율 예측과 조합하여, 여기에 기술한 방법의 결과는 설계 데이터 및 제조 프로세스에 관한 1 이상의 결정을 하는데 사용될 수 있다. 예컨대, 여기에 기술한 방법의 결과는 IC 설계를 입증하는데 사용될 수 있다. 다른 예에서, 여기에 기술한 방법의 결과는 IC 설계 프로세스에 피드백될 수 있어서, 프로세스에 의해 생성된 IC 설계는 더 적은 체계적 결함 및/또는 더 적은 유형의 체계적 결함에 민감할 수 있다. 그러한 일 예에서, 여기에 기술한 방법의 결과는 IC 설계 프로세스에서 사용된 설계 및/또는 광학적 룰을 변경하는데 사용할 수 있다. 또 다른 예에서, 여기에 기술한 방법은 검사되고 있는 웨이퍼 레벨을 제조하기 위해 사용되는 프로세스의 1 이상의 매개변수를 변경하는데 사용될 수 있다. 바람직하게는, 더 적은 체계적 결함 및/또는 더 적은 유형의 체계적 결함, 그리고 가능하게는 더 적은 중요한 랜덤 결함 및/또는 더 적은 유형의 중요 랜덤 결함이 프로세스에 의해 야기되도록, 프로세스의 1 이상의 매개변수가 변경된다.Determination of whether a defect is a Newsons defect, a systematic defect, or a random defect is advantageous because the yield can be predicted more accurately based on the type of defect detected on the wafer and the relevance to the yield of other types of defects. In addition, possibly in combination with yield prediction, the results of the methods described herein can be used to make one or more decisions regarding design data and manufacturing processes. For example, the results of the methods described herein can be used to verify IC design. In another example, the results of the methods described herein can be fed back to the IC design process such that the IC design generated by the process can be sensitive to fewer systematic defects and / or fewer types of systematic defects. In one such example, the results of the methods described herein can be used to modify the design and / or optical rules used in the IC design process. In another example, the method described herein can be used to change one or more parameters of the process used to fabricate the wafer level being inspected. Preferably, one or more parameters of the process such that less systematic defects and / or fewer types of systematic defects, and possibly fewer significant random defects and / or fewer types of significant random defects, are caused by the process. Is changed.

일부 실시예에서, 단계 24에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 1 이상의 결함을 분류하는 단계를 포함한다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치는 설계 데이터 스페이스 내의 검사 데이터의 위치로부터 결정할 수 있다. 또한, 설계 데이터 스페이스 내의 결함의 위치와 연관된 설계 데이터의 1 이상의 속성은 콘텍스트 맵으로부터 또는 여기에 기술한 임의의 다른 방식으로 결정할 수 있으며, 결함의 위치와 연관된 1 이상의 속성은 결함을 분류하는데 사용할 수 있다. 다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 결함에 대응하는 검사 데이터의 부위의 위치와, 여기에 추가로 기술하는 바와 같이, 설계 데이터 스페이스에 걸친 설계 데이터의 1 이상의 속성에 대한 값을 포함할 수 있는 콘텍스트 맵에 기초하여, 웨이퍼의 다른 부위에서 검출된 결함을 분류하는 단계를 포함할 수 있다. 이러한 방식에서, 상기 방법은 결함을 콘텍스트에 의해 분류하기 위해 콘텍스트 맵을 사용할 수 있다. 이러한 단계로 결함을 분류하는 것은 여기에 기술한 임의의 다른 방식으로 수행할 수 있다.In some embodiments, as shown in step 24, the method includes classifying the one or more defects based on the location of the inspection data in the design data space and the one or more attributes of the design data in the design data space. For example, the location of a defect in a design data space can be determined from the location of inspection data in the design data space. In addition, one or more attributes of the design data associated with the position of the defect within the design data space may be determined from the context map or in any other manner described herein, and one or more attributes associated with the position of the defect may be used to classify the defect. have. In another embodiment, the method may include a location of a portion of inspection data corresponding to a defect in a design data space and values for one or more attributes of the design data across the design data space, as described further herein. Based on the context map, which may be, classifying the detected defects in other portions of the wafer may include. In this manner, the method may use a context map to classify the defects by context. Classifying the defects in this step can be performed in any of the other ways described herein.

그러한 일 예에서, 결함 분류는 웨이퍼의 검사 동안에 검사 시스템에 의해서 수행된다. 예컨대, 콘텍스트 맵은 웨이퍼 검사시 여기에 기술한 바와 같이 결함을 분류하기 위해 검사 시스템에 의해 사용될 수 있다. 그러한 다른 실시예에서, 결함 분류는 웨이퍼에 대한 검사 데이터 취득이 완료된 후에 수행된다. 예컨대, 검사 데이터가 오프라인으로 이용가능한 후에, 콘텍스트 맵은 여기에 후술하는 바와 같이, 결함을 분류하기 위해 검사 시스템에 의해 사용될 수 있다. 이러한 방식에서, 상기 방법은 제 2 패스 고 해상도 결함 분류(HRDC)에서 온라인으로(예컨대, 검사 시스템을 사용하여), 또는 HRDC에서 오프라인으로(예컨대, SEM 리뷰 스테이션을 사용하여) 결함을 분류하기 위해 콘텍스트 맵을 사용하는 것을 포함할 수 있다. 통상적으로, 검사 시스템에 의해 온라인으로 수행되든지 또는 리뷰 시스템(광학적 또는 SEM)에서 오프라인으로 수행되든지, 제 2 패스 결함 분류는 결함의 재검출 및 분류를 포함한다. 재검출 및 분류 양쪽은 유저에 의해 수동으로 또는 자동으로(즉, 자동 결함 분류; ADC) 수행할 수 있다. 설계 룰이 축소함에 따라, 오류 물체를 리뷰 프로세스에서 결함으로 식별할 가능성이 증가한다. 설계 데이터 및 콘텍스트 맵은 재검출 및 분류 양쪽에서 유용할 수 있다.In one such example, defect classification is performed by an inspection system during inspection of the wafer. For example, the context map can be used by the inspection system to classify defects as described herein during wafer inspection. In another such embodiment, defect classification is performed after inspection data acquisition for the wafer is completed. For example, after inspection data is available offline, the context map can be used by the inspection system to classify defects, as described below herein. In this manner, the method can be used to classify defects online in a second pass high resolution defect classification (HRDC) (eg using an inspection system) or offline in an HRDC (eg using an SEM review station). It may include using a context map. Typically, whether performed online by an inspection system or offline in a review system (optical or SEM), the second pass defect classification includes redetection and classification of defects. Both redetection and classification can be performed manually or automatically (ie, automatic defect classification; ADC) by the user. As design rules shrink, the probability of identifying a faulty object as a defect in the review process increases. Design data and context maps can be useful for both redetection and classification.

재검출에서, 콘텍스트 맵은 정정한 결함을 유저 또는 시스템이 리뷰 시스템의 시야에 위치시키게 허용하는 결함 근방의 로컬 배경 정보를 제공한다. 예컨대, 리뷰 시스템에 의해 생성된 웨이퍼의 로컬 이미지는 설계 데이터에 정렬될 수 있고, 이에 의해 설계 데이터 스페이스 내의 결함의 위치가 정렬된 로컬 이미지 내에서 실질적으로 정확히 식별되게 허용한다. 또한, 설계 데이터의 시뮬레이션된 이미지는(예컨대, 그레이 스케일 이미지)는 로컬 이미지에 대한 정렬을 위해 리뷰 시스템에 의해 사용될 수 있고, 설계 데이터 스페이스 내의 결함의 위치는 로컬 이미지 내의 결함의 위치를 결정하는데 사용될 수 있다. 그러한 시뮬레이션된 이미지는 리뷰 프로세스에서 미세 정렬 및 결함의 재검출을 위해 사용될 수 있다. 그러한 시뮬레이션의 예는 여기에 완전히 언급된 것과 같이 참고자료로 포함되는 미국 특허 6,581,193호(McGhee et al.)에 개시된다. 여기에 개시된 방법은 그러한 특허문헌에 개시된 방법의 임의의 단계를 포함할 수 있다. 따라서, 여기에 개시된 방법 및 시스템은 비교적 높은 정확도의 결함 검출을 수행하는데 사용할 수 있다.In redetection, the context map provides local background information near the defect that allows the user or system to locate the corrected defect in the field of view of the review system. For example, the local image of the wafer generated by the review system can be aligned to the design data, thereby allowing the location of the defects in the design data space to be identified substantially accurately within the aligned local image. In addition, a simulated image of the design data (eg, a gray scale image) can be used by the review system for alignment to the local image, and the location of the defect in the design data space can be used to determine the location of the defect in the local image. Can be. Such simulated images can be used for fine alignment and redetection of defects in the review process. Examples of such simulations are disclosed in US Pat. No. 6,581,193 (McGhee et al.), Incorporated by reference as if fully set forth herein. The method disclosed herein may include any step of the method disclosed in such a patent document. Thus, the methods and systems disclosed herein can be used to perform relatively high accuracy defect detection.

분류에 있어서, 콘텍스트 맵은 결함이 속한 부류를 결정하기 위해 사용될 수 있는(리뷰에 의해 획득한 데이터와 함께) 부가적인 정보를 제공할 수 있다. 리뷰는 또한 콘텍스트 맵, 리뷰에 의해 획득한 데이터, 및 검사 데이터를 사용하여 수행할 수 있다. 예컨대, 검사 시스템의 시간 지연 통합(TDI) 카메라에 의해 취득한 패치 이미지, 및/또는 검사 시스템에 의해 취득한 패치 이미지는 결함 샘플과 함께 리뷰에 전송될 수 있다. 패치 이미지는 광학적 또는 SEM 리뷰 및 분류를 위한 콘텍스트 맵과 조합하여 사용할 수 있다. 이러한 방식에서, 결함 위치가 전술한 바와 같이 결정될 수 있는 좌표 정밀도는 시스템이 설계 콘텍스트 및/또는 DRC 오류 코드에 기초하여 결함을 실질적으로 정확히 분류할 수 있게 한다.In the classification, the context map can provide additional information (along with data obtained by review) that can be used to determine the class to which the defect belongs. The review can also be performed using the context map, data obtained by the review, and inspection data. For example, a patch image acquired by a time delay integrated (TDI) camera of the inspection system, and / or a patch image acquired by the inspection system may be sent to the review along with the defect sample. Patch images can be used in combination with optical or SEM context maps for review and classification. In this manner, the coordinate precision at which the defect location can be determined as described above allows the system to classify the defect substantially accurately based on the design context and / or DRC error code.

전술한 1 이상의 단계는 검사 결과 및 여기에 기술한 임의의 다른 결과를 사용하여 체계적 결함이 식별되고 분류되는(또는 비닝되는) 감시 단계에서 수행될 수 있다. 감시 단계는 편위 감시 및 베이스라인 향상을 포함할 수 있다. 감시 단계는 제품 램프 및 제조 동안에 수행할 수 있다. 다중 소스 스페이스(설계, 웨이퍼, 레티클, 테스트, 및 프로세스 스페이스의 임의의 것 사이의 상관관계를 포함할 수 있다)에서, 검사에 의해 검출된 체계적 결함을 식별 및 분류하는 단계는 여기에 기술한 단계들의 임의의 조합을 사용할 수 있다. 또한, 1 이상의 다중 소스 스페이스 단계는 그것의 임의의 조합으로 체계적 결함 식별을 입증하는데 사용할 수 있다.One or more of the above steps may be performed in a monitoring step in which systematic defects are identified and classified (or binned) using the test results and any other results described herein. The monitoring phase may include deviation monitoring and baseline enhancement. The monitoring step can be performed during product lamps and manufacturing. In multiple source spaces (which may include correlations between design, wafer, reticle, test, and any of the process spaces), identifying and classifying systematic defects detected by inspection are steps described herein. Any combination of these may be used. In addition, one or more multiple source space steps may be used to verify systematic defect identification in any combination thereof.

또한, 설계 데이터 스페이스 내의 결함의 위치는 감시 단계에서 체계적 결함(예컨대, 핫 스폿 또는 콜드 스폿에 위치한 결함)을 식별하기 위해 검사 데이터, 설계 데이터, 또는 분류 데이터와 결합되어 사용할 수 있다. 식별된 핫 스폿은 핫 스폿 위치에 "히트(hit)"가 존재하는 검사 결과에 대하여 설계 콘텍스트를 결정하기 위해 또한 사용될 수 있으며, 그것은 포스트-처리에서 온(on)-툴, 또는 오프(off)-툴로 수행할 수 있다. 설계 데이터 스페이스와 연관된 수율(또는 KP 값)은 체계적 결함을 감시하기 위한 속성로서 사용될 수 있다. 또한, 1 이상의 결함 속성은 복수의 핫 스폿 후보가 있는 경우 핫 스폿에 대한 연관을 추정하도록 사용할 수 있다.In addition, the location of the defects in the design data space can be used in combination with inspection data, design data, or classification data to identify systematic defects (eg, defects located at hot or cold spots) in the monitoring phase. The identified hot spot can also be used to determine the design context for an inspection result where there is a "hit" at the hot spot location, which is on-tool in post-processing, or off Can be done with the tool The yield (or KP value) associated with the design data space can be used as an attribute to monitor systematic defects. In addition, one or more defect attributes can be used to estimate the association for a hot spot when there are a plurality of hot spot candidates.

레티클 스페이스에서, 감시 단계는 랜덤 결함으로부터 알려진 체계적 결함을 분리하기 위해 결함 결과와 비교될 수 있는 핫 스폿(예컨대, 핫 스폿 리스트의 생성)에 관한 정보를 생성하는 단계를 포함할 수 있다. 또한, 핫 스폿에 대한 콘텍스트 정보와 같은 1 이상의 핫 스폿 속성은 핫 스폿이 복수의 기술, 층, 장치에 걸쳐 공유될 수 있는지, 그리고 그러한 경우, 어느 기술, 층, 또는 장치인지를 결정하기 위해 사용될 수 있다. 또한, 검사에 의해 식별된 체계적 결함은 계측 사이트 위치, 측정치 또는 다른 매개변수와 같은 계측 프로세스의 1 이상의 매개변수를 규정 또는 수정하는데 사용할 수 있다.In the reticle space, the monitoring step may include generating information about hot spots (eg, generating a hot spot list) that can be compared with the defect results to separate known systematic defects from random defects. In addition, one or more hot spot attributes, such as contextual information about a hot spot, may be used to determine whether a hot spot can be shared across multiple technologies, layers, devices, and in which case, which technology, layer, or device. Can be. In addition, systematic defects identified by inspection can be used to define or correct one or more parameters of the metrology process, such as metrology site locations, measurements or other parameters.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 웨이퍼 상에서 검출된 1 이상의 결함에 대한 오류 확률 값을 결정하는 단계를 포함한다. 또한, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 웨이퍼의 다른 위치상에서 검출된 결함의 오류 확률 속성 값을 결정하는 단계를 포함할 수 있다. 여기에 추가로 기술하는 바와 같이, 결함에 대한 오류 확률 값은 결함에 대응하는 검사 데이터의 설계 데이터 스페이스 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 결정할 수 있다.In some embodiments, the method includes determining an error probability value for one or more defects detected on the wafer based on the location of inspection data in the design data space and one or more attributes of the design data in the design data space. . Further, the method may include determining an error probability attribute value of a defect detected on another position of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. . As further described herein, an error probability value for a defect may be determined based on the design data space location of the inspection data corresponding to the defect and one or more attributes of the design data in the design data space.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상에서 검출된 결함의 위치 좌표를 결정하는 단계와, 그 결함의 위치 좌표를 설계 데이터에 대한 평면도에 기초하여 설계 셀 좌표로 변환하는 단계를 포함한다. 그러한 일 실시예에서, 상기 방법은 오버레이 공차(overlay tolerance)를 사용하여 결함 주위의 다른 영역을 결정하는 단계와, 그 영역을 사용하여 1 이상의 셀 유형에 대하여 결함 리피터 분석을 수행하여, 1 이상의 셀 유형이 체계적으로 결함 있는 셀 유형인지를 결정하고, 체계적으로 결함 있는 셀 유형 내의 1 이상의 체계적으로 결함 있는 구조의 1 이상의 위치를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 리피터 분석을 위하여 셀-기반 좌표를 사용하는 것을 포함할 수 있다. 특히, 결함 리피터 분석은 오버레이 공차를 사용하여(예컨대, 각 결함 주위의 2차원 영역) 각각의 셀 유형에 대하여 수행되어, 체계적으로 결함 있는 셀 유형의 존재와, 그 셀 내의 체계적으로 결함 있는 구조의 위치를 결정할 수 있다. 또한, 상기 방법은 셀 콘텍스트에 기초한 결함의 셀-기반 비닝을 포함할 수 있다. 그러한 비닝은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 그러한 일 실시예에서, 상기 방법은 체계적으로 결함 있는 셀 유형에 가까이 위치한 셀에 대한 설계 데이터의 1 이상의 속성, 구조 또는 그것의 일부 조합에 기초하여, 체계적으로 결함 있는 셀 유형 내에 공간적으로 체계적인 결함이 발생하는지를 결정하는 단계를 포함한다. 이러한 방식에서, 공간적으로 체계적인 결함 있는 셀의 설계 콘텍스트(주변 셀 또는 구조)는 공간적으로 체계적인 결함의 출현을 추가로 특정하기 위한 속성로서 사용할 수 있다.In another embodiment, the method includes determining position coordinates of a defect detected on a wafer in the design data space based on a position of inspection data in the design data space, and determining the position coordinates of the defect based on a plan view for the design data. Converting to design cell coordinates. In one such embodiment, the method uses overlay tolerance to determine another area around the defect and uses the area to perform defect repeater analysis on one or more cell types, thereby providing one or more cells. Determining whether the type is a systematically defective cell type, and determining one or more locations of the one or more systematically defective structures within the systematically defective cell type. In this manner, the method may include using cell-based coordinates for repeater analysis. In particular, defect repeater analysis is performed for each cell type using overlay tolerances (e.g., two-dimensional areas around each defect) to systematically determine the presence of the defective cell type and the systematically defective structure within that cell. The location can be determined. The method may also include cell-based binning of defects based on cell context. Such binning can be performed as further described herein. In one such embodiment, the method is based on one or more attributes, structures, or some combination thereof, of design data for a cell located systematically close to the defective cell type. Determining if it occurs. In this way, the design context (peripheral cell or structure) of a spatially systematic defective cell can be used as an attribute to further specify the appearance of a spatially systematic defect.

다른 실시예에서, 단계 26에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함(예컨대, 결함의 전부 또는 일부)을 그룹으로 비닝하는 단계를 포함한다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치는 여기에 기술한 바와 같이 설계 스페이스 내의 검사 데이터의 위치로부터 결정할 수 있다. 결함을 비닝 하는데 사용된 설계 데이터의 1 이상의 속성은 설계 데이터 스페이스 내의 결함의 위치에 기초하여 결정할 수 있다. 본 실시예에서 사용된 설계 데이터의 1 이상의 속성은 다른 검사 결과(예컨대, iDO(integrated defect organizer) 결과 및 iADC(integrated automatic defect classification) 결과)와 조합하여, 설계 데이터(예컨대, 수율 영향)와 연관된 값과 같은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 설계 데이터의 결함의 위치와 연관된 설계 데이터의 1 이상의 속성은 콘텍스트 맵으로부터 결정할 수 있다. 이러한 방식에서, 상기 방법은 결함을 콘텍스트로 분류하기 위해 웨이퍼 검사 동안에 검출된 결함에 콘텍스트 맵을 인가하는 단계를 포함할 수 있다.In another embodiment, as shown in step 26, the method identifies defects (eg, all or part of the defects) based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Binning into groups. For example, the location of a defect in the design data space can be determined from the location of inspection data in the design space as described herein. One or more attributes of the design data used to bin the defect may be determined based on the location of the defect in the design data space. One or more attributes of the design data used in this embodiment are associated with the design data (eg, yield impact), in combination with other inspection results (eg, integrated defect organizer (iDO) results and integrated automatic defect classification (iADC) results). It can include any attribute of the design data described herein, such as a value. In addition, one or more attributes of the design data associated with the location of the defect in the design data may be determined from the context map. In this manner, the method may include applying a context map to the defects detected during wafer inspection to classify the defects into contexts.

따라서, 여기에 기술한 상기 방법은 웨이퍼 검사에 대해 콘텍스트-기반 백그라운드 비닝을 포함할 수 있다. 예컨대, 전술한 바와 같이, 상기 방법은 콘텍스트에 의해 결함을 비닝하기 위해 콘텍스트 맵을 사용할 수 있다. 그러한 일 예에서, 뉴슨스 필터링 후에 남아 있는 결함은 랜덤 결함보다 체계적 결함인 결함을 식별하기 위해 콘텍스트 또는 여기에 기술한 다른 정보에 의해 분류될 수 있다. 콘텍스트는 비닝 및 분류를 수행하기 위해 결함과 연관된 다른 이미지-유도 속성와 연계하여 사용될 수 있다.Thus, the method described herein may include context-based background binning for wafer inspection. For example, as described above, the method may use a context map to bin defects by context. In one such example, defects remaining after Newsons filtering may be classified by context or other information described herein to identify defects that are systematic defects rather than random defects. Context can be used in conjunction with other image-derived attributes associated with defects to perform binning and classification.

또한, 결함은 결함의 기대되는 전기적 매개변수 및/또는 설계 데이터 스페이스 내의 결함 위치에 가까운 장치 특징의 기대되는 전기적 매개변수에 기초하여 비닝될 수 있다. 결함 및 장치 특징의 기대되는 전기적 매개변수는 이전의 전기 테스트, 결함의 전기적 매개변수의 시뮬레이션, 결함의 리뷰, 또는 것의 일부 조합에 기초하여 결정될 수 있다. 또한, 1 이상의 결함에 대한 오류 시뮬레이션(fault simulation)은 결함이 비닝되는 그룹 및/또는 설계 데이터 스페이스 내의 결함의 위치에 기초할 수 있다.In addition, defects may be binned based on expected electrical parameters of the defect and / or expected electrical parameters of the device feature close to the defect location in the design data space. The expected electrical parameters of the defects and device features can be determined based on previous electrical tests, simulations of electrical parameters of the defects, review of the defects, or some combination of them. In addition, fault simulation of one or more defects may be based on the group of defects binned and / or the location of the defect in the design data space.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 설계 데이터가 인쇄되는 레티클에 대해 요구되는 레티클 검사 데이터의 1 이상의 속성에 기초하여 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 레티클 검사 데이터는 비닝 속성로서 사용할 수 있다. 특히, 레티클 검사 데이터 속성은 웨이퍼 상에서 검출된 결함의 비닝에 사용할 수 있다. 본 실시예에서, 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 레티클 검사 데이터의 1 이상의 속성은 레티클 상에서 검출된 결함, 레티클 스페이스 내의 레티클 상에서 검출된 결함의 위치, 레티클 상에서 검출된 1 이상의 속성, 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성, 또는 그것의 일부 조합과 같은 레티클 검사 데이터의 임의의 속성을 포함할 수 있다. 레티클 상에서 검출된 결함의 1 이상의 속성은 여기에 기술한 임의의 결함 속성을 포함할 수 있다. 또한, 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성은 여기에 기술한 임의의 설계 데이터 속성을 포함할 수 있다.In some embodiments, the method is based on a location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the reticle inspection data required for the reticle to which the design data is printed. Binning into groups. In this manner, the reticle inspection data can be used as binning attributes. In particular, the reticle inspection data attribute can be used to bin the defects detected on the wafer. In this embodiment, one or more attributes of the design data may include any attribute of the design data described herein. One or more attributes of the reticle inspection data may include a defect detected on the reticle, the location of the defect detected on the reticle within the reticle space, one or more attributes detected on the reticle, one or more attributes of the design data printed on the reticle, or some combination thereof. It may include any attribute of the reticle inspection data, such as. One or more attributes of the defect detected on the reticle may include any of the defect attributes described herein. In addition, one or more attributes of the design data printed on the reticle may include any of the design data attributes described herein.

레티클 검사 데이터의 속성은 여기에 기술한 방법 및 시스템 실시예에 의해 임의의 적합한 방식으로 결정할 수 있다(예컨대, 레티클 검사 시스템의 출력을 사용하여). 대안적으로, 또는 추가하여, 레티클 검사 데이터의 속성은 속성이 저장되는 저장 매체 및/또는 속성을 결정한 레티클 검사 시스템으로부터 여기에 기술한 방법 및 시스템에 의해 취득할 수 있다.The attributes of the reticle inspection data can be determined in any suitable manner by the method and system embodiments described herein (eg, using the output of the reticle inspection system). Alternatively, or in addition, the attributes of the reticle inspection data may be obtained by the method and system described herein from the storage medium on which the attributes are stored and / or the reticle inspection system that determined the attributes.

레티클 검사 데이터의 1 이상의 속성에 적어도 부분적으로 기초하여 결함을 비닝하는 단계는, 결함이 레티클 상의 결함, 웨이퍼 상의 결함을 야기한 레티클 결함의 1 이상의 속성, 및 웨이퍼 상의 결함을 야기할 수 있는 레티클 상에 인쇄된 설계 데이터의 1 이상의 속성에 야기되는지에 기초하여 결함을 분리하는데 사용할 수 있다. 그와 같이, 결과의 비닝은 결함의 원인 및/또는 레티클이 결함 및/또는 웨이퍼 상에 인쇄된 설계 데이터에 어떻게 영향을 끼치는지에 관한 추가적인 정보를 제공할 수 있다. 그러한 비닝 결과는 레티클 제조 프로세스의 1 이상의 매개변수, 레티클 검사 프로세스의 1 이상의 매개변수, 임의의 다른 레티클-관련 또는 설계-관련 프로세스의 1 이상의 매개변수, 여기에 기술한 임의의 다른 프로세스의 1 이상의 매개변수, 또는 그것의 일부 조합을 변경하기 위해 사용하는데 이롭다. 본 실시예에서의 결함의 비닝은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 레티클 검사 데이터의 1 이상의 속성, 및 여기에 기술한 임의의 다른 정보에 기초하여 수행할 수 있다.Binning the defect based at least in part on the one or more attributes of the reticle inspection data may be characterized by one or more attributes of the reticle that caused the defect on the reticle, the defect on the wafer, and the defect on the wafer. It can be used to isolate defects based on whether they are caused by one or more attributes of printed design data. As such, binning of the results may provide additional information about the cause of the defect and / or how the reticle affects the defect and / or design data printed on the wafer. Such binning results may include one or more parameters of the reticle manufacturing process, one or more parameters of the reticle inspection process, one or more parameters of any other reticle-related or design-related process, one or more of any other process described herein. It is useful to use to change parameters, or some combination thereof. The binning of the defects in this embodiment is performed based on the location of inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the reticle inspection data, and any other information described herein. can do.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 검사 데이터의 1 이상의 속성에 기초하여 결함을 그룹으로 비닝하는 단계를 포함할 수 있다. 이러한 방식에서, 검사 데이터로부터 도출된 1 이상의 속성은 비닝 연산에 사용할 수 있다. 본 실시예에서, 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 비닝을 위해 사용된 검사 데이터의 1 이상의 속성은 여기에 기술한 검사 데이터의 임의의 속성을 포함할 수 있다. 결함은 여기에 기술한 임의의 다른 정보를 사용하여 본 실시예에서 비닝될 수 있다. 본 실시예에서의 비닝은 여기에 추가로 기술하는 바와 같이 수행할 수 있다.In another embodiment, the method may include binning the defects into groups based on a location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. . In this manner, one or more attributes derived from the inspection data can be used for binning operations. In this embodiment, one or more attributes of the design data may include any attribute of the design data described herein. In addition, one or more attributes of the inspection data used for binning may include any of the attributes of the inspection data described herein. Defects can be binned in this embodiment using any other information described herein. Binning in this embodiment can be performed as further described herein.

추가의 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 검사 데이터의 1 이상의 속성, 및 설계 데이터가 그 위에 인쇄되는 레티클에 대하여 요구되는 레티클 검사 데이터의 1 이상의 속성에 기초하여 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 레티클 검사 데이터는 비닝 속성로서 사용할 수 있다. 특히, 레티클 검사 데이터 속성은 웨이퍼 상의 결함 비닝에 사용할 수 있다. 본 실시예에서의 비닝을 위해 사용된 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 본 실시예에서의 비닝을 위해 사용된 검사 데이터의 1 이상의 속성은 여기에 기술한 검사 데이터의 임의의 속성을 포함할 수 있다. 본 실시예에서의 비닝을 위해 사용된 레티클 검사 데이터의 1 이상의 속성은 여기에 기술한 레티클 검사 데이터의 임의의 속성을 포함할 수 있다. 본 실시예에서의 비닝은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 본 실시예의 비닝 결과는 여기에 기술한 임의의 방법의 임의의 단계를 수행하는데 사용할 수 있다.In a further embodiment, the method comprises a reticle required for the location of inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, and a reticle on which the design data is printed. Binning the defects into groups based on one or more attributes of the inspection data. In this manner, the reticle inspection data can be used as binning attributes. In particular, the reticle inspection data attribute can be used for defect binning on the wafer. One or more attributes of the design data in the design data space used for binning in this embodiment may include any attribute of the design data described herein. One or more attributes of the inspection data used for binning in this embodiment may include any attribute of the inspection data described herein. One or more attributes of the reticle inspection data used for binning in this embodiment may include any attribute of the reticle inspection data described herein. Binning in this embodiment can be performed as further described herein. In addition, the binning results of this embodiment can be used to perform any step of any of the methods described herein.

일부 실시예에서, 상기 방법은, 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 검사 데이터의 1 이상의 속성, 및 웨이퍼에 대한 검사 데이터가 취득된 프로세스 계층, 다른 프로세스 계층 또는 그것의 일부 조합에 있어서, 설계 데이터, 다른 설계 데이터 또는 그것의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼 또는 그것의 일부 조합에 대하여 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여, 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 동일하거나 다른 웨이퍼, 동일하거나 다른 설계, 및 동일하거나 다른 프로세스 계층에 대하여 이전에 수집된 검사 데이터로부터 결정된 속성이 비닝 연산에 포함될 수 있다. 이전에 수집된 검사 데이터는 데이터 구조에 저장되거나, 여기에 추가로 기술하는 바와 같이 구성될 수 있는 지식 베이스에 포함될 수 있다. 이러한 방식에서, 이전에 취득한 검사 데이터의 1 이상의 속성은 누적 학습 데이터, 이력 데이터, 또는 데이터의 트레이닝 세트로부터 결정될 수 있다. 본 실시예에서, 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 비닝을 위해 사용된 검사 데이터의 1 이상의 속성은 여기에 기술한 검사 데이터의 임의의 속성을 포함할 수 있다. 결함은 여기에 기술한 임의의 다른 정보를 사용하여 본 실시예에서 비닝될 수 있다. 본 실시예에서의 비닝은 여기에 추가로 기술하는 바와 같이 수행할 수 있다.In some embodiments, the method includes a location of inspection data in a design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, and a process hierarchy from which inspection data has been acquired for the wafer, another process. Grouping defects in a hierarchy or some combination thereof, based on one or more attributes of inspection data previously acquired for a wafer, another wafer, or some combination thereof, for design data, other design data, or some combination thereof. Binning. In this manner, attributes determined from previously collected inspection data for the same or different wafers, the same or different designs, and the same or different process layers may be included in the binning operation. Test data previously collected may be stored in a data structure or included in a knowledge base that may be configured as further described herein. In this manner, one or more attributes of previously acquired test data may be determined from cumulative learning data, historical data, or a training set of data. In this embodiment, one or more attributes of the design data may include any attribute of the design data described herein. In addition, one or more attributes of the inspection data used for binning may include any of the attributes of the inspection data described herein. Defects can be binned in this embodiment using any other information described herein. Binning in this embodiment can be performed as further described herein.

전술한 임의의 실시예에서 비닝은 온-툴, 오프-툴, 또는 그것의 임의의 조합으로 수행할 수 있다.In any of the embodiments described above, binning can be performed on-tool, off-tool, or any combination thereof.

추가적인 실시예에서, 단계 28에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 설계 데이터의 위치와, 다른 검사 결과(예컨대, iDO 결과 및 iADC 결과)와 조합하여, 설계 데이터와 연관된 수율 영향과 같은 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 리뷰를 위해 결함의 적어도 일부를 선택하는 단계를 포함할 수 있다. 리뷰를 위한 결함을 선택하는데 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 설계 데이터 스페이스 내의 검사 데이터의 위치는, 여기에 기술하는 바와 같이 결함에 대응하는 설계 데이터의 속성 결정에 사용할 수 있는, 여기에 기술하는 바와 같은 설계 데이터 스페이스 내의 결함의 위치 결정에 사용할 수 있다. 그러한 일부 실시예에서, 뉴슨스 결함은 여기에 기술한 바와 같이 다른 결함으로부터 필터링할 수 있으며, DOI(또는 비-뉴슨스 결함)는 리뷰 또는 추가의 분석을 위해 유지될 수 있다. 다른 실시예에서, 결함 리스트 및 식별된 핫 스폿, 결함 및 핫 스폿의 분류, 및 설계 콘텍스트는 감시 단계에서 리뷰 샘플링(서브-샘플링을 포함할 수 있다)을 개선하는데 사용될 수 있으며, 이는 온-툴 또는 포스트-처리 동안 오프-툴로 수행할 수 있다.In a further embodiment, as shown in step 28, the method combines the location of the design data within the design data space and other inspection results (eg, iDO results and iADC results) to design a design such as a yield impact associated with the design data. Based on one or more attributes of the design data in the data space, the method may include selecting at least a portion of the defect for review. One or more attributes of the design data used to select the defect for review may include any of the attributes of the design data described herein. In addition, the position of the inspection data in the design data space can be used for positioning the defect in the design data space as described herein, which can be used for the attribute determination of the design data corresponding to the defect as described herein. . In some such embodiments, the Newson's defect can be filtered from other defects as described herein, and the DOI (or non-Newson's defect) can be maintained for review or further analysis. In another embodiment, the defect list and the identified hot spots, classification of defects and hot spots, and design context can be used to improve review sampling (which may include sub-sampling) in the monitoring phase, which is an on-tool Or off-tool during post-processing.

다른 실시예에서, 리뷰를 위한 결함 선택은 비닝 결과의 함수로서 수행된다. 예컨대, 일부 그룹에서의 결함은 리뷰를 위해 선택할 수 있지만, 다른 그룹에서의 결함은 리뷰를 위해 선택되지 않을 수 있다. 다른 예에서, 결함의 일부 그룹은 다른 그룹보다 더욱 과도하게 샘플링될 수 있다(즉, 일부 그룹으로부터 더 많은 결함이 리뷰를 위해 선택될 수 있다). 샘플링된 결함의 그룹 및 그룹이 샘플링되는 정도는 예컨대, 각 그룹과 연관된 설계의 1 이상의 속성 또는 결함의 그룹과 연관된 여기에 기술한 임의의 다른 정보에 기초하여 결정될 수 있다. 리뷰를 위한 결함의 선택은 결함 또는 결함 빈(defect bin)과 연관된 수율 관련성의 함수로서 수행할 수 있다. 예컨대, 결함의 모집단(population)은 랜덤 결함 및 체계적 결함으로 분할되고, 각각의 다른 결함 유형에 대하여 다른 샘플 플랜이 사용될 수 있다. 이러한 방식에서, 다른 유형의 결함에 대한 샘플링 전략은 매우 상이할 수 있다.In another embodiment, defect selection for review is performed as a function of binning results. For example, defects in some groups may be selected for review while defects in other groups may not be selected for review. In another example, some groups of defects may be sampled more heavily than other groups (ie, more defects from some groups may be selected for review). The group of sampled defects and the degree to which the groups are sampled may be determined, for example, based on one or more attributes of the design associated with each group or any other information described herein associated with the group of defects. The selection of defects for review can be performed as a function of yield relevance associated with defects or defect bins. For example, a population of defects may be divided into random defects and systematic defects, and different sample plans may be used for each different defect type. In this way, sampling strategies for other types of defects can be very different.

일부 실시예에서, 상기 방법은, 설계 데이터의 1 이상의 속성의 다른 값을 갖는 설계 데이터 스페이스 내의 설계 데이터의 각 부위 내에 위치한 적어도 하나의 결함을 포함하는, 리뷰를 위한 결함의 적어도 일부를 선택하는 단계를 포함할 수 있다. 이러한 방식에서, 설계 데이터의 각각의 다른 부위 내의 결함이 리뷰를 위해 샘플링될 수 있다. 예컨대, 각각의 결함의 콘텍스트는, 결함이 검출된 모든 콘텍스트가 리뷰 샘플로 표현되는 것을 보장하는 리뷰 샘플을 생성하기 위해서, 리뷰를 위한 결함을 분류하는데(예컨대, 콘텍스트의 임계에 의해) 사용할 수 있다.In some embodiments, the method further comprises selecting at least a portion of the defect for review, including at least one defect located within each portion of the design data in the design data space having another value of one or more attributes of the design data. It may include. In this manner, defects in each other portion of the design data can be sampled for review. For example, the context of each defect may be used to classify the defects for review (eg, by threshold of context) to produce a review sample that ensures that all contexts in which the defect was detected are represented by a review sample. .

추가의 실시예에서, 단계 30에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치와, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 결함이 리뷰되는 시퀀스를 결정하는 단계를 포함한다. 예컨대, 상기 방법은 오프라인 리뷰(예컨대, 광학적 또는 SEM 리뷰)를 위한 우선순위에 기초하여 결함을 분류하기 위해 콘텍스트 맵을 사용하는 것을 포함할 수 있다. 각 결함의 콘텍스트는 체계적 결함 및 잠재적인 체계적 결함이 다른 결함 유형보다 더 높은 우선 순위가 주어지도록 리뷰를 위한 결함을 분류할 수 있다(예컨대, 콘텍스트의 임계에 의해).In a further embodiment, as shown in step 30, the method includes determining a sequence in which defects are reviewed based on the location of inspection data in the design data space and one or more attributes of the design data in the design data space. Include. For example, the method may include using a context map to classify defects based on priorities for offline review (eg, optical or SEM review). The context of each defect may classify the defects for review so that systematic and potential systematic defects are given a higher priority than other defect types (eg, by the context's threshold).

웨이퍼 상의 모든 지점에서의 검사 데이터의 서브-픽셀 정렬을 제공하기 위해, 웨이퍼 상의 다이에 걸친 샘플 지점에서 검사 데이터 스트림을 소정의 정렬 사이트에 정렬하는 것은 여러 이점을 제공한다. 예컨대, 미가공 데이터 스트림은 설계 데이터에 실질적으로 정확히 정렬되기 때문에, 설계 데이터 스페이스 내의 결함 위치는 서브-픽셀 정확도(예컨대, 100nm 이하 정확도 대 현재 달성가능한 1000nm 정확도)로 결정될 수 있다. 실질적으로 높은 정확도의 결함 위치는 임의의 후속 리뷰 프로세스의 정밀도와, SEM 또는 FIB 시스템에서 결함이 위치되고, 촬상되고, 분석될 수 있는 속도를 크게 개선할 수 있다. 또한, 결함과 관련된 콘텍스트 정보는, 제 2 패스 리뷰에서의 검사 시스템 또는 오프라인에서의 SEM 또는 광학적 리뷰 스테이션에서 수행할 수 있는 HRDC 단계에서 사용할 수 있다. 그러한 정보는, 자동 또는 수동으로 결함을 위치시키는 것을 도울 수 있는 결함에 관한 다른 로컬 콘텍스트 정보에 부가하여, ADL(automatic defect location)과 같은 다른 시스템에 제공되거나 그것에 의해 취득될 수 있다. 또한, 리뷰 시스템은 측정 매개변수 하의 시스템 및 웨이퍼에 대해 적절한 물리적 좌표 변환에 대한 로직을 생성하는데 그러한 정보를 사용할 수 있다.In order to provide sub-pixel alignment of inspection data at all points on the wafer, aligning the inspection data stream at a given alignment site at a sample point across the die on the wafer provides several advantages. For example, because the raw data stream is aligned substantially precisely with the design data, the defect location within the design data space can be determined with sub-pixel accuracy (eg, less than 100 nm accuracy versus 1000 nm accuracy currently achievable). Substantially high accuracy of defect location can greatly improve the precision of any subsequent review process and the speed at which defects can be located, imaged and analyzed in the SEM or FIB system. In addition, the contextual information related to the defect can be used in an HRDC step that can be performed in the inspection system in the second pass review or in an off-line SEM or optical review station. Such information may be provided to or obtained by another system, such as an automatic defect location (ADL), in addition to other local context information about the defect that may help to locate the defect automatically or manually. In addition, the review system may use such information to generate logic for the appropriate physical coordinate transformations for the wafer and the system under measurement parameters.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여, 웨이퍼의 다른 부위에 대해 취득한, 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 소정의 속성을 추출하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치 및 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성에 기초하여 검사 데이터 영역(예컨대, 검사되는 영역의 특정 서브세트)에 대한 소정의 신호 또는 이미지 속성을 추출하는 단계를 포함할 수 있다. 1 이상의 검출기로부터의 출력의 추출 속성은 예컨대, 다른 부위에서의 픽셀에 대한 신호 또는 이미지의 휘도 또는 표준 편차를 포함할 수 있다. 또한, 웨이퍼는 설계 데이터에 대응하는 패턴이 그 위에 인쇄된 패터닝된 웨이퍼일 수 있다. 따라서, 출력의 속성은 웨이퍼 상에 형성된 패턴에 대응하는 출력에 관한 정보에 기초하여 추출할 수 있다. 또한, 웨이퍼 상에 형성된 패턴 내의 구조에 관한 정보는 1 이상의 검출기로부터의 출력으로부터 추출할 수 있다.In some embodiments, the method comprises one of the outputs from one or more detectors of the inspection system, acquired for other portions of the wafer, based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. And extracting the above predetermined attribute. In this manner, the method includes a predetermined signal or image for an inspection data area (eg, a particular subset of the area being inspected) based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. And extracting the attribute. The extraction attribute of the output from one or more detectors may include, for example, the luminance or standard deviation of the signal or image relative to the pixel at other sites. The wafer may also be a patterned wafer with a pattern corresponding to the design data printed thereon. Thus, the attributes of the output can be extracted based on the information about the output corresponding to the pattern formed on the wafer. In addition, information about the structure in the pattern formed on the wafer can be extracted from the output from one or more detectors.

검출기로부터의 출력의 추출된 속성은 웨이퍼의 다른 부위에 걸친 속성의 이미지를 생성하는데 사용할 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼의 표면의 "설계 인식 이미지(design aware image)"를 생성하는 단계를 포함할 수 있다. 이미지는 계측에 의해 결정할 수 있는 웨이퍼의 속성와 같은 웨이퍼의 1 이상의 속성을 결정하는데 사용할 수 있다. 이러한 방식에서, 검사 시스템은 설계 데이터 또는 설계 데이터에 대한 레이아웃에 기초하여 실질적으로 정확히 규정된 위치에서의 1 이상의 검출기로부터의 출력의 속성을 추출함으로써 계측 도구와 유사하게 사용될 수 있다. 따라서, 웨이퍼의 다른 부위는 본 실시예에서는 계측 사이트로서 본질적으로 처리될 수 있다. 또한, 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 추출된 소정 속성은 공동 소유된 미국 특허 출원 일련번호 제60/772,418호(Kirk et al.; 2006년 2월 9일 출원)에 기재된 단계와 같은 1 이상의 단계를 수행하는데 사용할 수 있으며, 그 특허 문헌은 여기에 완전히 언급한 것과 같이 참고자료로 포함된다.The extracted attribute of the output from the detector can be used to generate an image of the attribute across different portions of the wafer. In this manner, the method may include generating a "design aware image" of the surface of the wafer. The image can be used to determine one or more properties of the wafer, such as properties of the wafer that can be determined by metrology. In this way, the inspection system can be used similarly to metrology tools by extracting the attributes of the output from one or more detectors at substantially precisely defined locations based on the design data or the layout for the design data. Thus, other portions of the wafer can be treated essentially as metrology sites in this embodiment. In addition, one or more extracted predetermined attributes of the output from one or more detectors of the inspection system may be prepared by the steps described in co-owned US patent application Ser. No. 60 / 772,418 (Kirk et al., Filed Feb. 9, 2006). The same may be used to perform one or more steps, the patent documents of which are incorporated by reference as if fully set forth herein.

본 실시예에서 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 그러한 일 실시예에서, 설계 데이터의 1 이상의 속성은, 웨이퍼에 대한 검사 데이터가 취득된 프로세스 계층, 다른 프로세스 계층, 또는 그것의 일부 조합에 있어서, 설계 데이터, 다른 설계 데이터, 또는 그것의 일부 조합에 대하여, 웨이퍼, 다른 웨이퍼, 또는 그것의 조합에 대한 이전에 취득한 검사 데이터의 1 이상의 속성에 기초하여 선택된다. 이러한 방식에서, 본 실시예에서 사용된 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성은 동일하거나 다른 프로세스 계층에 대한 동일하거나 다른 설계에 대해 동일한 웨이퍼 또는 다른 웨이퍼로부터의 이전에 수집된 검사 데이터의 속성와의 상관관계에 기초하여 선택할 수 있다. 이전에 수집한 설계 데이터는 데이터 구조에 저장되거나, 여기에 기술한 바와 같이 구성될 수 있는 지식 베이스에 포함될 수 있다. 이러한 방식에서, 설계 데이터의 1 이상의 속성은 누적 학습, 이력 데이터, 또는 데이터의 트레이닝 세트에 기초하여 본 실시예에서 선택될 수 있다.One or more attributes of the design data used in this embodiment may include any attribute of the design data described herein. In one such embodiment, one or more attributes of the design data may be applied to the design data, other design data, or some combination thereof, in the process layer, other process layer, or some combination thereof from which the inspection data for the wafer was obtained. Is selected based on one or more attributes of previously acquired inspection data for a wafer, another wafer, or a combination thereof. In this manner, one or more attributes of the design data in the design data space used in this embodiment may differ from attributes of previously collected inspection data from the same wafer or another wafer for the same or different designs for the same or different process layers. You can choose based on the correlation. Previously collected design data may be stored in a data structure or included in a knowledge base that may be configured as described herein. In this manner, one or more attributes of the design data may be selected in this embodiment based on cumulative learning, historical data, or training set of data.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치, 설계 데이터 스페이스 내의 설계 데이터의 1 이상의 속성, 및 검사 데이터의 1 이상의 속성에 기초하여 웨이퍼의 다른 부위에 대해 취득된 검사 시스템의 1 이상의 검출기로부터의 출력의 1 이상의 소정의 속성을 추출하는 단계를 포함한다. 본 실시예에 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다. 또한, 검사 데이터의 1 이상의 속성은 여기에 기술한 검사 데이터의 임의의 속성을 포함할 수 있다. 예컨대, 일 실시예에서, 검사 데이터의 1 이상의 속성은 1 이상의 이미지 노이즈 속성, 1 이상의 결함이 다른 부위에서 검출되었는지, 또는 그것의 일부 조합을 포함한다. 이러한 방식에서, 검사 데이터의 1 이상의 속성은 비한정적으로 이미지 노이즈 특징 및/또는 검사 데이터 영역에서의 결함의 검출/비-검출을 포함할 수 있다. 출력의 1 이상의 소정의 속성을 추출하는 것은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 출력의 추출된 속성은 여기에 추가로 기술하는 바와 같이 사용할 수 있다.In another embodiment, the method further comprises one of the inspection system acquired for another portion of the wafer based on the location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. Extracting at least one predetermined attribute of the output from the at least detector. One or more attributes of the design data used in this embodiment may include any attribute of the design data described herein. In addition, one or more attributes of the inspection data may include any attribute of the inspection data described herein. For example, in one embodiment, the one or more attributes of the inspection data include one or more image noise attributes, whether one or more defects were detected at other sites, or some combination thereof. In this manner, one or more attributes of the inspection data may include, but are not limited to, detection / non-detection of image noise features and / or defects in the inspection data area. Extracting one or more predetermined attributes of the output may be performed as further described herein. In addition, the extracted attributes of the output can be used as described further herein.

여기에 기술한 상기 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법의 각각의 실시예는 여기에 기술한 임의의 다른 시스템에 의해 수행할 수 있다.Each embodiment of the method described herein may include any other step of any of the methods described herein. In addition, each embodiment of the method described above may be performed by any other system described herein.

도 15는 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하기 위한 컴퓨터-구현 방법의 다른 실시예를 도시한다. 도 15에 도시된 단계는 상기 방법의 실시예 필수적인 것이 아님을 유념해야 한다. 도 15에 도시한 방법으로부터 1 이상의 단계가 배제될 수 있고, 그 방법은 여전히 본 실시예의 범주 내에서 실시할 수 있다.15 illustrates another embodiment of a computer-implemented method for determining the location of inspection data in a design data space. It should be noted that the steps shown in FIG. 15 are not essential to the embodiment of the method. One or more steps may be excluded from the method shown in FIG. 15, and the method may still be implemented within the scope of this embodiment.

도 15에 도시한 방법은 CBI에 대해 일반적으로 사용될 수 있다. 본 실시예에서, 데이터 준비 단계(42)는 데이터베이스(44)를 생성하는 단계를 포함한다. 데이터베이스(44)는 설계 데이터에 대한 CAD 레이아웃과, 설계 데이터에 대한 콘텍스트 계층을 포함한다. 데이터베이스(44)는 당업계에서 알려진 임의의 적합한 구성을 가질 수 있고, 여기에 기술한 임의의 다른 데이터 또는 정보를 포함할 수 있다. 또한, 데이터베이스(44) 내의 데이터는 임의의 다른 적합한 데이터 구조 내에 저장될 수 있다. 데이터베이스(44)는 GDSII 파일(48) 및 콘텍스트 계층(50)을 입력으로 사용하여 소프트웨어(46)에 의해 생성될 수 있다. 소프트웨어(46)는 당업계에 알려진 임의의 적합한 소프트웨어를 포함할 수 있다. 일반적으로, 소프트웨어는 GDSII 파일 및 콘텍스트 계층을 사용하여 데이터베이스를 생성하기 위해 프로세서(도 15에서는 도시 생략되었지만, 여기에 추가로 기술하는 바와 같이 구성될 수 있는) 상에서 실행될 수 있는 프로그램 명령어(도 15에는 도시 생략)로서 구성될 수 있다. 콘텍스트 계층(50)은 당업계에서 알려진 임의의 방식으로 취득 또는 생성될 수 있고, 여기에 기술한 임의의 콘텍스트 정보 또는 데이터를 포함할 수 있다. 또한, GDSII 파일(48)은 설계 데이터가 저장되는 임의의 다른 적합한 데이터 구조로 대체할 수 있다.The method shown in FIG. 15 can be used generally for CBI. In this embodiment, data preparation step 42 includes creating a database 44. Database 44 includes a CAD layout for design data and a context hierarchy for design data. Database 44 may have any suitable configuration known in the art and may include any other data or information described herein. In addition, the data in database 44 may be stored in any other suitable data structure. The database 44 may be created by the software 46 using the GDSII file 48 and the context layer 50 as input. The software 46 may include any suitable software known in the art. In general, software may use program instructions (shown in FIG. 15) that may be executed on a processor (not shown in FIG. 15, but may be configured as described further herein) to create a database using a GDSII file and context hierarchy. Not shown). Context layer 50 may be acquired or generated in any manner known in the art, and may include any context information or data described herein. In addition, the GDSII file 48 may be replaced with any other suitable data structure in which design data is stored.

도 15에 도시한 방법은 레시피 셋업 단계(52)를 또한 포함한다. 레시피 셋업 단계(52)는 정렬 정보(56)를 결정하기 위해 수행될 수 있는 단계(54)를 포함한다. 단계(54)는 여기에 추가로 기술하는 바와 같이 구성되는 검사 시스템에 의해 수행될 수 있는, 웨이퍼 상의 다이를 스캐닝하는 단계를 포함할 수 있다. 단계(54)는 웨이퍼를 스캐닝하여 취득한 정보를 사용하여 웨이퍼 상의 정렬 사이트를 선택하는 단계를 또한 포함할 수 있다. 웨이퍼 상의 정렬 사이트는 여기에 기술하는 바와 같이 선택된다. 또한, 웨이퍼 상의 정렬 사이트는 검사 스와스 레이아웃 정보(58) 및 여기에 추가로 기술하는 바와 같은 임의의 다른 적합한 정보에 기초하여 선택될 수 있다. 검사 스와스 레이아웃 정보는 여기에 기술한 임의의 스와스 정보를 포함할 수 있고, 여기에 기술하는 바와 같이 결정할 수 있다. 웨이퍼 상의 정렬 사이트의 선택은 여기에 추가로 기술하는 바와 같이 자동, 반-자동 (또는 유저-지원) 또는 수동으로 수행할 수 있다.The method shown in FIG. 15 also includes a recipe setup step 52. Recipe setup step 52 includes step 54, which may be performed to determine alignment information 56. Step 54 may include scanning a die on a wafer, which may be performed by an inspection system configured as further described herein. Step 54 may also include selecting an alignment site on the wafer using the information obtained by scanning the wafer. The alignment site on the wafer is selected as described herein. In addition, the alignment site on the wafer can be selected based on the inspection swath layout information 58 and any other suitable information as described further herein. The inspection swath layout information may include any swath information described herein and may be determined as described herein. The selection of alignment sites on the wafer can be performed automatically, semi-automatically (or user-assisted) or manually as described further herein.

단계(54)는 이미지를 렌더링하는 단계 또는 데이터베이스(44) 내의 CAD 레이아웃 정보로부터 웨이퍼 상의 정렬 사이트에 대응하는 다른 적합한 데이터를 취득하는 단계를 포함할 수 있다. 예컨대, 단계(54)는, 적합한 데이터 또는 이미지를 렌더링하거나, 웨이퍼 상의 정렬 사이트에 정렬될 수 있는 특정 특징의 중심과 같은 구조 특징 속성의 값을 연산하기 위하여, 웨이퍼 상의 선택된 정렬 사이트에 대응하는 CAD 패치(60)를 사용하는 단계를 포함할 수 있다. 단계(54)는 웨이퍼 상의 정렬 사이트의 CAD 레이아웃 정보로부터 획득한 정보로의 (x, y) 매핑을 연산하는 단계를 또한 포함할 수 있다. 정렬 정보(56)는 소정의 정렬 사이트에 대한 데이터 및 설계 데이터 스페이스 내의 소정의 정렬 사이트의 (x, y) 위치를 포함한다.Step 54 may include rendering an image or obtaining other suitable data corresponding to the alignment site on the wafer from the CAD layout information in the database 44. For example, step 54 may correspond to a CAD corresponding to the selected alignment site on the wafer to render the appropriate data or image, or to compute a value of a structural feature attribute, such as the center of a particular feature that may be aligned to the alignment site on the wafer. It may include using the patch 60. Step 54 may also include calculating an (x, y) mapping from the CAD layout information of the alignment site on the wafer to the information obtained. Alignment information 56 includes the data for a given alignment site and the (x, y) location of the given alignment site in the design data space.

도 15에 도시한 방법은 웨이퍼 검사 단계(62)를 포함할 수 있다. 웨이퍼 검사 단계(62)는 초기화 단계(64) 및 구동 단계(66)를 포함할 수 있다. 단계(68)에 나타낸 바와 같이, 초기화 단계(64) 동안에, 상기 방법은 소정의 정렬 사이트에 대한 정보 및 설계 데이터 스페이스 내의 소정의 정렬 사이트의 (x, y) 위치를 포함하는 정렬 정보(56)를 프리로딩(preloading) 하는 단계를 포함할 수 있다. 단계(70)에 나타낸 바와 같이, 초기화 단계는 데이터베이스(44)로부터 콘텍스트 계층(72)을 프리로딩하는 단계를 또한 포함할 수 있다. 초기화 단계는 단계(74)에 도시한 바와 같이, 다각형으로부터 픽셀로 소정의 정렬 사이트에 대한 데이터를 렌더링하는 단계를 또한 선택적으로 포함할 수 있으며, 이는 여기에 기술하는 바와 같이 수행될 수 있다. 콘텍스트 계층(72)은 여기에 기술한 임의의 콘텍스트 정보를 포함할 수 있다.The method shown in FIG. 15 may include a wafer inspection step 62. Wafer inspection step 62 may include an initialization step 64 and a drive step 66. As shown in step 68, during the initialization step 64, the method includes alignment information 56 including information about the given alignment site and the (x, y) location of the given alignment site in the design data space. It may include the step of preloading (preloading). As shown in step 70, the initializing step may also include preloading the context layer 72 from the database 44. The initialization step may also optionally include rendering data for a given alignment site from polygon to pixel, as shown in step 74, which may be performed as described herein. Context layer 72 may include any context information described herein.

구동 단계(66) 동안에, 단계(76)에 나타낸 바와 같이, 상기 방법은 설계 데이터 스페이스로의 검사 데이터의 정렬 및 매핑을 수행하는 단계를 포함한다. 이러한 단계는 웨이퍼의 검사 동안에 수행할 수 있다. 정렬 및 매핑은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 구동 단계는, 단계(78)에 도시한 바와 같이 콘텍스트 맵에 매핑을 인가하는 단계를 또한 포함할 수 있다. 콘텍스트 데이터는 여기에 추가로 기술하는 바와 같이 매핑될 수 있다. 구동 단계는, 단계(80)에 나타낸 바와 같이, 콘텍스트 맵을 검사 동안에 검사 데이터에 인가하는 단계를 포함할 수 있고, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 또한, 구동 단계는, 단계(82)에 나타낸 바와 같이, 결함 좌표를 콘텍스트 맵에 매핑하는 단계를 포함할 수 있고, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 구동 단계는, 검출 데이터를 콘텍스트에 의해 필터링하는 단계, 결함을 분류하는 단계, 리뷰 샘플을 생성하는 단계, 여기에 기술한 다른 단계, 또는 그것의 일부 조합을 포함할 수 있는 추가적인 단계(84)를 포함할 수 있다. 각각의 추가적인 단계(84)는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 도 15에 도시한 방법의 각각의 실시예는 여기에 기술한 임의의 다른 단계를 포함할 수 있다. 또한, 도 15에 도시한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템에 의해 수행할 수 있다.During the driving step 66, as shown in step 76, the method includes performing alignment and mapping of inspection data into the design data space. This step can be performed during inspection of the wafer. Alignment and mapping may be performed as described further herein. The driving step may also include applying a mapping to the context map as shown in step 78. Context data may be mapped as described further herein. The driving step may include applying a context map to the inspection data during the inspection, as shown in step 80, which may be performed as described herein. The driving step may also include mapping the defect coordinates to the context map, as shown at step 82, which may be performed as described herein. The act of driving includes an additional step 84 which may include filtering detection data by context, classifying defects, generating a review sample, other steps described herein, or some combination thereof. It may include. Each additional step 84 may be performed as further described herein. Each embodiment of the method shown in FIG. 15 may include any other steps described herein. In addition, each embodiment of the method shown in FIG. 15 may be performed by any of the systems described herein.

여기에 기술한 것과 같은 방법을 구현하는 프로그램 명령어는 캐리어 매체(carrier medium)를 통해 전송되거나 그것에 저장될 수 있다. 캐리어 매체는 ROM, RAM, 자기 또는 광 디스크, 또는 자기 테이프와 같은 저장 매체일 수 있다.Program instructions implementing methods such as those described herein may be transmitted over or stored on a carrier medium. The carrier medium may be a storage medium such as a ROM, RAM, magnetic or optical disk, or magnetic tape.

도 16은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템의 각종 실시예를 도시한다. 일 실시예에서, 시스템은 설계 데이터(도 16에서는 도시 생략)를 포함하는 저장 매체(86)를 포함한다. 저장 매체(86)는 여기에 기술한 임의의 다른 데이터 및 정보를 또한 포함할 수 있다. 저장 매체는 전술한 저장 매체 또는 당업계에 알려진 임의의 다른 적합한 저장 매체를 포함할 수 있다. 본 실시예에서, 시스템은 저장 매체(86)에 결함되는 프로세서(88)를 또한 포함한다. 프로세서(88)는 당업계에서 알려진 임의의 방식으로 저장 매체에 결합될 수 있다. 본 실시예에서, 시스템은 프로세스, 검사, 계측, 리뷰 또는 다른 툴의 일부를 구성하지 않는 독립 시스템으로서 구성될 수 있다. 그러한 실시예에서, 프로세서(88)는 "유선" 및/또는 "무선" 부분을 포함할 수 있는 전송 매체에 의해, 다른 시스템으로부터 데이터를 수신 및/또는 취득하도록 구성할 수 있다. 이러한 방식에서, 전송 매체는 프로세서와 다른 시스템 사이의 데이터 링크(link)로서 작용할 수 있다. 또한, 프로세서(88)는 전송 매체를 통하여 다른 시스템에 데이터를 전송할 수 있다. 그러한 데이터는 예컨대, 설계 데이터, 콘텍스트 데이터, 여기에 기술한 방법의 결과, 검사 레시피 또는 다른 레시피, 또는 그것의 일부 조합을 포함할 수 있다.16 illustrates various embodiments of a system configured to determine the location of inspection data within a design data space. In one embodiment, the system includes a storage medium 86 that includes design data (not shown in FIG. 16). Storage medium 86 may also include any other data and information described herein. Storage media may include the storage media described above or any other suitable storage media known in the art. In this embodiment, the system also includes a processor 88 that is faulty to the storage medium 86. Processor 88 may be coupled to storage media in any manner known in the art. In this embodiment, the system may be configured as an independent system that does not form part of a process, inspection, instrumentation, review, or other tool. In such embodiments, the processor 88 may be configured to receive and / or obtain data from another system by a transmission medium that may include "wired" and / or "wireless" portions. In this manner, the transmission medium can act as a data link between the processor and another system. In addition, the processor 88 may transmit data to another system via a transmission medium. Such data may include, for example, design data, context data, the results of the methods described herein, inspection recipes or other recipes, or some combination thereof.

프로세서(88)는 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬식 프로세서, 또는 당업계에 알려진 임의의 다른 장치를 포함하는 각종 형태를 취할 수 있다. 일반적으로, 용어 "컴퓨터 시스템"은 메모리 메체로부터의 명령어를 실행하는 1 이상의 프로세서를 갖는 임의의 장치를 포함하도록 넓게 규정될 수 있다.Processor 88 may take various forms, including a personal computer system, mainframe computer system, workstation, image computer, parallel processor, or any other device known in the art. In general, the term “computer system” may be broadly defined to include any device having one or more processors that execute instructions from a memory medium.

하지만, 다른 실시예에서, 시스템은 검사 시스템(90)을 포함한다. 검사 시스템(90)은 웨이퍼(92) 상의 정렬 사이트에 대한 데이터와, 웨이퍼에 대한 검사 데이터를 취득하도록 구성된다. 검사 시스템을 포함하는 시스템의 실시예에서, 프로세서(88)는 당업계에 알려진 임의의 방식으로 검사 시스템에 결합될 수 있다. 예컨대, 프로세서(88)는 그 프로세서가 검출기에 의해 생성된 검사 데이터 및 웨이퍼 상의 정렬 사이트에 데이터를 수신할 수 있도록, 검사 시스템(90)의 검출기(94)에 결합될 수 있다. 또한, 프로세서는 이미지 데이터 및 신호와 같은 검출기의 임의의 다른 출력을 수신할 수 있다. 또한, 검사 시스템이 이상의 검출기를 포함하는 경우, 프로세서는 전술한 바와 같이 각각의 검출기에 결합될 수 있다.However, in other embodiments, the system includes an inspection system 90. The inspection system 90 is configured to acquire data for alignment sites on the wafer 92 and inspection data for the wafer. In an embodiment of a system that includes an inspection system, processor 88 may be coupled to the inspection system in any manner known in the art. For example, the processor 88 may be coupled to the detector 94 of the inspection system 90 such that the processor may receive inspection data generated by the detector and data at the alignment site on the wafer. In addition, the processor may receive any other output of the detector, such as image data and signals. In addition, when the inspection system includes an anomaly detector, the processor may be coupled to each detector as described above.

프로세서(88)는 웨이퍼 상의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터를 소정의 정렬 사이트에 대한 데이터에 정렬하도록 구성된다. 프로세서는 여기에 기술한 임의의 실시예에 따라 데이터를 정렬하도록 구성될 수 있다. 프로세서(88)는 설계 데이터 스페이스 내의 소정의 정렬 사이트의 위치에 기초하여 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하도록 또한 구성된다. 프로세서는 여기에 기술한 임의의 실시예에 따라 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치를 결정하도록 구성될 수 있다. 또한, 프로세서(88)는 설계 데이터 스페이스 내의 웨이퍼 상의 정렬 사이트의 위치에 기초하여, 설계 데이터 스페이스 내의 검사 시스템에 의해 웨이퍼에 대하여 취득한 검사 데이터의 위치를 결정하도록 구성된다. 프로세서는 여기에 기술한 임의의 실시예에 따라 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성될 수 있다. 프로세서는 여기에 기술한 임의의 방법 실시예의 다른 단계를 수행하도록 구성될 수 있다.The processor 88 is configured to align the data obtained by the inspection system with respect to the alignment site on the wafer to the data for the predetermined alignment site. The processor may be configured to sort the data according to any of the embodiments described herein. The processor 88 is also configured to determine the position of the alignment site on the wafer within the design data space based on the position of the predetermined alignment site in the design data space. The processor may be configured to determine the location of the alignment site on the wafer within the design data space in accordance with any of the embodiments described herein. The processor 88 is also configured to determine the position of the inspection data acquired for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. The processor may be configured to determine the location of inspection data within the design data space in accordance with any of the embodiments described herein. The processor may be configured to perform other steps of any method embodiment described herein.

일 실시예에서, 검사 시스템(90)은 광원(96)을 포함한다. 광원(96)은 당업계에 알려진 임의의 적합한 광원을 포함할 수 있다. 광원(96)은 광을 빔 스플리터(98)로 진행시키도록 구성될 수 있다. 빔 스플리터(98)는 광원(96)으로부터의 광을 실질적으로 수직인 입사각도에서 웨이퍼(92)로 진행시키도록 구성될 수 있다. 빔 스플리터(98)는 당업계에 알려진 임의의 적합한 광학 요소를 포함할 수 있다. 웨이퍼(92)로부터 반사된 광은 빔 스플리터(98)를 통과하여 검출기(94)로 진행한다. 검출기(94)는 당업계에 알려진 임의의 적합한 검출기를 포함할 수 있다. 검출기(94)에 의해 생성된 출력은 웨이퍼(92) 상의 결함을 검출하는데 사용될 수 있다. 예컨대, 프로세서(88)는 검출기에 의해 생성된 출력을 사용하여 웨이퍼(92) 상의 결함을 검출하도록 구성될 수 있다. 프로세서는 웨이퍼 상의 결함을 검출하기 위해 당업계에서 알려진 임의의 방법 및/또는 알고리즘을 사용할 수 있다. 검사 동안에, 웨이퍼(92)는 스테이지(100) 위에 배치된다. 스테이지(100)는 당업계에 알려진 적합한 기계적 및/또는 로봇 어셈블리를 포함할 수 있다. 도 16에 도시한 검사 시스템은 당업계에 알려진 임의의 다른 적합한 요소(도시 생략)를 포함할 수 있다.In one embodiment, the inspection system 90 includes a light source 96. The light source 96 may include any suitable light source known in the art. Light source 96 may be configured to direct light to beam splitter 98. Beam splitter 98 may be configured to direct light from light source 96 to wafer 92 at a substantially perpendicular angle of incidence. Beam splitter 98 may include any suitable optical element known in the art. Light reflected from the wafer 92 passes through the beam splitter 98 and proceeds to the detector 94. Detector 94 may include any suitable detector known in the art. The output generated by the detector 94 can be used to detect defects on the wafer 92. For example, processor 88 may be configured to detect defects on wafer 92 using the output generated by the detector. The processor may use any method and / or algorithm known in the art to detect defects on the wafer. During the inspection, the wafer 92 is placed over the stage 100. Stage 100 may comprise a suitable mechanical and / or robotic assembly known in the art. The inspection system shown in FIG. 16 may include any other suitable element (not shown) known in the art.

도 16에 도시한 바와 같이, 검사 시스템은 웨이퍼로부터 거울 반사된 광을 검출하도록 구성된다. 이러한 방식에서, 도 16에 도시한 검사 시스템은 BF 검사 시스템으로서 구성된다. 하지만, 검사 시스템은 DF 검사 시스템, EC 검사 시스템, 어퍼처 모드 검사 시스템, 또는 당업계에 알려진 임의의 다른 광학 검사 시스템으로서 구성된 검사 시스템으로 대체할 수 있다. 또한, 도 16에 도시한 검사 시스템은 웨이퍼로 향하는 광의 입사각도 및/또는 웨이퍼로부터 광이 수집되는 각도를 변경함으로써 DF 검사를 수행하도록 구성될 수 있다. 다른 예에서, 검사 시스템은,그 검사 시스템은 EC 모드 검사 및/또는 검사의 어퍼처 모드를 수행할 수 있도록, 어퍼처와 같은 1 이상의 광학 요소(도시 생략)가 조명 경로 및 수집 경로 내에 위치할 수 있도록 구성될 수 있다.As shown in FIG. 16, the inspection system is configured to detect mirror reflected light from the wafer. In this manner, the inspection system shown in FIG. 16 is configured as a BF inspection system. However, the inspection system may be replaced with an inspection system configured as a DF inspection system, an EC inspection system, an aperture mode inspection system, or any other optical inspection system known in the art. In addition, the inspection system shown in FIG. 16 may be configured to perform DF inspection by changing the angle of incidence of light directed to the wafer and / or the angle at which light is collected from the wafer. In another example, the inspection system may include one or more optical elements (not shown) such as apertures within the illumination path and collection path, such that the inspection system may perform an EC mode inspection and / or aperture mode of inspection. It can be configured to be.

또한, 도 16에 도시한 광학적 검사 시스템은 KLA-Tencor사로부터 입수가능한 2360, 2365, 2371 및 23xx와 같은 상업적으로 입수가능한 검사 시스템을 포함할 수 있다. 다른 실시예에서, 도 16에 도시한 광학 검사 시스템은 전자 빔 검사 시스템으로 대체할 수 있다. 도 16에 도시한 시스템에 포함될 수 있는, 상업적으로 입수가능한 전자 빔 검사 시스템의 예는 KLA-Tencor로부터의 eS25, eS30 및 eS31 시스템을 포함한다. 도 16에 도시한 시스템의 실시예는 여기에 기술하는 바와 같이 추가로 구성할 수 있다. 또한, 시스템은 여기에 기술한 임의의 방법 실시예의 임의의 다른 단계를 수행하도록 구성될 수 있다. 도 16에 도시한 시스템의 실시예는 전술한 방법 실시예의 모든 이점을 갖는다.In addition, the optical inspection system shown in FIG. 16 may include commercially available inspection systems such as 2360, 2365, 2371 and 23xx available from KLA-Tencor. In another embodiment, the optical inspection system shown in FIG. 16 may be replaced with an electron beam inspection system. Examples of commercially available electron beam inspection systems that may be included in the system shown in FIG. 16 include the eS25, eS30 and eS31 systems from KLA-Tencor. The embodiment of the system shown in FIG. 16 can be further configured as described herein. In addition, the system may be configured to perform any other step of any method embodiment described herein. The embodiment of the system shown in FIG. 16 has all the advantages of the method embodiments described above.

전술한 방법 및 시스템은 웨이퍼 상의 정렬 사이트에 대하여 취득한 데이터(예컨대, BF 패치 이미지)를 소정의 정렬 사이트(예컨대, GDSII 파일)에 대한 데이터와 정렬함으로써, 검사 데이터와 설계 데이터의 정렬을 일반적으로 수행한다. 여기에 기술한 추가적인 방법 및 시스템은 검사 데이터와 설계 데이터 사이의 정렬을 일반적으로 수행하고, 통계적 기술(예컨대, 패치 이미지 또는 SEM 이미지를 사용하지 않은)과 같은 기술을 사용하여 다른 결함 사이의 유사성을 결정한다.The methods and systems described above generally perform alignment of inspection data and design data by aligning data (e.g., BF patch images) acquired for alignment sites on a wafer with data for a given alignment site (e.g., GDSII files). do. Additional methods and systems described herein generally perform alignment between inspection data and design data, and use techniques such as statistical techniques (eg, no patch image or SEM image) to provide similarity between different defects. Decide

여기에 기술한 실시예는 콘텍스트-기반 셋업, 검사, 비닝, 리뷰, 측정, 테스트, 분석, 또는 그것의 일부 조합에 대하여 사용될 수 있다. 실시예에 사용된 콘텍스트 데이터는 설계 데이터베이스 또는 파일(예컨대, GDS 파일, OASIS 파일, 오픈 액세스(open access) 파일, 네트-리스트(net-list) 등); 프로세스 시뮬레이션 결과; 전기적 시뮬레이션 결과; POI(pattern of interest); 핫 스폿 정보(예컨대, OPC, 전기 테스트 결과, 검사 결과); 프로세스 툴 데이터(워크 인 프로그레스(work in progress); 또는 그것의 일부 조합과 같은 데이터 구조로 저장된 설계에 관한 정보 또는 설계 데이터를 포함할 수 있다. 또한, 실시예는 여기에 기술한 실시예에 의해 생성된 결과에 기초하여 1 이상의 결함 및/또는 1 이상 그룹의 결함의 수율 영향을 예측하는 단계를 포함할 수 있다. 수율 영향을 예측하는 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 여기에 기술한 실시예는 실행가능한, 수율 관련 정보를 비교적 빠르게 제공하기 위해 유리하게 사용될 수 있다.Embodiments described herein may be used for context-based setup, inspection, binning, review, measurement, test, analysis, or some combination thereof. The context data used in the embodiments may be a design database or file (eg, GDS file, OASIS file, open access file, net-list, etc.); Process simulation results; Electrical simulation results; Pattern of interest (POI); Hot spot information (eg, OPC, electrical test results, inspection results); It may include design data or information about the design stored in a data structure, such as process tool data (work in progress) or some combination thereof. Predicting a yield impact of one or more defects and / or one or more groups of defects based on the results produced by the step of predicting the yield impact may be performed as further described herein. In addition, the embodiments described herein may be advantageously used to provide relatively fast, executable, yield related information.

여기에 기술한 정보는 결함 위치 좌표를 정밀하지 않게 결정(즉, 실제 결함은 보고된 좌표 근방에 위치할 수 있지만 보고된 좌표에 정확히 위치하지는 않음)하는 검사 시스템에 의해 검출된 결함을 그룹화하는데 사용될 수 있다. 예컨대, 여기에 기술한 방법 및 시스템은 패턴을 서로에 대하여 정렬시키는 것을 시도함으로써, 보고된 결함 위치에 가까운 것과 적어도 유사한 패턴을 검색하는데 사용할 수 있고, 이에 의해 검사 시스템에 의해 보고된 결함 좌표가 완벽하게 정확하지 않은 경우에도 결함의 개선된 그룹화를 허용한다. 다른 예에서, 보고된 결함 위치에 가까운 취득된 리뷰 이미지(예컨대, SEM 이미지) 또는 검사 이미지는, 웨이퍼 스페이스 내의 결함의 실제 위치(검사에 의해 보고된 결함의 위치와 대조적인)와 결함에 가까운 설계 데이터의 정확한 표현을 결정하기 위해, 설계 데이터와 비교되거나 그것과 오버레이될 수 있다. 적어도 유사한 패턴의 모든 인스턴스는 설계 데이터 내에서 식별되고(패턴의 회전되고, 플립(flip)되고, 또는 다르게는 기울어진 인스턴스를 포함하여), 패턴 그룹으로 비닝된다. 전술한 바와 같이 결정된 웨이퍼 스페이스 내의 실제 결함 위치는 패턴 그룹에 대한 위치와 비교되고, 소정의 공차 내에서 패턴 그룹에 대한 위치에 위치되는 결함은 그룹으로 비닝된다. 결함의 그러한 그룹화는 온-툴 또는 오프-툴로 수행될 수 있고, 여기에 기술한 방법의 성능을 향상시킬 수 있다(예컨대, 검사에 의해 보고된 결함 위치 좌표에 좌표 부정확성이 존재하는 경우 검색 범위를 줄인다) 특히, 좌표 부정확성에서, 보고된 검사 좌표에 기초하여 결정된 소스 패턴은 대략적인 소스 패턴(패턴이 고립되거나, 결함의 좌표가 실질적으로 정확히 존재하지 않는 한)이다. 물론, 여기에 기술한 실시예는 고정밀도 검사 시스템에 의해 생성된 검사 결과로 사용될 수 있다.The information described here can be used to group defects detected by inspection systems that inaccurately determine defect location coordinates (ie, actual defects may be located near the reported coordinates but not exactly at the reported coordinates). Can be. For example, the methods and systems described herein can be used to search for patterns that are at least similar to those reported near the reported defect locations by attempting to align the patterns with respect to each other, whereby the defect coordinates reported by the inspection system are perfect. This allows for improved grouping of defects even when they are not accurate. In another example, the acquired review image (eg, SEM image) or inspection image close to the reported defect location is a design close to the defect and the actual location of the defect within the wafer space (as opposed to the location of the defect reported by the inspection). To determine the exact representation of the data, it can be compared to or overlaid with the design data. At least all instances of a similar pattern are identified in the design data (including rotated, flipped, or otherwise tilted instances of the pattern) and binned into a group of patterns. The actual defect locations in the wafer space determined as described above are compared with the positions for the pattern group, and the defects located at the positions for the pattern group within a predetermined tolerance are binned into groups. Such grouping of defects can be performed on-tool or off-tool and can improve the performance of the method described herein (e.g., if there are coordinate inaccuracies in the defect location coordinates reported by the inspection) In particular, in coordinate inaccuracy, the source pattern determined based on the reported inspection coordinates is an approximate source pattern (as long as the pattern is isolated or the coordinates of the defect are not substantially accurate). Of course, the embodiments described herein can be used as inspection results generated by high precision inspection systems.

일 실시예는 웨이퍼 상에서 검출된 결함을 비닝하는 컴퓨터-구현 방법에 관한 것이다. 일반적으로, 여기에 기술한 방법에서, 결함의 모집단은 소스 결함을 선택하고, 설계 데이터 스페이스 내의 소스 결함의 위치에 가까운 설계 데이터("소스 설계 데이터")를 타깃 결함의 설계 데이터 스페이스 내의 위치에 가까운 설계 데이터("타깃 설계 데이터")에 비교하고(예컨대, 결함 모집단의 전부 또는 일부), 비교된 설계 데이터 사이에 매치 또는 적어도 유사성이 존재하는 경우, 타깃 결함을 타깃 설계 데이터에 할당함으로써, 설계 데이터(예컨대, GDS 설계 데이터)에 기초하여 그룹화될 수 있다. 비교는 소스 및 타깃 설계 데이터의 직접 비교에 기초할 수 있다. 또한, 비교는 소스 결함과 타깃 결함의 설계 데이터 스페이스 내의 위치 사이에 사소한 좌표 부정확성이 정정된 후에 수행할 수 있다. 또한, 비교는 소스 및 타깃 결함 위치에서의 좌표 부정확성을 고려하기 위해 타깃 설계 데이터 내의 소스 설계 데이터를 검색하는 단계를 포함할 수 있다. 정렬 및 검색은 여기에 기술하는 바와 같이 수행할 수 있는 서브-픽셀 정렬 기술을 사용함으로써 개선될 수 있다. 또한, 소스 및 타깃 설계 데이터의 비교는 소스 및 타깃 설계 데이터 사이에 정확한 매치, 또는 소스 및 타깃 설계 데이터 사이에 유사하지만 정확하지 않은 매치가 존재하는지를 결정하기 위해 수행될 수 있다. 전술한 각각의 단계는 여기에 기술하는 바와 같이 추가로 수행할 수 있다.One embodiment relates to a computer-implemented method of binning detected defects on a wafer. In general, in the method described herein, a population of defects selects a source defect and design data close to the location of the source defect in the design data space (“source design data”) is close to the location in the design data space of the target defect. Design data by comparing it to design data (“target design data”) (eg, all or part of a defect population) and assigning target defects to target design data when there is a match or at least similarity between the compared design data (Eg, GDS design data). The comparison can be based on a direct comparison of the source and target design data. The comparison can also be performed after minor coordinate inaccuracies between the source defect and the location of the target defect in the design data space have been corrected. The comparison may also include retrieving source design data within the target design data to account for coordinate inaccuracies at the source and target defect locations. Alignment and retrieval can be improved by using a sub-pixel alignment technique that can be performed as described herein. Further, comparison of the source and target design data may be performed to determine if there is an exact match between the source and target design data, or a similar but inaccurate match between the source and target design data. Each of the steps described above may be further performed as described herein.

타깃 결함 모집단이 소스 결함에 대하여 테스트된 후, 다음의 소스 결함이 선택될 수 있다. 아직 그룹화되지 않은 결함이 다음 소스 결함으로서 선택된다. 전술한 단계는 모든 결함이 그룹화(또는 적어도 테스트)될 때까지 반복될 수 있다. 여기에 기술한 방법에 사용된 결함 모집단은 웨이퍼 상에서 검출된 모든 결함을 포함할 수 있고, 복수의 웨이퍼 상에서 검출된 모든 결함, 또는 1 이상의 웨이퍼 상에서 검출된 결함의 서브세트(예컨대, 1 이상의 웨이퍼 상에서 검출되고 핫 스폿에 근접한 것으로 식별된 결함)를 포함할 수 있다. 또한, 여기에 기술한 방법은 전체 결함 모집단 또는 전체 결함 모집단 내의 결함의 서브세트(로직, 메모리 등과 같은 설계 기능 블록에 기초하여 선택될 수 있는)에 대하여 수행할 수 있다. 비닝은 자동 단일-패스 또는 다중-패스 그룹화로 수행될 수 있다.After the target defect population has been tested for source defects, the following source defects can be selected. Defects not yet grouped are selected as the next source defect. The above steps may be repeated until all the defects are grouped (or at least tested). The defect population used in the methods described herein may include all defects detected on a wafer and include all defects detected on a plurality of wafers, or a subset of defects detected on one or more wafers (eg, on one or more wafers). Defects detected and identified as being close to the hot spot. In addition, the methods described herein may be performed on the entire defect population or on a subset of defects within the entire defect population (which may be selected based on design functional blocks such as logic, memory, etc.). Binning can be performed with automatic single-pass or multi-pass grouping.

상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터 부분을 비교하는 단계를 포함한다. 예컨대, 도 17에 도시한 바와 같이, 상기 방법은 설계 데이터 스페이스(106) 내의 결함(104)의 위치에 가까운 설계 데이터(도시 생략)의 부분(102)를 설계 데이터 스페이스(106) 내의 결함(110)의 위치에 가까운 설계 데이터(도시 생략)의 부분(108)과 비교하는 단계를 포함할 수 있다. 결함(104)은 여기에서는 "소스 결함"이라 칭하며, 결함(110)은 여기에서 "타깃 결함"이라 칭한다. 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터는 백그라운드 패턴 데이터 또는 결함에 대한 백그라운드 정보를 규정한다.The method includes comparing a portion of design data close to the location of a defect in the design data space. For example, as shown in FIG. 17, the method replaces a portion 102 of the design data (not shown) close to the position of the defect 104 in the design data space 106. And comparing the portion 108 of the design data (not shown) close to the location of the < RTI ID = 0.0 > Defect 104 is referred to herein as a "source defect" and defect 110 is referred to herein as a "target defect". Design data close to the location of a defect in the design data space defines background pattern data or background information about the defect.

도 17에 도시한 바와 같이, 부분(102)은 결함(104)보다 크다. 부분(102)의 치수(x 및 y 방향에서의)는 유저에 의해 선택될 수 있다. 또한, 부분(108)은 결함(110)보다 크다. 부분(108)의 치수 또한 유저에 의해 선택할 수 있다. 부분(108)의 치수는 여기에 추가로 기술하는 바와 같이 부분(102)의 치수보다 통상적으로 크다. 대안적으로, 부분의 치수는 여기에 기술한 컴퓨터-구현 방법에 의해 선택할 수 있다(예컨대, 자동으로).As shown in FIG. 17, the portion 102 is larger than the defect 104. The dimensions (in the x and y directions) of the portion 102 can be selected by the user. Also, portion 108 is larger than defect 110. The dimensions of the portion 108 can also be selected by the user. The dimensions of the portion 108 are typically larger than the dimensions of the portion 102 as further described herein. Alternatively, the dimensions of the portions can be selected (eg, automatically) by the computer-implemented method described herein.

일 실시예에서, 부분의 치수(x 및 y 방향에서의)는, 결함, 검사 시스템의 좌표 부정확성, 설계 데이터의 1 이상의 속성, 결함 사이즈, 검사 시스템의 결함 사이즈 에러, 또는 그것의 일부 조합을 검출하는데 사용된 검사 시스템에 의해서 보고된 결함의 위치에 적어도 부분적으로 기초한다. 예컨대, 상기 방법은 보고된 결함 위치에 중심을 둔 결함 데이터의 부분(즉, "패턴 창")을 규정하는 단계를 포함할 수 있다. 패턴 창은 결함의 치수보다 큰 폭 및 높이를 가질 수 있고, 좌표 불확실성으로 인한 결함 위치에서의 에러를 고려하기 위해 선택된다. 예컨대, 검사 시스템에 의해 보고된 결함 위치의 좌표가 약 +/- 3㎛로 정확하면, 패턴 창은 약 6㎛ × 약 6㎛의 전체 최소 사이즈를 위해, 보고된 결함 위치의 x 및 y 좌표로부터 모든 방향에서 적어도 3㎛를 포함하도록 규정할 수 있다. 이러한 방식에서, 검사 시스템의 좌표 정확도가 더 좋을수록, 패턴 창은 더 작아질 수 있으며, 이는 신속하고 더욱 정확한 그룹화의 결과를 낳을 수 있다. 패턴 창의의 치수는 패턴 창이 설계 데이터 내의 충분한 수의 특징과 같은 "충분한" 양의 백그라운드 패턴 데이터를 포함하도록 선택될 수 있다. 또한, 패턴 창 내의 설계 데이터가 클립과 비교되는 경우, 패턴 창 치수는 패턴 창이 클립에 단지 부분적으로 포함되는 전체 다각형을 포함하도록 선택할 수 있다.In one embodiment, the dimension of the portion (in the x and y directions) detects a defect, one or more attributes of the inspection system, one or more attributes of the design data, a defect size, a defect size error of the inspection system, or some combination thereof. Based at least in part on the location of the defect reported by the inspection system used to do so. For example, the method may include defining a portion of defect data (ie, a "pattern window") centered on the reported defect location. The pattern window may have a width and height greater than the dimension of the defect and is selected to account for errors in the defect location due to coordinate uncertainty. For example, if the coordinates of the defect location reported by the inspection system are accurate to about +/- 3 μm, then the pattern window is taken from the x and y coordinates of the reported defect location for an overall minimum size of about 6 μm × about 6 μm. It may be specified to include at least 3 μm in all directions. In this way, the better the coordinate accuracy of the inspection system, the smaller the pattern window can be, which results in faster and more accurate grouping. The dimensions of the pattern window may be selected such that the pattern window contains a sufficient amount of background pattern data, such as a sufficient number of features in the design data. In addition, if the design data in the pattern window is compared to the clip, the pattern window dimensions may be selected to include the entire polygon that is only partially included in the clip.

여기에 기술한 방법에 사용된 설계 데이터의 부분은 설계 데이터 스페이스 내의 결함의 위치 둘레에서 취해진 설계의 클립을 포함할 수 있다. 용어 "클립"은 결함 주위의 설계 데이터 내의 영역으로서 일반적으로 규정되며, 결함의 근방으로서 고려될 수 있다. 다각형은 클립 내의 패턴을 규정하지만, 다각형은 클립을 넘어 부분적으로 연장할 수 있다. 결함의 일부에 대하여 여기에 기술한 방법에 사용된 클립은 하나 이상의 다른 치수를 가질 수 있다. 하지만, 여기에 기술한 방법에 사용된 설계 데이터의 부분은, 결함이 위치할 수 있는 부분의 범위 주위의 연장된 바운딩 박스(EBB) 내의 설계 데이터를 포함할 수 있다. EBB는 결함을 검출하기 위해 사용된 검사 시스템의 좌표 정확도와, 결함 사이즈(및 검사 시스템의 결함 사이즈 에러)에 기초하여 선택할 수 있다. 예컨대, 검사의 좌표 정확도가 커질수록, EBB의 치수는 감소할 수 있다. 큰 EBB에서보다 작은 EBB에서 결함의 위치가 더욱 정확히 결정될 수 있기 때문에, 더 작은 EBB가 바람직하고, 결함의 1 이상의 속성(예컨대, 설계 내의 다각형에 대한 결함의 위치, 결함에 대한 분류, 및 결함의 근본 원인)를 결정하기 위해 EBB 내의 더욱 정확한 결함 위치가 사용될 수 있다. 또한, 결함의 적어도 일부에 대하여 사용된 EBB의 1 이상의 치수는 상이할 수 있다. EBB는 일반적으로 클립보다 작고, 어느 결함이 위치할 수 있는지를 나타낸다).The portion of design data used in the methods described herein may include a clip of the design taken around the location of the defect in the design data space. The term "clip" is generally defined as an area in the design data around the defect and can be considered as a vicinity of the defect. Polygons define a pattern within a clip, but polygons may extend partially beyond the clip. Clips used in the methods described herein for some of the defects may have one or more other dimensions. However, the portion of the design data used in the methods described herein may include design data in an extended bounding box EBB around the range of portions where the defect may be located. The EBB can be selected based on the coordinate accuracy of the inspection system used to detect the defect and the defect size (and the defect size error of the inspection system). For example, as the coordinate accuracy of the inspection increases, the dimensions of the EBB may decrease. Since the location of defects in smaller EBBs can be more accurately determined than in larger EBBs, smaller EBBs are preferred, and one or more attributes of the defects (eg, the location of defects relative to polygons in the design, classification for defects, and More accurate defect locations within the EBB can be used to determine the root cause. In addition, one or more dimensions of the EBB used for at least some of the defects may be different. EBB is generally smaller than the clip, indicating which defects can be placed).

다른 실시예에서, 부분의 적어도 일부의 치수는 상이하다. 예컨대, 도 17에 도시한 바와 같이, 부분(108)과 결함(110)의 치수 사이의 차이는 부분(102)과 결함(104)의 치수 사이의 차이보다 크다. 즉, 타깃 결함 주위의 타깃 부분의 영역은 소스 결함 주위의 소스 부분의 영역보다 크다. 이러한 방식에서, 타깃 부분은 소스 부분보다 더 많은 설계 데이터를 포함할 수 있다. In other embodiments, the dimensions of at least some of the portions are different. For example, as shown in FIG. 17, the difference between the dimensions of the portion 108 and the defect 110 is greater than the difference between the dimensions of the portion 102 and the defect 104. That is, the area of the target portion around the target defect is larger than the area of the source portion around the source defect. In this manner, the target portion may include more design data than the source portion.

설계 데이터의 소스 부분은 설계 데이터의 타깃 부분의 다른 영역과 비교될 수 있다. 이러한 방식에서, 상기 방법은 타깃 부분 내의 설계 데이터의 소스 부분을 탐색하는 단계를 포함할 수 있다. 예컨대, 소스 부분과 타깃 부분의 오버레이(112)에 도시한 바와 같이, 설계 데이터의 소스 부분은 타깃 부분의 일 영역과 비교할 수 있다. 이러한 비교 후에, 타깃 부분에 대한 소스 부분의 위치는 타깃 부분의 다른 영역 내의 설계 데이터가 설계 데이터의 소스 부분에 비교될 수 있도록 변경될 수 있다. 이러한 방식에서, 상기 방법은 매치가 식별될 때까지 또는 타깃 부분의 모든 영역이 소스 부분과 비교될 때까지, 설계 데이터의 소스 부분을 타깃 부분 주위로 "슬라이딩" 시키는 단계를 포함할 수 있다.The source portion of the design data can be compared with other areas of the target portion of the design data. In this manner, the method may include searching for a source portion of design data within the target portion. For example, as shown in overlay 112 of the source portion and the target portion, the source portion of the design data may be compared with one area of the target portion. After this comparison, the position of the source portion relative to the target portion may be changed such that design data in another area of the target portion can be compared to the source portion of the design data. In this manner, the method may include “sliding” the source portion of the design data around the target portion until a match is identified or until all regions of the target portion are compared with the source portion.

설계 데이터의 부분을 비교하는 단계는 비교 단계에 이용가능한 임의의 정보로 수행될 수 있다. 예컨대, 비교되는 설계 데이터의 부분은 GDS 파일과 같은 데이터 구조에 포함된 설계 데이터의 부분일 수 있다. 또한, 설계 데이터의 부분을 비교하는 단계는 부분 내의 다각형을 비교하는 단계를 포함할 수 있다. 다른 실시예에서, 상기 방법은 비교 단계 이전에, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 비트맵으로 변환하는 단계를 포함한다. 예컨대, 설계 데이터의 부분 내의 다각형은 신속한 처리를 위해 비트맵으로 변환될 수 있다. 설계 데이터의 부분은 당업계에 알려진 임의의 적합한 방식을 사용하여 비트맵으로 변환될 수 있다. 예컨대, 여기에 완전히 언급된 것과 같이 참고자료로 포함되는, 미국 특허 제7,030,997호(Neureuther et al.)에 개시된 방법 및 시스템을 사용하여 비트맵으로 변환될 수 있다. 그러한 일 실시예에서, 설계 데이터의 부분을 비교하는 단계는, 비트맵을 서로 비교하는 단계를 포함한다. 비트맵을 서로 비교하는 단계는 임의의 적합한 방식을 사용하여 수행할 수 있다. 또한, 설계 데이터의 부분을 비교하는 단계는 그 부분 내의 설계 데이터의 1 이상의 속성을 비교하는 단계를 포함할 수 있다. 비교되는 1 이상의 속성은 여기에 기술한 설계 데이터의 임의의 속성을 포함할 수 있다.Comparing portions of the design data can be performed with any information available for the comparison step. For example, the portion of design data that is compared may be the portion of design data included in a data structure such as a GDS file. In addition, comparing the portions of the design data may include comparing the polygons in the portions. In another embodiment, the method includes converting a portion of the design data close to the location of the defect in the design data space into a bitmap prior to the comparing step. For example, polygons within portions of design data can be converted into bitmaps for rapid processing. Portions of the design data can be converted to bitmaps using any suitable manner known in the art. For example, it can be converted to a bitmap using the methods and systems disclosed in US Patent No. 7,030,997 (Neureuther et al.), Incorporated herein by reference in its entirety. In one such embodiment, comparing the portions of the design data includes comparing the bitmaps with each other. Comparing the bitmaps to each other may be performed using any suitable manner. Also, comparing portions of design data may include comparing one or more attributes of design data within that portion. One or more attributes to be compared can include any attribute of the design data described herein.

상기 방법은, 비교 단계의 결과에 기초하여, 그 부분 내의 설계 데이터가 적어도 유사한지(유사하거나 정확히 동일한지)를 결정하는 단계를 또한 포함한다. 부분 내의 설계 데이터의 1 이상의 속성이 결정되면, 그룹화는 공통의 패턴 유사도, 공통 속성 유사도, 특징 스페이스 내의 공통 속성 유사도, 또는 그것의 일부 조합에 기초할 수 있다. 예컨대, 일 실시예에서, 그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계는, 그 부분 내의 설계 데이터 내의 공통 패턴이 적어도 유사한지를 결정하는 단계를 포함하며, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 다른 실시예에서, 그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계는, 그 부분 내의 설계 데이터의 공통 속성이 적어도 유사한지를 결정하는 단계를 포함하며, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 추가의 실시예에서, 그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계는, 그 부분 내의 설계 데이터의 특징 스페이스에서의 공통 속성이 적어도 유사한지를 결정하는 단계를 포함하며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 상기 방법은 그 부분 내에 유사한 다른 영역이 어떻게 존재하는지를 결정하는 단계를 포함할 수 있다. 또한, 그 부분 내의 설계 데이터가 서로 미소하게 오프셋 되거나 미소하게 다른 설계 구조를 포함할지라도, 그 부분이 현저한 공통 구조를 갖는 경우, 그 부분은 서로 유사한 것으로 결정될 수 있다. 상기 방법은, "백그라운드" 패턴에 기초하여, 어느 결함이 서로 유사한지를 결정하기 위해서, 설계 데이터 스페이스 내의 각각의 결함의 위치에 가까운 설계 데이터를 설계 데이터 스페이스 내의 모든 결함의 위치에 가까운 설계 데이터와 비교하는 단계를 포함할 수 있다.The method also includes determining, based on the results of the comparing step, whether the design data in the portion is at least similar (similar or exactly the same). Once one or more attributes of the design data in the portion are determined, the grouping may be based on common pattern similarity, common attribute similarity, common attribute similarity in the feature space, or some combination thereof. For example, in one embodiment, determining whether design data in the portion is at least similar includes determining whether a common pattern in the design data in the portion is at least similar, as further described herein. Can be. In another embodiment, determining whether the design data in the portion is at least similar includes determining whether the common attributes of the design data in the portion are at least similar, which may be performed as described further herein. have. In a further embodiment, determining whether the design data in the portion is at least similar comprises determining whether the common attributes in the feature space of the design data in the portion are at least similar, as further described herein. As can be performed. The method may also include determining how similar other regions exist within that portion. In addition, even if the design data in the portion is slightly offset from each other or includes slightly different design structures, the portions can be determined to be similar to each other when the portions have a significant common structure. The method compares the design data close to the location of each defect in the design data space with the design data close to the location of all the defects in the design data space to determine which defects are similar to each other based on the "background" pattern. It may include the step.

그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계는 결함이 설계 데이터 내의 동일한 위치에 위치되는지의 여부에 기초하여 수행되지 않는 것이 바람직하다. 즉, 여기에 기술한 방법에 의해 자신의 "백그라운드"에 기초하여 그룹으로 비닝된 결함은 패턴, 특징, 다각형, 또는 설계 데이터 내의 구조에 대하여 동일한 위치에 위치될 필요가 없을 수 있다. 설계 데이터에 대한 결함의 위치의 매칭에 의존하지 않고, 상기 방법은 더욱 정확한 결함 비닝을 제공할 수 있다. 예컨대, 두 개의 결함이 동일한 유형의 패턴 내에 존재하지만, 그 패턴 내의 다른 위치에 위치할 수 있다. 또한, POI 내의 체계적 결함이 국부화될 수 있지만, 또한 국부화되지 않을 수 있다. 하지만, 그러한 결함이 동일한 패턴 기반 이슈에 의해 야기될 수 있거나, 그것에 관련될 수 있다. 따라서, 설계 데이터 내의 실제 결함 위치 사이의 유사성에 의존하지 않는 결함 비닝은 더욱 정확한 비닝을 허용할 수 있으며, 이는 이들 체계적 이슈에 기초하여 수율의 제어 및 예측과, 체계적 이슈의 더욱 정확한 입증을 위해 사용될 수 있다. 설계 데이터의 부분이 적어도 유사한지를 결정하는 단계는 임의의 적합한 알고리즘을 사용하여 수행될 수 있다. 따라서, 그 방법은 "유사성 체커(checker)"로서 사용될 수 있다. 타깃 부분이 그 타깃 부분에 비교되는 소스 부분보다 클 수 있기 때문에, 유사성 체커는 설계 데이터 내의 실제 결함 위치에서의 좌표 부정확성이 존재하는 경우에 유리하게 사용할 수 있다.Determining whether the design data in that portion is at least similar is preferably not performed based on whether the defect is located at the same location in the design data. That is, defects binned into groups based on their "background" by the methods described herein may not need to be located at the same location relative to the pattern, feature, polygon, or structure in the design data. Without relying on the matching of the location of the defects to the design data, the method can provide more accurate defect binning. For example, two defects may be present in the same type of pattern, but may be located at different locations within the pattern. In addition, systematic defects in the POI may be localized, but may also not be localized. However, such a defect can be caused by or related to the same pattern based issue. Thus, defect binning that does not rely on similarities between actual defect locations in the design data can allow for more accurate binning, which can be used for control and prediction of yield based on these systematic issues and for more accurate demonstration of systematic issues. Can be. Determining whether portions of design data are at least similar may be performed using any suitable algorithm. Thus, the method can be used as a "similar checker". Since the target portion can be larger than the source portion compared to the target portion, the similarity checker can be advantageously used when there is coordinate inaccuracy at the actual defect location in the design data.

도 17에 도시한 실시예에서, 전체 소스 부분은 타깃 부분의 다른 영역과 비교된다. 일부 실시예에서, 상기 방법은 부분의 적어도 일부에 있는 설계 데이터의 전체를 다른 부분에 있는 설계 데이터에 비교하는 단계를 포함한다. 또한, 상기 방법은 설계 데이터의 소스 부분의 전체를 설계 데이터의 타깃 부분의 다른 영역에 비교하는 단계를 포함할 수 있다. 그와 같이, 상기 방법은 설계 데이터의 전체 소스 부분에 적어도 유사한 설계 데이터에 대한 타깃 부분을 탐색하는 단계를 포함할 수 있다.In the embodiment shown in FIG. 17, the entire source portion is compared with other regions of the target portion. In some embodiments, the method includes comparing all of the design data in at least a portion of the portion to design data in another portion. The method may also include comparing the entirety of the source portion of the design data to another area of the target portion of the design data. As such, the method may include searching for a target portion for design data that is at least similar to the entire source portion of the design data.

상기 방법은 각각의 그룹의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 더 포함한다. 이러한 방식에서, 상기 방법은 설계 데이터 및/또는 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 콘텍스트에 기초하여 결함을 그룹으로 비닝하는 단계를 포함한다. 예컨대, 비-감독 방식으로 결함을 그룹으로 비닝하기 위해, 적어도 유사하거나 매치하는 설계 데이터의 부분에서의 다각형을 사용할 수 있다. 또한, 비닝 단계는 적어도 하나의 그룹 내의 적어도 2개의 결함의 위치에 가까운 설계 데이터가 적어도 유사하도록, 적어도 2개의 결함을 적어도 하나의 그룹으로 비닝하는 단계를 포함할 수 있다. 또한, 적어도 유사하다고 결정된, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분이 아무것도 없는 드문 인스턴스에서, 상기 방법은 결함을 그룹으로 비닝하지 않는다.The method further includes binning the defects into groups such that portions of design data close to the location of the defects in each group are at least similar. In this manner, the method includes binning the defects into groups based on the context of the design data and / or the design data close to the location of the defect in the design data space. For example, to bin defects into groups in a non-supervised manner, polygons in portions of at least similar or matching design data may be used. In addition, the binning step may include binning the at least two defects into at least one group such that design data close to the location of the at least two defects in the at least one group is at least similar. Further, in the rare instance where there is no part of the design data close to the location of the defect in the design data space that is determined to be at least similar, the method does not bin the defect into groups.

상기 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 또한 포함한다. 비닝 단계의 결과는 여기에 기술한 임의의 결과를 포함할 수 있다. 또한, 상기 저장 단계는 여기에 기술한 임의의 방법 실시예의 임의의 단계의 임의의 다른 결과에 부가하여 비닝 단계의 결과를 저장하는 단계를 포함할 수 있다. 결과는 당업계에 알려진 임의의 다른 방식으로 저장될 수 있다. 또한, 저장 매체는 여기에 기술한 임의의 저장 매체 또는 당업계에 알려진 임의의 다른 적합한 저장 매체를 포함할 수 있다. 그 결과가 저장된 후, 그 결과는 여기에 기술한 임의의 방법 또는 시스템 실시예에 의해 저장 매체 내에서 액세스되고 사용될 수 있다. 또한, 그 결과는 "영구적으로", "반-영구적으로", 일시적으로 또는 일부 기간 동안 저장될 수 있다. 예컨대, 저장 매체는 RAM일 수 있고, 비닝 단계의 결과는 저장 매체 내에서 잔존할 필요가 없을 수 있다.The method also includes storing the results of the binning step in a storage medium. The result of the binning step may include any result described herein. The storing step may also include storing the result of the binning step in addition to any other results of any of the steps of any of the method embodiments described herein. The results can be stored in any other way known in the art. In addition, the storage medium may include any storage medium described herein or any other suitable storage medium known in the art. After the results are stored, the results can be accessed and used within the storage medium by any method or system embodiment described herein. The result can also be stored "permanently", "semi-permanently", temporarily or for some period of time. For example, the storage medium may be RAM, and the result of the binning step may not need to remain in the storage medium.

설계 데이터의 부분이 적어도 유사한지 결정하는 단계는 비교 단계의 결과를 유사성에 대한 소정 기준과 비교하는 단계를 포함할 수 있다. 예컨대, 비교 단계의 결과는 임계값과 비교될 수 있다. 그 부분 내의 설계 데이터가 적어도 그러한 임계값만큼 적어도 유사한 경우, 그 방법은 결함을 그룹으로 비닝할 수 있다. 다른 예에서, 비교 단계의 결과는 "퍼센트 유사" 값에 비교될 수 있다. 그 부분 내의 설계 데이터가 적어도 그 퍼센트만큼 적어도 유사한 경우, 상기 방법은 그 결함을 그룹으로 비닝할 수 있다.Determining whether portions of design data are at least similar may include comparing the result of the comparing step with a predetermined criterion for similarity. For example, the result of the comparing step can be compared with a threshold. If the design data in that portion is at least similar by at least such a threshold, the method may bin the defects into groups. In another example, the result of the comparing step can be compared to a "percent similar" value. If the design data in that portion is at least similar by at least that percentage, the method may bin the defects into groups.

임의의 경우에, 유사도 체크가 설계 데이터의 2 이상의 부분 사이에서 수행되고(예컨대, GDS 패턴 클립), 2 이상의 부분 내의 공통 패턴이 식별되는 경우, 상기 방법은 그 결함을 그룹으로 비닝하는 단계를 포함한다. 그 부분 내의 설계 데이터가 적어도 유사한지를 결정하는 단계에 의해 생성된 결과는 소스 부분 내의 설계 데이터가 타깃 부분에서 발견되었는지의 여부의 지시를 포함할 수 있다. 또한, 공통 구조의 중심점은 체계적 결함의 설계 데이터 스페이스 위치에 가까운 것으로 간주할 수 있다. 따라서, 각 그룹 내의 결함의 설계 데이터 스페이스 위치의 (x, y) 좌표는 각 그룹에 대응하는 구조의 중심점에 조정(이동)될 수 있다. 좌표 보정 벡터(또는 에러 벡터)는 결함의 설계 데이터 스페이스 좌표 및 결함이 비닝되는 그룹에 대응하는 공통 구조의 중심점에 기초하여 각각의 비닝된 결함에 대해 결정될 수 있다. 결함 위치의 설계 데이터 스페이스 좌표에서의 전체 체계적 불확실성(설계 데이터 스페이스 이동 에러 + 보고된 좌표에서의 에러에 대한 웨이퍼 스페이스)을 결정하기 위해, 상기 방법은 통계적으로 현저한 수의 결함에 대하여 이동 또는 에러 벡터의 평균을 결정하는 단계를 포함할 수 있다. 상기 방법은 모든 에러 벡터의 표준 편차를 결정하는 단계와, +/- 1 표준 편차 또는 +/- 3 표준 편차 이내의 벡터만의 평균을 결정하는 단계를 또한 포함할 수 있다. 이러한 방식에서, 평균값을 손상할 수 있는 이상치는 연산으로부터 제거할 수 있다. 결정된 평균값은 전체적 보정 값으로서 사용할 수 있다. 예컨대, 이러한 전체적 보정 값은, 더욱 정확한 오버레이가 후속 데이터 처리 단계에서 결정될 수 있도록 데이터 이동을 설계하기 위하여, 웨이퍼 스페이스에 의해 결정된 결함 위치의 부가적인 설계 데이터 스페이스 좌표에 인가된다.In any case, the similarity check is performed between two or more portions of the design data (eg, a GDS pattern clip), and if a common pattern within the two or more portions is identified, the method includes binning the defects into groups. do. The result generated by determining whether the design data in the portion is at least similar may include an indication of whether the design data in the source portion was found in the target portion. In addition, the center point of the common structure can be considered to be close to the design data space location of the systematic defect. Thus, the (x, y) coordinates of the design data space locations of the defects in each group can be adjusted (moved) to the center point of the structure corresponding to each group. A coordinate correction vector (or error vector) may be determined for each binned defect based on the design data space coordinates of the defect and the center point of the common structure corresponding to the group in which the defect is binned. In order to determine the overall systematic uncertainty (design data space movement error + wafer space for errors in the reported coordinates) in the design data space coordinates of the defect location, the method uses a shift or error vector for a statistically significant number of defects. Determining the average of the. The method may also include determining a standard deviation of all error vectors and determining an average of the vector only within +/- 1 standard deviation or within +/- 3 standard deviations. In this way, outliers that can damage the mean value can be removed from the operation. The determined average value can be used as a global correction value. For example, this global correction value is applied to additional design data space coordinates of the defect location determined by wafer space in order to design the data movement so that a more accurate overlay can be determined in subsequent data processing steps.

결정 단계의 결과는 타깃 부분과, 적어도 유사한 설계 데이터가 발견되는 그 타깃 부분 내의 소스 부분의 위치 사이의 x 및 y 오프셋을 또한 포함할 수 있다. x 및 y 오프셋은 비닝 방법을 최적화하기 위해 사용될 수 있다. 예컨대, 부분들의 초기 비교시, 소스 부분은 타깃 부분 내에 두 부분의 중심점이 정렬되도록 위치될 수 있다. 하지만, 타깃 부분 내의 소스 부분의 초기 사용 위치와, 적어도 유사한 설계 데이터가 발견되는 타깃 부분 내의 소스 부분의 위치 사이에 일부 예측가능하거나 반복되는 오프셋(x 및/또는 y 방향에서)이 존재한다고 결정되면, 이러한 오프셋은 비닝 방법의 비교 단계에서 사용된 오버레이를 조정하는데 사용할 수 있다.The result of the determining step may also include an x and y offset between the target portion and the position of the source portion within the target portion where at least similar design data is found. The x and y offsets can be used to optimize the binning method. For example, upon initial comparison of the portions, the source portion may be positioned to align the center points of the two portions within the target portion. However, if it is determined that there is some predictable or repeatable offset (in the x and / or y direction) between the initial use position of the source portion within the target portion and at least the position of the source portion within the target portion where similar design data is found. This offset can then be used to adjust the overlay used in the comparison step of the binning method.

일부 실시예에서, 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 이러한 방식에서, 상기 방법은 결함의 백그라운드 유사성에 대한 하나의 설계 층을 체크하여 결함을 비닝하는 단계, 또는 결함의 백그라운드 유사성(즉, 다중-층 백그라운드 유사성)에 대한 설계 층의 세트를 체크하여 결함을 비닝하는 단계를 포함할 수 있다. 예컨대, 웨이퍼 상의 폴리실리콘 층(예컨대, 게이트 전극층)의 검사 동안에, 하지 확산층은 검사 시스템에 가시적일 수 있고, 따라서 검사 결과에 영향을 미친다. 그와 같이, 그 부분에 포함되는 설계 데이터는 백그라운드 기반 비닝의 정확도를 증가시키기 위해 폴리실리콘 층 및 확산층에 대한 설계 데이터를 포함할 수 있다. 하지만, 1 이상의 설계 층에 대한 설계 데이터를 사용함으로써, 적어도 유사하지만 하지층 상의 비-유사 설계 데이터 위에 위치하는 설계 데이터의 부분에 가까이 위치한 결함은 다른 그룹으로 비닝될 수 있다.In some embodiments, the design data in the portion includes design data for one or more design layers. In this manner, the method checks one design layer for background similarity of the defect and bins the defect, or checks the set of design layers for the background similarity of the defect (ie, multi-layer background similarity). And binning. For example, during the inspection of the polysilicon layer (eg, gate electrode layer) on the wafer, the underlying diffusion layer may be visible to the inspection system, thus affecting the inspection results. As such, the design data included in that portion may include design data for the polysilicon layer and the diffusion layer to increase the accuracy of the background based binning. However, by using design data for one or more design layers, defects located at least similar but close to portions of design data located above non-like design data on the underlying layer can be binned into other groups.

소스 부분 내의 설계 데이터가 타깃 부분 내에서 발견되는지의 여부에 관계없이, 상기 방법은 설계 데이터 스페이스 내의 다른 결함의 위치에 가까운 설계 데이터의 다른 부분과 그 소스 부분을 비교하는 단계를 포함할 수 있다. 소스 부분 내의 설계 데이터와 적어도 유사하거나 동일한 설계 데이터에 가까이 위치한 1 이상의 타깃 결함이 웨이퍼 상에서 검출될 수 있기 때문에, 소스 부분 내의 설계 데이터를 다중 타깃 부분 내의 설계 데이터에 비교하는 단계가 수행될 수 있다.Regardless of whether design data in the source portion is found in the target portion, the method may include comparing the source portion with another portion of the design data close to the location of another defect in the design data space. Since one or more target defects located at least similar to or close to the design data in the source portion can be detected on the wafer, comparing the design data in the source portion to the design data in the multiple target portions can be performed.

도 17에 도시한 그러한 일 예에서, 부분(102)은 설계 데이터 스페이스(106) 내의 결함(116)의 위치에 가까운 설계 데이터(도시 생략)의 부분(114)과 비교될 수 있다. 부분(114)의 치수는 전술한 바와 같이 선택할 수 있다. 설계 데이터의 소스 부분은 여기에 추가로 기술하는 바와 같이 타깃 부분의 다른 영역 내의 설계 데이터와 비교될 수 있다. 상기 방법은, 소스 부분 내의 설계 데이터가 타깃 부분 내의 설계 데이터의 적어도 일부와 적어도 유사한지를 결정하는 단계를 포함할 수 있고, 이는 전술한 바와 같이 수행할 수 있다. 부분들의 오버레이(118)는 적어도 유사한 설계 데이터가 발견된 타깃 위치 내의 소스 부분의 위치를 도시한다. 따라서, 그 방법은, 부분(102) 내의 설계 데이터가 부분(114) 내의 설계 데이터의 적어도 일부에 적어도 유사하다고 결정되기 때문에 결함(104 및 116)을 하나의 그룹으로 비닝하는 단계를 포함한다. 또한, 소스 부분 내의 설계 데이터가 양 타깃 부분에서의 설계 데이터의 적어도 일부와 적어도 유사하다고 결정되기 때문에, 결함(102, 110 및 116)은 하나의 그룹으로 비닝된다.In one such example shown in FIG. 17, portion 102 may be compared to portion 114 of design data (not shown) close to the location of defect 116 in design data space 106. The dimensions of the portion 114 can be selected as described above. The source portion of the design data may be compared with the design data in other areas of the target portion as further described herein. The method may include determining whether the design data in the source portion is at least similar to at least a portion of the design data in the target portion, which may be performed as described above. Overlay 118 of the portions shows the location of the source portion within the target location where at least similar design data was found. Thus, the method includes binning defects 104 and 116 into one group because it is determined that the design data in portion 102 is at least similar to at least a portion of the design data in portion 114. Further, since it is determined that the design data in the source portion is at least similar to at least some of the design data in both target portions, the defects 102, 110, and 116 are binned into one group.

그러한 다른 예에서, 부분(102)은 설계 데이터 스페이스(106) 내의 결함(122)의 위치에 가까운 설계 데이터(도시 생략)의 부분(120)과 비교될 수 있다. 부분(120)의 치수는 전술한 바와 같이 선택될 수 있다. 설계 데이터의 소스 부분은 전술한 바와 같이 부분(120)의 다른 영역 내의 설계 데이터와 비교될 수 있다. 상기 방법은, 비교 결과에 기초하여, 부분(102) 내의 설계 데이터가 부분(120) 내의 설계 데이터의 적어도 일부와 적어도 유사한지를 결정하는 단계를 또한 포함하며, 이는 전술한 바와 같이 수행될 수 있다. 부분(102 및 120)의 오버레이(124)는 적어도 유사한 설계 데이터가 발견되는 부분(120) 내의 부분(102)의 위치를 도시한다. 따라서, 상기 방법은 소스 결함 및 타깃 결함(112)을 하나의 그룹으로 비닝하는 단계를 포함한다. 또한, 소스 부분의 설계 데이터가 3 개의 타깃 부분의 설계 데이터의 적어도 일부와 적어도 유사하다고 판단되기 때문에, 소스 결함 및 3 개의 타깃 결함은 하나의 그룹으로 비닝된다. 전술한 단계는 웨이퍼 상에서 검출된 각각의 결함에 대한 백그라운드 정보가 웨이퍼 상에서 검출된 모든 다른 결함에 대한 백그라운드 정보와 비교될 때까지 수행될 수 있다.In another such example, portion 102 may be compared to portion 120 of design data (not shown) near the location of defect 122 in design data space 106. The dimension of the portion 120 may be selected as described above. The source portion of the design data may be compared with the design data in other areas of the portion 120 as described above. The method also includes determining, based on the comparison result, whether the design data in the portion 102 is at least similar to at least a portion of the design data in the portion 120, which may be performed as described above. Overlay 124 of portions 102 and 120 shows the location of portion 102 within portion 120 where at least similar design data is found. Thus, the method includes binning source defects and target defects 112 into one group. Further, since it is determined that the design data of the source portion is at least similar to at least a part of the design data of the three target portions, the source defects and the three target defects are binned into one group. The above steps may be performed until the background information for each defect detected on the wafer is compared with the background information for all other defects detected on the wafer.

전술한 바와 같이, 상기 방법은 설계 데이터 및/또는 설계 데이터 스페이스의 결함의 위치에 가깝게 위치한 설계 데이터의 콘텍스트에 기초하여, 가능하게는 설계 데이터 및/또는 설계 레이아웃의 1 이상의 속성와 같은 다른 정보와 조합하여, 결함을 비닝하는 단계를 포함한다. 콘텍스트 정보에 기초하여 결함을 비닝하는 다른 방법과 대조적으로, 여기에 기술한 상기 방법은 웨이퍼 상에 인쇄된 바와 같은 백그라운드 정보에 기초하여 비닝을 수행하지 않는다. 대신, 여기에 기술한 상기 방법은 설계 데이터에 규정된 바와 같은 백그라운드 정보에 기초하여 비닝을 수행한다. 이러한 방식에서, 여기에 기술한 상기 방법은 설계 데이터가 웨이퍼 상에 어떻게 인쇄되는지 또는 그것의 여부에 무관하게 백그라운드 기반 비닝을 수행할 수 있다.As noted above, the method is based on the design data and / or the context of the design data located close to the location of the defect in the design data space, possibly in combination with other information, such as one or more attributes of the design data and / or design layout. Thereby binning the defect. In contrast to other methods of binning defects based on contextual information, the method described herein does not perform binning based on background information as printed on a wafer. Instead, the method described herein performs binning based on background information as defined in the design data. In this manner, the method described herein can perform background based binning regardless of how the design data is printed on the wafer or not.

웨이퍼 상에 인쇄된 바와 같은 설계 데이터로부터의 그러한 독립성은 PWQ 방법 및 FEM(focus exposure matrix) 방법에 특히 이로울 수 있으며, 그러한 방법에서 웨이퍼 상에 인쇄된 바와 같은 설계 데이터가 그러한 방법을 위해 사용된 프로세스 창 매개변수에 걸쳐 변할 수 있고(가끔 극적으로), 이에 의해 웨이퍼 상에 인쇄된 설계 데이터의 이미지에 기초한 결함 비닝 방법의 정확도를 저하시킨다. PWQ와 같은 실험적 기술의 그러한 일 애플리케이션에서, 그 방법은 설계 데이터 스페이스 내의 결함의 위치에서의 결함 데이터의 발췌(excerpt) 또는 GDS 클립을 사용함으로써 개선된 백그라운드 기반 비닝을 제공할 수 있다. 그와 같이, 비닝은 공통 패턴에 의해 수행될 수 있다. 비닝된 결함은 여기에 추가로 기술한 바와 같이 개별적으로 또는 집합적으로 분류될 수 있다. 예컨대, 상기 방법은 설계 데이터의 1 이상의 속성(예컨대, 설계 데이터 스페이스 내의 결함 위치에 가깝게 위치한 설계 데이터의 1 이상의 속성)에 기초하여 결함을 분류하는 단계를 포함할 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다.Such independence from design data as printed on a wafer can be particularly beneficial for the PWQ method and the focus exposure matrix (FEM) method, in which design data as printed on the wafer is used for such a method. It may vary (sometimes dramatically) over process window parameters, thereby degrading the accuracy of the defect binning method based on the image of design data printed on the wafer. In one such application of an experimental technique such as PWQ, the method can provide improved background based binning by using an excerpt of defect data or a GDS clip at the location of the defect in the design data space. As such, binning can be performed by a common pattern. Bind defects can be classified individually or collectively as described further herein. For example, the method may include classifying the defects based on one or more attributes of the design data (eg, one or more attributes of the design data located proximate to the defect location in the design data space), which is further described herein. As can be done.

웨이퍼 상에서 검출된 결함이 결함의 설계 데이터 스페이스 위치에 가까운 설계 데이터에 의해 비닝되기 때문에, 설계 데이터 스페이스 내의 결함의 위치는 비닝이 수행되기 이전에 결정될 수 있다. 일 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검출된 결함의 위치의 x 및 y 좌표에 대한 데이터를 취득하는 (또는 이동 함수를 결정하기 위한) 단계를 포함하며, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 다른 실시예에서, 상기 방법은 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터를 소정의 정렬 사이트에 대한 데이터와 비교함으로써 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다. 웨이퍼 상의 정렬 사이트에 대한 데이터를 취득하는 단계는 제품 레이아웃 데이터, 선택적으로는 레티클 프레임 데이터, 및 스테퍼 데이터(또는 스테퍼에의 입력)를 사용하여 웨이퍼 상의 정렬 사이트의 적절한 웨이퍼 스페이스 위치를 결정하는 단계와, 그 적절한 위치에서의 데이터를 취득하는 단계를 포함할 수 있다. 그러한 비교 및 결정 단계는 전술한 바와 같이 수행할 수 있다. 또한, 상기 방법은 웨이퍼 상의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 데이터와 소정의 정렬 사이트에 대한 데이터 비교함으로써 설계 데이터 스페이스 내의 결함의 적어도 일부의 위치를 결정하는 단계를 포함할 수 있다. 결함의 적어도 일부에 대하여 결정된 위치는 설계 데이터 스페이스 내의 다른 결함의 위치를 결정하는데 사용할 수 있다(예컨대, 보고된 결함 위치를 설계 데이터 스페이스로 이동시키기 위한 변환을 생성 및 사용함으로써). 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계는 여기에 기술한 임의의 실시예에 따라 수행할 수 있다.Since the defect detected on the wafer is binned by design data close to the design data space location of the defect, the location of the defect in the design data space can be determined before binning is performed. In one embodiment, the method includes acquiring (or determining a movement function) data for the x and y coordinates of the location of the detected defect in the design data space, which is performed as described herein. can do. In another embodiment, the method includes determining a location of a defect in the design data space by comparing the data obtained by the inspection system for the alignment site with data for a given alignment site. Acquiring data for the alignment site on the wafer may include using product layout data, optionally reticle frame data, and stepper data (or input to the stepper) to determine the appropriate wafer space location of the alignment site on the wafer; And acquiring data at the appropriate location. Such comparison and determination steps can be performed as described above. The method may also include determining the location of at least a portion of the defect in the design data space by comparing the data acquired by the inspection system with respect to the alignment site on the wafer and the data for the predetermined alignment site. The location determined for at least a portion of the defect can be used to determine the location of another defect in the design data space (eg, by creating and using a transform to move the reported defect location into the design data space). Determining the location of a defect in a design data space can be performed according to any of the embodiments described herein.

때때로, 전술한 데이터의 모두는 이용 불가능할 수 있거나, 웨이퍼는 설계 데이터에 따라 적절히 정렬되어 있지 않을 수 있다. 그러한 예에서, 변환 정보의 일부를 검사 또는 리뷰 동안에 웨이퍼로부터 실험적으로 결정하는 것이 유용할 수 있다. 일 실시예에서, 상기 방법은 결함의 검출 동안에 검사 시스템에 의해 취득한 데이터를 리뷰에 의해 결정된 설계 데이터 스페이스 내의 위치에서 리뷰 시스템에 의해 취득된 데이터에 비교함으로써 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 1 이상의 결함에 대한 검사 결과를 리뷰에 의해 결정된 설계 데이터 스페이스 위치에서 취득된 리뷰 결과에 정렬하는 단계를 포함할 수 있다. 또한, 상기 방법은 결함의 검사 동안에 검사 시스템에 의해 취득한 데이터를 리뷰에 의해 결정된 설계 데이터 스페이스 내의 위치에 리뷰 시스템에 의해 취득한 데이터에 비교함으로써, 결함의 적어도 일부의 설계 데이터 스페이스 위치를 결정하는 단계를 포함할 수 있다. 결함의 적어도 일부에 대하여 결정된 위치는 설계 데이터 스페이스 내의 다른 결함의 위치를 결정하는데 사용될 수 있다(예컨대, 보고된 결함 위치를 설계 데이터 스페이스 내의 결함 위치로 이동시키기 위한 정보를 생성 및 사용하여). 하지만, 이러한 접근법은 검사 시스템의 좌표 부정확성에 의해 복잡해질 수 있는 웨이퍼 스케일 오프셋을 제공한다. 따라서, 결함의 보고된 위치 내의 좌표 부정확성이 존재하는 경우, 변환 함수를 측정의 통계적 샘플에 기초하는 것이 유리할 수 있다.At times, all of the foregoing data may not be available, or the wafer may not be properly aligned in accordance with the design data. In such instances, it may be useful to experimentally determine some of the conversion information from the wafer during inspection or review. In one embodiment, the method determines the location of the defect in the design data space by comparing the data acquired by the inspection system during detection of the defect to the data acquired by the review system at a location in the design data space determined by the review. It includes. In this manner, the method may include aligning test results for one or more defects with review results obtained at a design data space location determined by the review. The method further includes determining the design data space position of at least a portion of the defect by comparing the data acquired by the inspection system during inspection of the defect to the data acquired by the review system at a position in the design data space determined by the review. It may include. The location determined for at least a portion of the defect can be used to determine the location of another defect in the design data space (eg, generating and using information to move the reported defect location to the defect location in the design data space). However, this approach provides a wafer scale offset that can be complicated by the coordinate inaccuracy of the inspection system. Thus, if there are coordinate inaccuracies within the reported location of the defect, it may be advantageous to base the transform function on a statistical sample of the measurement.

설계 데이터 스페이스 내의 결함의 위치가 결정된 후에, 결정된 위치 주변의 설계 데이터 부분을 추출할 수 있어서, 설계 데이터의 추출된 부분은 결함을 비닝하고, 여기에 기술한 다른 단계를 수행하는데 사용할 수 있다. 또한, 비닝을 위해 설계 데이터의 추출된 부분을 사용하기 이전에, 추출 부분의 각각에 대응하고 포함하는 부분 세트를 생성하기 위해, 각각의 (또는 1 이상의)의 추출 부분이 반사되고(mirrored), 회전되고, 스케일되고, 이동(시프트)되고, 또는 그것의 일부 조합이 행해질 수 있다. 부분의 세트는 비닝 방법의 정확도를 증가시키기 위해 비닝에 대해 사용될 수 있다.After the location of the defect in the design data space has been determined, a portion of the design data around the determined location can be extracted so that the extracted portion of the design data can be used to bin the defect and perform the other steps described herein. Further, before using the extracted portions of the design data for binning, each (or more than one) of the extracted portions is mirrored to produce a set of portions corresponding to and including each of the extracted portions, It can be rotated, scaled, moved (shifted), or some combination thereof. A set of portions can be used for binning to increase the accuracy of the binning method.

상기 방법은 x방향에서의 치수(예컨대, 폭), y방향에서의 치수(예컨대, 길이), 및 z방향에서의 치수(예컨대, 높이), 여기에 기술한 임의의 속성, 또는 것의 일부 조합과 같은 검출 결함의 1 이상의 속성을 결정하는 단계를 포함할 수 있다. 1 이상의 속성은 테이블 또는 리스트와 같은 임의의 적합한 구조에 조직화 및/또는 저장될 수 있다. 다른 실시예에서, 결함을 비닝하는 단계는 각각의 그룹 내의 결함의 설계 데이터 스페이스 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 각 그룹 내의 결함의 1 이상의 속성이 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 그러한 일 실시예에서, 결함의 1 이상의 속성은 결함이 검출된 검사 결과의 1 이상의 속성, 1 이상의 검사 매개변수, 또는 그것의 일부 조합을 포함한다. 검사 결과의 1 이상의 속성은 예컨대, 결함이 우선적으로 검출된, 광학 모드 및/또는 편광, 수집 각도, 입사 각도 등과 같은 다른 검사 매개변수를 포함할 수 있다. 또한(또는 대안적으로), 1 이상의 속성은 여기에 기술한 결함의 임의의 다른 속성을 포함할 수 있다. 이러한 방식에서, 비닝은 결함이 설계 데이터 및 결함 속성로 분리되도록 수행할 수 있다. 그러한 비닝은 설계 데이터의 적어도 유사한 부분 내에 위치한 다른 결함 유형 또는 다른 속성을 갖는 결함이 다른 그룹으로 분리될 수 있도록 수행할 수 있다.The method comprises some combination of dimensions (eg, width) in the x direction, dimensions (eg, length) in the y direction, and dimensions (eg, height) in the z direction, any of the attributes described herein, or the like. Determining one or more attributes of the same detection defect. One or more attributes may be organized and / or stored in any suitable structure, such as a table or list. In another embodiment, binning the defects bins the defects into groups such that portions of design data close to the design data space locations of the defects in each group are at least similar, and one or more attributes of the defects in each group are at least similar. It includes a step. In one such embodiment, the one or more attributes of the defect include one or more attributes, one or more inspection parameters, or some combination thereof, of the inspection results for which the defect was detected. One or more attributes of the inspection results may include, for example, optical modes and / or other inspection parameters such as polarization, acquisition angle, angle of incidence, etc., in which defects are preferentially detected. In addition (or alternatively), the one or more attributes may include any other attribute of the defects described herein. In this manner, binning can be performed to separate defects into design data and defect attributes. Such binning can be performed such that defects with different defect types or other attributes located within at least similar portions of the design data can be separated into different groups.

일부 실시예에서, 여기에 기술한 바와 같이 비닝된 결함은 광학 또는 전자 빔 검사에 의해 검출된다. 광학 및 전자 빔 검사는 여기에 기술한 검사 시스템에 의해 수행할 수 있다. 다른 실시예에서, 여기에 기술한 바와 같이 비닝된 결함은 PWQ 또는 FEM 방법에서 검출되며, 이는 여기에 기술한 바와 같이 수행할 수 있다. 여기에 기술한 실시예는 PWQ 또는 FEM 방법에서 검출된 결함에 대해 특히 유용할 수 있다. 예컨대, 여기에 기술한 방법은 잠재적인 체계적 이슈가 더욱 용이하고 정확히 식별될 수 있도록, PWQ 및 FEM 방법에서 검출된 결함을 필터링하는데 사용할 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 여기에 기술한 방법 실시예는 PWQ 및 FEM 방법에 의해 검출된 결함을 유용한 그룹으로 비닝하는데 사용할 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 여기에 기술한 방법 실시예는 리뷰, 측정 또는 테스트를 위해 비닝된 PWQ 및 FEM 결함을 우선순위화 하는데 사용할 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 상기 방법은 검사 및/또는 전기 테스트 결함을 적어도 유사한 설계/레이아웃 패턴에 기초하여 그룹으로 비닝하는 단계를 포함할 수 있다.In some embodiments, binned defects as described herein are detected by optical or electron beam inspection. Optical and electron beam inspection can be performed by the inspection system described herein. In another embodiment, binned defects as described herein are detected in a PWQ or FEM method, which may be performed as described herein. The embodiments described herein may be particularly useful for defects detected in PWQ or FEM methods. For example, the methods described herein can be used to filter out defects detected in the PWQ and FEM methods, so that potential systematic issues can be more easily and accurately identified, which can be performed as further described herein. . In addition, the method embodiments described herein may be used to bin defects detected by the PWQ and FEM methods into useful groups, which may be performed as further described herein. In addition, the method embodiments described herein may be used to prioritize binned PWQ and FEM defects for review, measurement, or testing, which may be performed as further described herein. The method may also include binning inspection and / or electrical test defects into groups based at least on similar design / layout patterns.

일 실시예에서, 여기에 기술한 실시예에서 비닝된 결함을 검출하는데 사용된 검사 시스템은 웨이퍼 상의 3개 또는 4개의 정렬 사이트에 정렬될 수 있다. 또한, 정렬 사이트는 여기에 추가로 기술하는 바와 같이 선택될 수 있다. 또한, 물리적 웨이퍼 상에서 또는 설계 데이터나 레이아웃 내에서 가시적인 1 이상의 정렬 특징, 패턴, 및/또는 구조는 여기에 기술한 방법에 사용되도록 선택될 수 있다. 검사 시스템이 정렬 사이트에 정렬된 후에, 스테이지 위치 정확도, 임의의 회전 에러, x 및 y 이동 에러, 배율(스케일링) 에러, 또는 그것의 임의의 조합이 보정될 수 있다. 이러한 보정은 검사 프로세스 동안에 일어나거나, 포스트-프로세스(예컨대, 검사 결과가 생성된 후에 수행되는)로 수행될 수 있다. 상기 보정은 검사 시스템에 의해 보고된 정렬 사이트에 대한 좌표의 비교 및 동일한 정렬 사이트에 대한 기준 좌표에 적어도 부분적으로 기초할 수 있다.In one embodiment, the inspection system used to detect binned defects in the embodiments described herein may be aligned to three or four alignment sites on the wafer. In addition, the alignment site may be selected as described further herein. In addition, one or more alignment features, patterns, and / or structures visible on the physical wafer or within the design data or layout may be selected for use in the methods described herein. After the inspection system is aligned at the alignment site, stage position accuracy, any rotational error, x and y movement error, magnification (scaling) error, or any combination thereof can be corrected. Such correction may occur during the inspection process or may be performed in a post-process (eg, performed after the inspection results are generated). The correction may be based at least in part on the comparison of the coordinates for the alignment sites reported by the inspection system and the reference coordinates for the same alignment site.

일부 실시예에서, 상기 방법은 웨이퍼의 좌측, 우측, 상부, 저부 및 중앙에 있는 다이와 같은 웨이퍼 상의 복수 다이에서의 3 또는 4개의 정렬 사이트에 대한 좌표를 획득하는 단계를 포함할 수 있다. 다른 실시예에서, 웨이퍼 상의 정렬 사이트는 웨이퍼 상의 3개의 다른 사이트에 위치된다. 그러한 일 실시예는 도 18에 도시된다. 도 18에 도시한 바와 같이, 웨이퍼는 복수의 다이(128)를 포함한다. 정렬 사이트(130)는 다이(128a, 128b 및 128c) 내에 위치될 수 있다. 측정 사이트가 3 개의 다이에만 도시되지만, 정렬 사이트는 웨이퍼 상의 각각의 다이에 위치될 수 있다는 것을 이해해야 한다. 각각의 다이 내의 정렬 세트의 서브세트 또는 다이의 서브세트 내의 정렬 사이트는 여기에 기술한 방법에 사용할 수 있다.In some embodiments, the method may include obtaining coordinates for three or four alignment sites in multiple dies on the wafer, such as dies on the left, right, top, bottom, and center of the wafer. In another embodiment, the alignment sites on the wafer are located at three different sites on the wafer. One such embodiment is shown in FIG. 18. As shown in FIG. 18, the wafer includes a plurality of dies 128. Alignment site 130 may be located within dies 128a, 128b and 128c. While the measurement site is shown on only three dies, it should be understood that the alignment sites can be located on each die on the wafer. A subset of alignment sets in each die or alignment sites in a subset of dies can be used in the methods described herein.

상기 방법은 다이 내의 삼각형 분포로 3개의 공통 정렬 사이트(즉, 웨이퍼 상에 인쇄된 다이 및 설계 데이터(예컨대, GDS 레이아웃)에 공통적인 정렬 사이트)를 식별하는 단계를 포함할 수 있다. 예컨대, 도 18에 도시한 바와 같이, 정렬 사이트(130)는 다이(128a, 128b 및 128c) 내에 삼각형 분포로 배열된다. 그러한 일 실시예에서, 3개의 상이한 다이가 소정의 배열(예컨대, 3각형 또는 다른 배열)로 웨이퍼에 걸쳐 분포될 수 있다. 예컨대, 도 18에 도시한 바와 같이, 다이(128a, 128b 및 128c)는 웨이퍼(126) 상에 삼각형 배열(132)로 위치된다. 이러한 방식에서, 상기 방법은 웨이퍼 상의 정렬 사이트에 대하여 검사 시스템에 의해 취득한 정렬 이미지(예컨대, BF 및/또는 DF 이미지)를 소정의 정렬 사이트에 대한 데이터에 정렬시키는 단계를 포함할 수 있다. 상기 방법은 검사 시스템에 의해 취득한 검사 데이터의 좌표를 설계 데이터 좌표(예컨대, GDS 좌표_로 매핑하는 단계와, 변환 매트릭스를 전개하는 단계를 포함할 수 있다. 변환 매트릭스는 다음과 같이 임의의 적합한 방식으로 표현된다:The method may include identifying three common alignment sites (ie, alignment sites common to die and printed design data (eg, GDS layout) printed on a wafer) with a triangular distribution within the die. For example, as shown in FIG. 18, alignment sites 130 are arranged in a triangular distribution within dies 128a, 128b and 128c. In one such embodiment, three different dies may be distributed across the wafer in a predetermined arrangement (eg, triangle or other arrangement). For example, as shown in FIG. 18, dies 128a, 128b and 128c are positioned in a triangular arrangement 132 on wafer 126. In this manner, the method may include aligning the alignment image (eg, BF and / or DF image) acquired by the inspection system with respect to the alignment site on the wafer to the data for the given alignment site. The method may include mapping coordinates of inspection data obtained by the inspection system to design data coordinates (eg, GDS coordinates) and developing a transformation matrix. Is expressed as:

Figure pat00001
Figure pat00001

이들 정렬 사이트의 좌표는 검사 시스템 사이의 좌표 차이를 제거하기 위해 "툴 매칭(tool matching)"을 수행(예컨대, 자동으로 수행)하는데 사용될 수 있다. 그러한 방법의 하나의 이점은, 모든 검사 웨이퍼에 대하여 개별적으로 그리고 자동으로 결정될 수 있어서, 웨이퍼당 보정 인자 세트를 생성한다는 것이다. 그러한 방법의 다른 이점은, 결정된 좌표가 웨이퍼에 걸쳐 검사 시스템 또는 다른 검사 시스템에서의 좌표 드리프트(drift)(예컨대, 누적 에러, 스테이지 이동 에러, 및 기계적, 전기적 및 열적 노이즈에 의해 야기된 에러)(그렇지 않은 경우 설계 데이터에 대한 검사 데이터의 정렬 정확도를 결정하기 위해 사용될 수 있다는 것이다.The coordinates of these alignment sites can be used to perform (eg, automatically perform) "tool matching" to eliminate coordinate differences between inspection systems. One advantage of such a method is that it can be determined individually and automatically for every test wafer, creating a set of correction factors per wafer. Another advantage of such a method is that the coordinates determined are coordinate drift in the inspection system or other inspection system across the wafer (e.g., errors caused by cumulative errors, stage shift errors, and mechanical, electrical and thermal noise) ( Otherwise it can be used to determine the accuracy of alignment of the inspection data with respect to the design data.

전술한 바와 같이, 부분 내의 설계 데이터를 비교하는 단계는 그 부분의 적어도 일부에 있는 설계 데이터 전체를 다른 부분에 있는 설계 데이터에 비교하는 단계를 포함할 수 있다. 이러한 방식에서, 그와 같은 비교 결과는 소스 부분 내의 설계 데이터의 전부가 타깃 부분 내의 설계 데이터의 적어도 일부와 적어도 유사한지를 결정하는데 사용할 수 있다. 하지만, 대안적인 실시예에서, 부분 내의 설계 데이터를 비교하는 단계는 그 부분의 적어도 일부 내의 설계 데이터를 다른 부분 내의 설계 데이터와 비교하는 단계를 포함할 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 소스 부분의 복수 영역 내의 설계 데이터는 타깃 부분의 영역 내의 설계 데이터와 적어도 유사하거나 동일할 수 있으며, 그러한 비교 결과는 타깃 부분 내의 설계 데이터의 유사-사이즈 영역에 적어도 유사하거나 동일한 소스 부분 내의 설계 데이터의 최대 영역을 식별하는데 사용할 수 있다. 이러한 방식에서, 상기 방법은 설계 데이터 내의 타깃 결함 및 소스 결함의 위치에 가까운 설계 데이터가 "유사하거나" 적어도 유사한지를 결정하는 단계를 포함할 수 있다. 따라서, 이러한 방법은 여기에 기술한 바와 같은 결함의 백그라운드 기반 비닝에 있어서 특정 설계 층에서 더욱 효과적일 수 있다.As noted above, comparing the design data in the portion may include comparing all of the design data in at least a portion of that portion to design data in another portion. In this manner, such comparison results can be used to determine whether all of the design data in the source portion is at least similar to at least some of the design data in the target portion. However, in alternative embodiments, comparing the design data in the portion may include comparing the design data in at least a portion of the portion with the design data in the other portion, as further described herein. Can be done. In addition, the design data in the plurality of regions of the source portion may be at least similar or identical to the design data in the region of the target portion, and the result of the comparison is a design in the source portion that is at least similar or identical to the pseudo-size region of the design data in the target portion. Can be used to identify the maximum area of data. In this manner, the method may include determining whether design data close to the location of the target defect and the source defect in the design data are “similar” or at least similar. Thus, this method may be more effective at certain design layers in the background based binning of defects as described herein.

본 방법의 그러한 일 실시예는 도 19에 도시된다. 예컨대, 도 19에 도시한 바와 같이, 그 방법은 설계 데이터 스페이스(138) 내의 결함(136)의 위치에 가까운 설계 데이터(도시 생략)의 부분(134)을 규정하는 단계를 포함할 수 있다. 결함(136)은 여기에서 "소스 결함"이라 칭한다. 설계 데이터의 부분(134)을 규정하는 단계는 부분의 치수를 선택하는 단계를 포함할 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 상기 방법은 설계 데이터의 부분을 1 이상의 다른 영역으로 분리, 세그먼트화, 또는 구획하는 단계를 또한 포함할 수 있다. 예컨대, 도 19에 도시한 바와 같이, 부분(134)은 4개의 다른 영역(140, 142, 144 및 146)으로 분할될 수 있다. 부분(134)가 내부로 분리되는 다른 부분을 본 예에서 "소스 4분면"이라 칭할 수 있다. 부분(134)이 도 19에서 4개의 소스 4분면으로 분할되는 것으로 도시하였지만, 그 부분은 임의의 적합한 수의 영역으로 분할될 수 있다는 것을 이해해야 한다. 모든 영역은 동일한 사이즈를 가질 수 있거나, 영역의 모두 또는 일부는 다른 사이즈를 가질 수 있다.One such embodiment of the method is shown in FIG. 19. For example, as shown in FIG. 19, the method may include defining a portion 134 of design data (not shown) close to the location of the defect 136 in the design data space 138. The defects 136 are referred to herein as "source defects. &Quot; Defining a portion 134 of design data may include selecting a dimension of the portion, which may be performed as described further herein. The method may also include separating, segmenting, or partitioning portions of design data into one or more other regions. For example, as shown in FIG. 19, portion 134 may be divided into four different regions 140, 142, 144, and 146. Another portion where portion 134 is separated inwardly may be referred to as a "source quadrant" in this example. Although portion 134 is shown as being divided into four source quadrants in FIG. 19, it should be understood that the portion may be divided into any suitable number of regions. All regions may have the same size, or all or some of the regions may have different sizes.

본 예에서, 상기 방법은 소스 4분면(140, 142, 144 및 146) 내의 설계 데이터를 설계 데이터 스페이스(138) 내의 결함(150)의 위치에 가까운 설계 데이터(도시 생략)에 비교하는 단계를 포함한다. 결함(150)은 여기에서 "타깃 결함"이라 칭한다. 도 19에 도시한 바와 같이, 부분(148)은 결함(150)보다 크며, 적어도 부분(134)만큼 크다. 부분(148)의 치수는 전술한 바와 같이 선택될 수 있다.In this example, the method includes comparing design data in source quadrants 140, 142, 144, and 146 to design data (not shown) close to the location of defect 150 in design data space 138. do. The defect 150 is referred to herein as a "target defect". As shown in FIG. 19, portion 148 is larger than defect 150 and at least as large as portion 134. The dimension of the portion 148 may be selected as described above.

각각의 소스 4분면 내의 설계 데이터는 타깃 부분의 다른 영역 내의 설계 데이터와 비교될 수 있다. 이러한 방식에서, 상기 방법은 타깃 부분 내의 각각의 소스 4분면 내의 설계 데이터에 대한 검색단계를 포함할 수 있다. 이러한 예에서, 상기 방법은 상기 비교 단계의 결과에 기초하여 소스 4분면 내의 설계 데이터가 타깃 부분 내의 설계 데이터와 적어도 유사한지를 결정하는 단계를 포함한다. 예컨대, 상기 방법은 각각의 소스 4분면 내의 설계 데이터가 타깃 부분 내의 설계 데이터와 어떻게 유사한지를 결정하는 단계를 포함할 수 있다. 그와 같이, 소스 4분면의 일부 또는 전부 내의 설계 데이터가 타깃 부분 내의 설계 데이터와 적어도 유사하거나, 그것의 아무것도 유사하지 않다고 결정될 수 있다. 오버레이(152)로 도시한 바와 같이, 4개의 소스 4분면의 3개 내의 설계 데이터는 오버레이(152) 내에 도시한 소스 4분면(140, 144 및 146)의 위치에서의 부분(148)의 영역 내의 설계 데이터와 적어도 유사하다고 결정된다.The design data in each source quadrant can be compared with the design data in other areas of the target portion. In this manner, the method may include searching for design data in each source quadrant in the target portion. In this example, the method includes determining whether the design data in the source quadrant is at least similar to the design data in the target portion based on the result of the comparing step. For example, the method may include determining how the design data in each source quadrant is similar to the design data in the target portion. As such, it may be determined that the design data in some or all of the source quadrants is at least similar to, or none of, the design data in the target portion. As shown by overlay 152, design data in three of the four source quadrants is in the area of portion 148 at the location of source quadrants 140, 144, and 146 shown within overlay 152. It is determined to be at least similar to the design data.

이러한 방식에서, 상기 방법은, 대응하는 설계 데이터에 기초하여 어느 결함이 적어도 그룹으로 비닝될 수 있는지를 결정하기 위해, 소스 4분면 내의 설계 데이터를 타깃 부분 내의 설계 데이터에 비교하는 단계를 포함할 수 있다. 각각의 소스 4분면 및 타깃 부분 내의 설계 데이터가 적어도 유사한지의 판정 결과는, 얼마나 많은 그리고 소스 4분면의 어느 것이 타깃 부분 내의 설계 데이터에 적어도 유사한 설계 데이터를 포함한다고 결정되는지의 지시(indication)를 포함할 수 있다. 판정 단계의 결과는 적어도 유사한 설계 데이터가 발견된 타깃 부분 내의 각각의 소스 4분면과 타깃 부분 사이의 x 및 y 오프셋을 또한 포함할 수 있다. 소스 결함이 타깃 결함과 함께 그룹으로 비닝되는 지의 여부는, 얼마나 많은 그리고 소스 4분면의 어느 것이 타깃 부분 내의 설계 데이터에 적어도 유사한 설계 데이터를 포함한다고 판정되었는지와, 적어도 유사한 설계 데이터가 발견된 타깃 부분 내의 각각의 소스 4분면과 타깃 부분 사이의 오프셋에 기초하여, 결정될 수 있다.In this manner, the method may include comparing design data in the source quadrant to design data in the target portion to determine which defects may be binned into at least a group based on corresponding design data. have. The determination of whether the design data in each source quadrant and the target portion is at least similar includes an indication of how many and which of the source quadrants are determined to include at least similar design data in the design data in the target portion. can do. The result of the determining step may also include x and y offsets between the target portion and each source quadrant in the target portion where at least similar design data was found. Whether or not the source defects are binned together with the target defects determines how many and which of the source quadrants contain at least similar design data in the design data within the target portion, and at least the target portion where similar design data was found. Based on the offset between each source quadrant in the target and the target portion, it may be determined.

일부 실시예에서, 각각의 소스 4분면 및 타깃 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 이러한 방식에서, 상기 방법은 적어도 유사한 설계 데이터에 대하여 하나의 설계 층을 체크하여 결함을 비닝하는 단계 또는 적어도 유사한 설계 데이터에 대하여 설계 층(예컨대, 다중-층)의 세트를 체크하여 결함을 비닝하는 단계를 포함할 수 있다.In some embodiments, the design data in each source quadrant and target portion includes design data for one or more design layers. In this manner, the method includes checking at least one design layer for at least similar design data to bin the defects or at least checking a set of design layers (eg, multi-layers) for similar design data to bin the defects. It may include a step.

소스 4분면 내의 설계 데이터가 타깃 부분 내의 설계 데이터와 적어도 유사한지의 여부에 관계없이, 상기 방법은 각각의 소스 4분면을 다른 결함의 설계 데이터 스페이스 내의 위치에 가까운 설계 데이터의 다른 부분과 비교하는 단계를 또한 포함할 수 있다.Regardless of whether the design data in the source quadrant is at least similar to the design data in the target portion, the method includes comparing each source quadrant with another portion of the design data close to a location in the design data space of the other defect. It may also include.

그러한 일 예에서, 소스 4분면(140, 142, 144 및 146) 내의 설계 데이터는 설계 데이터 스페이스(138) 내의 결함(156)의 위치에 가까운 설계 데이터(도시 생략)의 부분(154)과 비교될 수 있다. 소스 4분면 및 부분(154) 내의 설계 데이터는 전술한 바와 같이 비교할 수 있다. 상기 방법은 각각의 소스 4분면 내의 설계 데이터가 부분(154) 내의 설계 데이터와 적어도 유사한지를 결정하는 단계를 또한 포함하고, 이는 전술한 바와 같이 수행할 수 있다. 오버레이(158)에 도시한 바와 같이, 4분면 중 두 개(예컨대, 4분면(144 및 146))은 오버레이(158)에 도시한 4분면의 위치에서 부분(154) 내의 것과 적어도 유사한 설계 데이터를 포함하도록 결정된다. 따라서, 상기 방법은 설계 데이터 스페이스 내의 결함(136 및 156)의 위치에 가까운 설계 데이터가 결함(136 및 150)과 덜 유사한지를 결정할 수 있다. 설계 데이터 스페이스 내의 결함(136 및 156)의 위치에 가까운 설계 데이터가 결함(136 및 156)을 동일한 그룹으로 비닝하는데 충분히 유사한지의 여부는 전술한 바와 같이 결정할 수 있다.In one such example, design data in source quadrants 140, 142, 144, and 146 may be compared to portion 154 of design data (not shown) near the location of defect 156 in design data space 138. Can be. Design data in the source quadrant and portion 154 can be compared as described above. The method also includes determining whether the design data in each source quadrant is at least similar to the design data in the portion 154, which may be performed as described above. As shown in overlay 158, two of the quadrants (eg, quadrants 144 and 146) have at least similar design data to those in portion 154 at the positions of the quadrants shown in overlay 158. Is determined to include. Thus, the method may determine whether design data close to the location of defects 136 and 156 in the design data space is less similar to defects 136 and 150. It can be determined as described above whether the design data close to the locations of the defects 136 and 156 in the design data space are similar enough to bin the defects 136 and 156 into the same group.

그러한 다른 예에서, 소스 4분면(140, 142, 144 및 146) 내의 설계 데이터는 설계 데이터 스페이스(138) 내의 결함(162)의 위치에 가까운 설계 데이터(도시 생략)의 부분(160)과 비교될 수 있다. 소스 4분면 및 부분(160) 내의 설계 데이터는 전술한 바와 같이 비교할 수 있다. 상기 방법은 각각의 소스 4분면 내의 설계 데이터가 부분(160) 내의 설계 데이터와 적어도 유사한지를 결정하는 단계를 또한 포함하고, 이는 전술한 바와 같이 수행할 수 있다. 오버레이(164)에 도시한 바와 같이, 4개의 4분면중 두 개(예컨대, 사분면(142 및 144))는 오버레이(164) 내에 도시한 소스 4분면의 위치에서 설계 데이터의 부분(160)과 적어도 유사한 설계 데이터를 포함하도록 결정된다. 따라서, 상기 방법은 설계 데이터 스페이스 내의 결함(136 및 162)의 위치에 가까운 설계 데이터가 설계 데이터 스페이스 내의 결함(136 및 150)의 위치에 가까운 설계 데이터보다 덜 유사한지를 결정할 수 있다. 설계 데이터 스페이스 내의 결함(136 및 162)의 위치에 가까운 설계 데이터가 결함(136 및 162)을 동일한 그룹으로 비닝하는데 충분히 유사한지의 여부는 전술한 바와 같이 수행할 수 있다.In another such example, design data in source quadrants 140, 142, 144, and 146 may be compared to portion 160 of design data (not shown) close to the location of defect 162 in design data space 138. Can be. Design data in the source quadrant and portion 160 may be compared as described above. The method also includes determining whether the design data in each source quadrant is at least similar to the design data in the portion 160, which may be performed as described above. As shown in overlay 164, two of the four quadrants (eg, quadrants 142 and 144) are at least partially aligned with portion 160 of design data at the location of the source quadrant shown within overlay 164. It is determined to include similar design data. Thus, the method may determine whether design data close to the position of defects 136 and 162 in the design data space are less similar than design data close to the position of defects 136 and 150 in the design data space. Whether the design data close to the locations of the defects 136 and 162 in the design data space are sufficiently similar to bin the defects 136 and 162 into the same group can be performed as described above.

전술한 바와 같이 결정된 4분면 정보는 저장 및/또는 표시될 수 있다. 이 정보는 셋업, 입증, 및 오류의 수리 목적으로 사용할 수 있다.The quadrant information determined as described above may be stored and / or displayed. This information can be used for setup, verification, and repair of errors.

상기 방법은 설계 데이터 내의 특유 패턴의 테이블, 리스트 또는 다른 데이터 구조를 동적으로 컴파일링(compiling) 하고, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 테이블, 리스트 또는 다른 데이터 구조 내의 패턴과 비교함으로써, 체계적 결함 및 뉴슨스 결함(예컨대, 실제 관심 있거나 없는 결함)의 온-툴 분류를 포함한다. 동적으로 생성된 패턴 세트(또는 정적 패턴 세트)는 각각의 패턴과 연관된 설계 기반 분류(DBC)와 함께 라이브러리와 같은 데이터 구조에 저장될 수 있다. 이러한 방식에서, DBC는 결함이 비닝될 수 있는 그룹을 규정할 수 있고, 특유의 패턴은 POI 설계 예를 포함할 수 있다. 그와 같이, 설계 데이터 스페이스 결함 위치에 가까운 설계 데이터는 다른 설계 데이터 스페이스 결함 위치에 가까운 설계 데이터가 아니라, 동적으로 생성된 패턴 세트 내의 특유의 패턴에 비교된다. 예컨대, 그러한 데이터 구조(동적으로 생성되거나 생성되지 않을 수 있는)를 이용할 수 있는 일 실시예는 웨이퍼 상에서 검출된 결함에 범주를 할당하기 위한 컴퓨터-구현 방법이며, 이는 이하에 기술된다.The method dynamically compiles a table, list or other data structure of a unique pattern in the design data and replaces a portion of the design data close to the location of a defect in the design data space with the pattern in the table, list or other data structure. By comparison, it includes on-tool classification of systematic defects and Newson's defects (eg, defects with or without actual interest). Dynamically generated pattern sets (or static pattern sets) may be stored in a data structure, such as a library, with a design based classification (DBC) associated with each pattern. In this manner, the DBC may define a group in which defects can be binned, and the unique pattern may include a POI design example. As such, design data close to the design data space defect location is compared to the unique pattern in the dynamically generated pattern set, rather than design data close to the other design data space defect locations. For example, one embodiment that may utilize such data structures (which may or may not be generated dynamically) is a computer-implemented method for assigning categories to defects detected on a wafer, which is described below.

또한, 일부 실시예에서, 컴퓨터-구현 방법은 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 이러한 방식에서, 결함을 비닝하는 단계는 "온-툴"로 수행될 수 있다. 상기 방법의 하나의 이점은, 결과에 대한 시간이 빠를 수 있다는 것이다. 상기 방법은 결함이 검출된 후에 임의의 시간에서(예컨대, 다른 결함이 검출되고 있는 동안의 또는 그 후의 검사 동안, 검사 결과의 분석 동안, 리뷰 동안 등) 온-툴로 수행할 수 있다. 또한, 잠재적인 체계적 결함 또는 체계적 결함의 위치(핫 스폿)와, 비닝을 위해 사용한 데이터는 데이터 구조(예컨대, 핫 스폿 데이터베이스)에 저장되고, 검사 비교(감시)를 위해 사용될 수 있다. 따라서, 비닝은 더 낳은 분류(탐색을 위한 비닝, 필터링 또는 감시)를 제공하기 위해 검사 동안에 수행될 수 있다.In addition, in some embodiments, the computer-implemented method is performed by an inspection system used to detect a defect. In this manner, binning the defect can be performed "on-tool." One advantage of the method is that the time for the result can be quick. The method may be performed on-tool at any time after a defect has been detected (eg, during or after other defects are being detected, during analysis of test results, during review, etc.). In addition, the location (hot spot) of potential systematic defects or systematic defects and the data used for binning are stored in a data structure (eg, hot spot database) and can be used for inspection comparison (monitoring). Thus, binning can be performed during inspection to provide better sorting (binning, filtering or monitoring for search).

대안적인 실시예에서, 컴퓨터 구현 방법은 결함을 검출하기 위해 사용된 검사 시스템 이외의 시스템에 의해 수행된다. 이러한 방식에서, 여기에 기술한 방법 실시예는 "오프-툴"로 수행될 수 있다. 상기 방법을 오프-툴로 수행하는 시스템은 예컨대, 현미경(광학 또는 전자 빔), 리뷰 시스템, 웨이퍼가 로드되지 않은 시스템(예컨대, 독립 컴퓨터 시스템), 또는 상기 방법을 수행하도록 구성될 수 있는 당업계에 알려진 임의의 다른 적합한 시스템을 포함할 수 있다. 예컨대, 상기 방법은 검출된 결함의 적어도 일부의 이미지를 취득하는데 현미경이 사용되는 웨이퍼의 제 2 패스 동안에 결함 검출 후에 수행될 수 있다. 그러한 이미지 취득은, 전자 빔 현미경이 일부 결함(예컨대, 웨이퍼의 상면 아래에 위치한 결함과 같이 전자 빔 현미경에는 가시적이지 않는 결함)을 촬상할 수 없기 때문에, 광학 현미경을 사용하여 수행할 수 있다. 이미지 취득은 오프-라인으로 수행되고, 리뷰를 위해 결함의 더 낳은 샘플링을 제공하는데 사용될 수 있다. 결함의 비닝은 여기에 추가로 기술하는 바와 같이 결함을 분석 및 샘플링하는데 사용할 수 있다.In alternative embodiments, the computer implemented method is performed by a system other than the inspection system used to detect the defect. In this manner, the method embodiments described herein may be performed with an "off-tool". Systems that perform the method off-tool are, for example, in the art that can be configured to perform the method, such as a microscope (optical or electron beam), a review system, a system without a wafer (eg, an independent computer system), or a method. And any other suitable system known. For example, the method may be performed after defect detection during a second pass of the wafer where a microscope is used to acquire an image of at least a portion of the detected defect. Such image acquisition can be performed using an optical microscope since the electron beam microscope cannot capture some defects (eg, defects that are not visible to the electron beam microscope, such as defects located below the top surface of the wafer). Image acquisition is performed off-line and can be used to provide better sampling of defects for review. Binning of defects can be used to analyze and sample the defects as described further herein.

일부 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 설계 데이터 내의 핫 스폿을 식별하는 단계를 포함한다. 이러한 방식에서, 설계 기반 비닝은 핫 스폿의 탐색을 위해 사용될 수 있다. 또한, 핫 스폿의 탐색은 온-툴로 수행할 수 있다. 상기 방법은 탐색된 핫 스폿과, 위치, 핫 스폿의 위치에 가까운 설계 데이터 등과 같은 핫 스폿의 1 이상의 속성을 포함하는 데이터 구조를 생성하는 단계를 포함할 수 있다. 데이터 구조는 리스트, 데이터베이스, 파일 등을 포함할 수 있다. 핫 스폿은 핫 스폿 관리(가능하게는 온-툴)를 위해 사용될 수 있다. 핫 스폿 관리는 핫 스폿을 탐색하는 단계를 포함할 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 설계 기반 비닝에 의해 탐색된 핫 스폿은 디자인스캔, PWQ, DOE, 및 리뷰를 위한 입력으로 사용될 수 있다. 대안적으로, 여기에 기술한 방법에 사용된 핫 스폿은 레티클 검사 시스템과 같이 당업계에 알려진 임의의 다른 방법 및 시스템을 사용하여 탐색될 수 있다.In some embodiments, the method includes identifying hot spots in the design data based on the results of the binning step. In this way, design based binning can be used for the search for hot spots. In addition, searching for hot spots can be performed on-tool. The method may include generating a data structure that includes the hot spots found and one or more attributes of the hot spots, such as location, design data close to the location of the hot spots, and the like. Data structures can include lists, databases, files, and the like. Hot spots can be used for hot spot management (possibly on-tool). Hot spot management may include searching for hot spots, which may be performed as further described herein. In addition, hot spots searched by design-based binning can be used as inputs for design scans, PWQ, DOE, and reviews. Alternatively, hot spots used in the methods described herein may be searched using any other method and system known in the art, such as a reticle inspection system.

도 20은 여기에 기술한 실시예에 따라 웨이퍼 상에서 검출된 결함을 비닝하기 위한 컴퓨터-구현 방법을 수행하도록 구성된 모듈(166)에의 입력 및 그것으로부터의 출력의 일 실시예를 도시한다. 모듈(166)은 GDS 패턴 체커(임의의 두 결함의 설계 데이터 스페이스 위치에 가까운 설계 데이터의 위치 또는 설계 데이터의 정확성 체커) 및/또는 유사성 체커(비-정확성 체커)로서 기능하도록 구성될 수 있다. 모듈은 여기에 기술한 1 이상의 단계를 온-툴 또는 오프-툴로 수행하도록 구성된다. 예컨대, 모듈은 여기에 기술한 1 이상의 단계를 온-툴 포스트-프로세스(예컨대, 온-툴, 포스트-결함 검출)로 수행하도록 구성될 수 있다. 또한, 모듈은 결함 검출 동안에 여기에 기술한 1 이상의 단계를 수행하도록 구성될 수 있다. 여기에 기술한 1 이상의 단계를 온-툴로 수행하도록 모듈이 구성되면, 그 모듈은 결함 조직화와 같은 여기에 기술하는 다른 기능을 수행하도록 구성될 수 있다.FIG. 20 illustrates one embodiment of input to and output from module 166 configured to perform a computer-implemented method for binning detected defects on a wafer in accordance with an embodiment described herein. Module 166 may be configured to function as a GDS pattern checker (the location of design data close to the design data space location of any two defects or the accuracy checker of the design data) and / or the similarity checker (non-accuracy checkers). The module is configured to perform one or more steps described herein on- or off-tool. For example, the module may be configured to perform one or more steps described herein in an on-tool post-process (eg, on-tool, post-defect detection). In addition, the module can be configured to perform one or more steps described herein during fault detection. If a module is configured to perform one or more steps described herein on-tool, the module can be configured to perform other functions described herein, such as defect organization.

모듈(166)에의 입력은 결함 리스트(168)를 포함한다. 일 실시예에서, 결함 리스트(168)는 KLARF 파일 또는 검사 시스템에 의해 생성될 수 있는 다른 표준 파일로 포함되는 정보와 같은 결함 정보를 포함할 수 있다. 모듈에의 입력은, 전술한 바와 같이 결정될 수 있는 좌표 변환 정보와, 설계 데이터를 또한 포함할 수 있다. 그러한 실시예에서, 모듈(166)은 검사 시스템에 의해 보고된 바와 같은 결함 라스트(168) 내의 결함의 위치를 설계 데이터 스페이스 내의 결함의 위치로 변환하도록 구성될 수 있다.Input to module 166 includes a defect list 168. In one embodiment, the defect list 168 may include defect information, such as information included in a KLARF file or other standard file that may be generated by the inspection system. The input to the module may also include coordinate transformation information and design data, which may be determined as described above. In such embodiments, the module 166 may be configured to convert the location of the defect in the defect last 168 as reported by the inspection system to the location of the defect in the design data space.

대안적으로, 모듈(166)은 다른 소프트웨어 모듈(변환 기능을 수행하도록 구성된 소프트웨어 모듈)을 통하여 제공된 변환된 설계 데이터 스페이스 좌표에의 접속에 의해 웨이퍼 스페이스 내에서 기능을 수행하도록 구성될 수 있다. 다른 대안에서, 결함 리스트(168)는 설계 데이터 스페이스 내의 결함의 위치를 포함할 수 있다. 그러한 실시예에서, 검사 시스템에 의해 보고된 결함 위치는 다른 소프트웨어 모듈에 의해 설계 데이터 스페이스 내의 결함 위치로 변환될 수 있다. 그러한 결함 정보는 동일한 연산 하드웨어 상에서 또는 네트워크 연결된 연산 하드웨어의 세트 사이에서, 프로세스내 또는 프로세스간 통신을 통하여 적합한 데이터 파일 포맷으로 또는 프로그램 수단을 통해 모듈(166)에 입력될 수 있다. 이러한 방식에서, 결함 정보는 모듈을 다른 시스템에 결합하는 전송 매체를 통해 다른 시스템에 의해 모듈(166)에 제공될 수 있다. 전송 매체는 당업계에 알려진 임의의 적합한 전송 매체를 포함할 수 있고, "유선" 또는 "무선" 전송 매체 또는 그것의 일부 조합을 포함할 수 있다.Alternatively, module 166 may be configured to perform functions in wafer space by accessing transformed design data space coordinates provided through other software modules (software modules configured to perform the transform function). In another alternative, the defect list 168 may include the location of a defect in the design data space. In such embodiments, the defect locations reported by the inspection system may be converted to defect locations in the design data space by other software modules. Such defect information may be entered into module 166 via program means or in a suitable data file format on the same computing hardware or between a set of networked computing hardware, via in-process or inter-process communication. In this manner, defect information may be provided to module 166 by another system via a transmission medium that couples the module to another system. The transmission medium may include any suitable transmission medium known in the art, and may include a "wired" or "wireless" transmission medium or some combination thereof.

여기에 기술한 1 이상 실시예의 1 이상의 단계를 수행하도록 모듈에 의해 사용될 수 있는 모듈(166)에 추가적인 입력(도 20에는 도시 생략)이 제공될 수 있다. 추가적인 입력은, 전기 검사 데이터, 1 이상의 웨이퍼에 대한 결함 정보, 핫 스폿 또는 위크(weak) 스폿 정보("위크 스폿"은 비한정적으로 포스트-OPC 입증 소프트웨어와 같은 모델 기반 시뮬레이션과, 비한정적으로 PWQ와 같은 실험적 방법에 의해 식별된 설계 내의 잠재적인 위크 지점의 위치로서 일반적으로 규정됨), 검색창 사이즈(예컨대, 전술한 바와 같은 설계 데이터 스페이스 내의 소스 및 타깃 결함의 위치에 가까운 설계 데이터 부분의 치수, 또는 소스 결함 확대 및 타깃 결함 확대 치수), 유사성에 대한 일부 소정의 기준(예컨대, 유사도 임계), 또는 그것의 일부 조합과 같이 이용가능한 임의의 다른 결함 및/또는 설계 데이터 정보를 포함할 수 있다.Additional input (not shown in FIG. 20) may be provided to module 166 that may be used by the module to perform one or more steps of one or more embodiments described herein. Additional inputs include electrical inspection data, defect information for one or more wafers, hot spot or weak spot information ("weak spot" is not limited to model-based simulations such as post-OPC verification software, and not limited to PWQ). Generally defined as the location of a potential weak point in the design identified by an experimental method such as, search box size (e.g., dimensions of the design data portion close to the location of source and target defects in the design data space as described above). Or any other defect and / or design data information available, such as source defect magnification and target defect magnification dimensions), some predetermined criteria for similarity (eg, similarity threshold), or some combination thereof. .

또한, 핫 스폿은 설계 데이터에 기초하여 미리 그룹화될 수 있다. 예컨대, 적어도 유사한 설계 데이터에 가까이 위치한 핫 스폿은 서로 연관 지어지고, 여기에 기술한 방법 및 시스템 실시예는 핫 스폿의 그러한 상호연관을 수행할 수 있다. 상호 연관지어진 핫 스폿은 여기에 추가로 기술하는 바와 같이 결함을 비닝하는데 사용될 수 있다. 그러한 일 실시예에서, 모듈(166)은 각 그룹 내의 결함이 서로 상호 연관지어진 핫 스폿의 위치에만 적어도 유사한 설계 데이터 스페이스 내의 위치를 갖도록 결함을 그룹으로 비닝하도록 구성될 수 있다. 이러한 방식에서, 상기 모듈은 설계 데이터를 사용하지 않고 결함을 비닝하도록 구성될 수 있다. 또한, 상호 연관지어진 핫 스폿의 1 이상의 속성이 나중의 분석에 사용하기 위해 결정될 수 있다(예컨대, KP와 같은 수율 정보가 상호 연관지어진 핫 스폿에 대해 결정될 수 있다). 이러한 방식에서, 결함이 상호 연관지어진 핫 스폿에 대응하는 그룹으로 비닝되는 경우, 상기 모듈은 결함 그룹에 대한 상호 연관지어진 핫 스폿에 대하여 결정된 기대되는 수율 영향을 보고할 수 있다.In addition, hot spots can be grouped in advance based on design data. For example, hot spots located at least close to similar design data are correlated with one another, and the method and system embodiments described herein may perform such correlation of hot spots. The correlated hot spots can be used to bin defects as described further herein. In one such embodiment, module 166 may be configured to bin defects into groups such that the defects in each group have locations in the design data space that are at least similar to only those in the hot spots that are correlated with each other. In this manner, the module can be configured to bin defects without using design data. In addition, one or more attributes of the correlated hot spots may be determined for use in later analysis (eg, yield information such as KP may be determined for the correlated hot spots). In this manner, when a defect is binned into a group corresponding to a correlated hot spot, the module may report the expected yield impact determined for the correlated hot spot for the defect group.

모듈(166)은 설계 데이터 스페이스 내의 다른 결함의 위치에 가까운 설계 데이터가 매치하는지를 "체크"하여 결함 리스트(168) 내의 결함을 비닝함으로써 GDS 패턴 체커로서 기능하도록 구성될 수 있다. 이러한 방식에서, 모듈(166)은 각 그룹 내의 결함이 매칭 설계 데이터에 가까운 설계 데이터 스페이스 내에 위치되도록, 결함을 그룹으로 비닝하도록 구성될 수 있다. 또한(또는 대안적으로), 모듈(166)은 설계 데이터 스페이스 내의 다른 결함의 위치에 가까운 설계 데이터의 유사도를 체크하여, 결함 리스트(168) 내의 결함을 비닝함으로써 유사도 체커로서 기능하도록 구성될 수 있다.Module 166 may be configured to function as a GDS pattern checker by binning defects in defect list 168 by "checking" whether design data close to the location of another defect in the design data space matches. In this manner, module 166 may be configured to bin defects into groups such that defects within each group are located in a design data space close to the matching design data. Further (or alternatively), module 166 may be configured to function as a similarity checker by checking the similarity of design data close to the location of other defects in the design data space and binning the defects in defect list 168. .

모듈(166)의 출력은 출력(170)을 포함할 수 있다. 출력(170)은, 검사 시스템에 의해 보고된 바와 같은 결함 위치의 x 및 y 좌표, 설계 데이터 스페이스 내의 결함 위치의 x 및 y 좌표, 결함이 동일한 그룹으로 비닝되는 그룹의 아이덴티티(예컨대, 1, 2, 3, a, b, c 등)(예컨대, 결함이 동일한 그룹으로 비닝되는 경우, 그것들의 아이덴티티는 동일할 수 있다), 및 타깃 부분의 중심과 소스 부분 내의 설계 데이터에 매치하거나 적어도 유사한 설계 데이터가 위치하는 타깃 부분 내의영역의 중심 사이의 x 및/또는 y 방향에서의 시프트 또는 오프셋을 비한정적으로 포함한다. 출력은 당업계에 알려진 임의의 적합한 포맷(예컨대, 간단한 텍스트 파일 포맷)을 갖는 1 이상의 데이터 구조를 포함할 수 있다. 또한, 출력은 그 출력이 나중에 액세스 및/또는 분석될 수 있도록 당업계에 알려진 임의의 적합한 저장 매체에 저장될 수 있다. 출력은 여기에 추가로 기술하는 바와 같이 저장 및 사용될 수 있다.The output of module 166 may include output 170. The output 170 includes the x and y coordinates of the defect location as reported by the inspection system, the x and y coordinates of the defect location in the design data space, and the identity of the group where the defects are binned into the same group (eg, 1, 2). , 3, a, b, c, etc.) (eg, if the defects are binned into the same group, their identities may be the same), and design data that matches or at least is similar to the design data in the center and source portions of the target portion Includes, but is not limited to, a shift or offset in the x and / or y directions between the centers of the regions in the target portion where is located. The output may include one or more data structures having any suitable format known in the art (eg, a simple text file format). In addition, the output can be stored in any suitable storage medium known in the art such that the output can later be accessed and / or analyzed. The output can be stored and used as described further herein.

또한(또는, 대안적으로), 도 21에 도시한 바와 같이, 모듈(166)의 출력은 설계 데이터 스페이스 내의 각 결함의 위치에 가까운 설계 데이터가 설계 데이터 스페이스 내의 각각의 다른 결함의 위치에 가까운 설계 데이터와 얼마나 유사한지(예컨대, % 유사)를 나타내는 테이블을 포함할 수 있다. 도 21에 도시한 예에서, 설계 데이터 스페이스 내의 결함(1 및 2)의 위치에 가까운 설계 데이터의 부분은 40% 유사하지만, 설계 데이터 스페이스 내의 결함(1 및 3)의 위치에 가까운 설계 데이터의 위치는 95% 유사하다. 이러한 방식에서, 상기 방법은 어느 결함을 동일한 그룹으로 비닝할지를 결정하기 위해 도 21에 도시한 출력을 사용할 수 있다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분이 90% 이상 유사한 경우, 결함은 동일한 그룹으로 비닝할 수 있다. 또한, 도 21에 도시한 바와 같이, 설계 데이터 스페이스 내의 결함(1)의 위치에 가까운 설계 데이터의 부분이 설계 데이터 스페이스 내의 양쪽의 결함(3 및 4)의 위치에 가까운 설계 데이터의 부분에 90% 이상 유사하다. 이러한 방식에서, 결함(1, 3 및 4)은 동일한 그룹으로 비닝될 수 있다.In addition (or alternatively), as shown in FIG. 21, the output of module 166 is designed such that design data close to the location of each defect in the design data space is close to the location of each other defect in the design data space. A table may be included that indicates how similar to the data (eg,% similar). In the example shown in FIG. 21, the portion of the design data close to the position of the defects 1 and 2 in the design data space is 40% similar, but the position of the design data close to the position of the defects 1 and 3 in the design data space. Is 95% similar. In this manner, the method may use the output shown in FIG. 21 to determine which defects to bin into the same group. For example, if a portion of design data close to the location of a defect in the design data space is more than 90% similar, the defects can be binned into the same group. In addition, as shown in FIG. 21, the portion of the design data close to the position of the defect 1 in the design data space is 90% to the portion of the design data close to the position of both defects 3 and 4 in the design data space. The above is similar. In this way, the defects 1, 3 and 4 can be binned into the same group.

다른 예에서, 도 22에 도시한 바와 같이, 모듈(166)의 출력은 상이한 그룹의 함수로서 결함의 수(예컨대, 결함 카운트 또는 빈도)를 나타내는 그래프(예컨대, 바 그래프)를 포함할 수 있다. 각각의 상이한 그룹은 전술한 바와 같이 동일하거나 적어도 유사한 설계 데이터에 가까운 설계 데이터 스페이스 위치에 위치한 결함을 포함한다. 이러한 방식에서, 도 22에 도시한 출력은 설계 내의 어느 패턴이 더욱 결함적인지에 관한 정보를 제공한다. 차트는 각종 설계 콘텍스트(예컨대, 기능 블록에 의한 백그라운드 패턴 콘텍스트)에 의해 오류 패턴 유형을 제공할 수 있다. 차드 내의 정보는, 공통 설계 패턴에 가까운 설계 데이터 스페이스 내에 위치한 결함의 공간적 분포에 관한 정보를 제공하기 위해서, 여기에 추가로 기술하는 바와 같이 환형 또는 각도 영역으로 추가로 분할될 수 있다. 이러한 정보 및 유사하거나 다른 정보는 여기에 기술한 방법의 1 이상의 단계를 수행하는데 사용할 수 있다(예컨대, 백그라운드 패턴 콘텍스트에 기초한 결함 샘플링). 각각의 그룹으로 비닝된 결함에 관한 추가적인 정보는 여기에 기술한 임의의 방법의 임의의 단계를 사용하여 결정될 수 있다.In another example, as shown in FIG. 22, the output of module 166 may include a graph (eg, a bar graph) indicating the number of defects (eg, defect count or frequency) as a function of a different group. Each different group includes defects located at design data space locations close to the same or at least similar design data as described above. In this way, the output shown in FIG. 22 provides information as to which pattern in the design is more defective. The chart may provide the error pattern type by various design contexts (eg, background pattern context by functional blocks). The information in the chart may be further divided into annular or angular regions, as described further herein, to provide information regarding the spatial distribution of defects located in the design data space close to the common design pattern. Such information and similar or other information may be used to perform one or more steps of the methods described herein (eg, defect sampling based on background pattern context). Additional information regarding defects binned into each group may be determined using any step of any of the methods described herein.

모듈(166)은 도 20 내지 도 22에 도시한 단지 하나의 포맷의 출력을 제공할 수 있다. 하지만, 모듈은 도 20 내지 도 22에 도시한 포맷의 1 이상의 출력을 제공할 수 있다.Module 166 may provide output in only one format shown in FIGS. 20-22. However, the module may provide one or more outputs of the format shown in FIGS. 20-22.

모듈(166)의 다른 입력 및 출력의 추가적인 예는 도 23에 도시된다. 도 23에 도시한 바와 같이, 모듈(166)에의 하나의 입력은 웨이퍼 상의 검출 결함의 위치를 나타내는 웨이퍼 맵(172)을 포함할 수 있다. 웨이퍼 맵은 검사 시스템에 의해 생성될 수 있다. 웨이퍼 맵은 웨이퍼 상의 결함의 위치를 나타낼 수 있지만, 결함에 관한 임의의 다른 정보는 나타내지 않는다. 예컨대, 웨이퍼 맵(172)에 대응하는 바 그래프(174)는 검사된 웨이퍼의 층에 대응하는 단일 그룹 내의 검출 결함의 모두를 나타낸다.Additional examples of other inputs and outputs of module 166 are shown in FIG. As shown in FIG. 23, one input to module 166 may include a wafer map 172 that indicates the location of a detection defect on the wafer. The wafer map can be generated by the inspection system. The wafer map may indicate the location of the defect on the wafer but does not represent any other information about the defect. For example, bar graph 174 corresponding to wafer map 172 shows all of the detection defects within a single group corresponding to the layer of wafer inspected.

모듈(166)의 출력은 웨이퍼 상의 검출된 결함의 위치를 나타내는 웨이퍼 맵(176)을 포함할 수 있고, 동일한 그룹으로 비닝된 결함은 웨이퍼 맵 내에서 동일한 특징(예컨대, 다른 그룹에 대한 다른 컬러 또는 심볼)으로 지시된다. 결함은 여기에 추가로 기술하는 바와 같이 비닝될 수 있다(예컨대, 공통 GDS 레이아웃에 의한 결함의 자동 그룹화). 이러한 방식에서, 웨이퍼 맵(176)은 웨이퍼 상의 개별 결함의 위치와, 개별 결함이 비닝된 그룹을 지시한다. 출력은, 감시 및 근본 원인 결정을 강화하기 위하여, KLA-Tencor사로부터 상업적으로 입수가능한 KLARITY DEFECT SSA와 같은 공간적 시그네처 분석(SSA; spatial signature analysis) 툴에 의해 전송되고 사용될 수 있다.The output of module 166 may include a wafer map 176 that indicates the location of detected defects on the wafer, and defects binned into the same group may have the same characteristics (eg, different colors or different colors for different groups) within the wafer map. Symbol). Defects can be binned as described further herein (eg, automatic grouping of defects by a common GDS layout). In this manner, wafer map 176 indicates the location of individual defects on the wafer and the group of individual defects binned. The output may be sent and used by a spatial signature analysis (SSA) tool such as KLARITY DEFECT SSA, commercially available from KLA-Tencor, to enhance monitoring and root cause determination.

모듈의 출력은 적층된 다이 맵, 적층된 레티클 맵 또는 적층된 웨이퍼 맵을 포함할 수 있고, 그것에서 결함은 패턴 그룹을 나타내도록 표시된다. 적층 맵은 여러 다이, 레티클, 또는 웨이퍼에 걸쳐 체계적 결함이 통계적으로 일어날 경향이 있는 것을 설명하기 위해 사용할 수 있으며, 공간적 시그네처를 식별하는데 유용하다. 또한, 여기에 기술한 모듈의 임의의 출력은 1 이상의 GDS 클립, 1 이상의 SEM 이미지, 1 이상의 광학 이미지, 또는 그것의 일부 조합을 또한 포함할 수 있다. 모듈의 출력은 여기에 추가로 기술하는 유저 인터페이스 실시예에 같은 유저 인터페이스에 의해 표시될 수 있다.The output of the module may include a stacked die map, a stacked reticle map, or a stacked wafer map, where defects are indicated to represent a group of patterns. Stacked maps can be used to describe how systematic defects tend to occur statistically across multiple dies, reticles, or wafers, and are useful for identifying spatial signatures. In addition, any output of the modules described herein may also include one or more GDS clips, one or more SEM images, one or more optical images, or some combination thereof. The output of the module may be displayed by the same user interface in the user interface embodiments described further herein.

웨이퍼 맵(176)에 대응하는 바 그래프(178)는 각 그룹으로 비닝된 결함의 수를 나타낸다. 또한, 결함의 각 그룹에 대응하는 레이아웃 패턴 시그네처는 바 그래프와 동일하다. 이러한 방식에서, 바 그래프는 가장 많은 결함을 보이는(또는 야기하는) 설계 내의 패턴을 도시한다. 예컨대, 레이아웃 패턴 시그네처(2) 그룹으로 비닝된 비교적 많은 수의 결함은 레이아웃 패턴 시그네처에 대응하는 잠재적 패턴 의존적 오류 메커니즘을 지시한다. 이러한 정보는 여기에 기술한 방법의 1 이상의 단계를 수행하는데 사용될 수 있다(예컨대, 설계 백그라운드 콘텍스트에 기초한 결함 샘플링). 그룹으로 비닝된 결함에 관한 추가적 정보는 여기에 기술한 임의의 방법의 임의의 단계를 사용하여 결정할 수 있다. 모듈(166)은 웨이퍼 맵(176) 및 바 그래프(178)를 포함하는 출력을 생성할 수 있다. 모듈의 출력은 여기에 추가로 기술하는 유저 인터페이스 실시예의 하나와 같은 유저 인터페이스에 의해 표시할 수 있다.Bar graph 178 corresponding to wafer map 176 represents the number of defects binned into each group. In addition, the layout pattern signature corresponding to each group of defects is the same as that of the bar graph. In this manner, the bar graph shows the pattern in the design that shows (or causes) the most defects. For example, a relatively large number of defects binned into the group of layout pattern signatures 2 indicate a potential pattern dependent error mechanism corresponding to the layout pattern signature. This information can be used to perform one or more steps of the method described herein (eg, defect sampling based on design background context). Additional information about the defects binned into groups can be determined using any step of any of the methods described herein. Module 166 may generate an output that includes wafer map 176 and bar graph 178. The output of the module may be displayed by a user interface such as one of the user interface embodiments described further herein.

여기에 기술한 방법에 모듈(166)의 출력이 어떻게 사용될 수 있는지의 일 예는 장치 레이아웃 내의 상이한 밀도 영역과 상이한 결함의 상호 연관이다. 예컨대, 장치 레이아웃은 상이한 영역으로 구획될 수 있다. 상이한 영역은 도 24에 도시한 바와 같이, 장치의 상이한 영역의 설계 패턴 밀도에 기초하여 결정할 수 있다. 일 예에서, 장치 내의 주요 셀 블록은 상이한 영역으로 구획될 수 있다. 다른 예에서, 장치 레이아웃은 장치 레이아웃에 걸친 각종 장치 구조(예컨대, 콘택, 비어, 금속 라인 등)의 밀도에 기초하여 자동으로 구획될 수 있다. 일 실시예에서, 여기에 기술한 방법 실시예는 설계 데이터의 다른 부분에 대한 결함 밀도를 결정하는 단계를 포함한다. 예컨대, 여기에 기술한 방법은 설계 데이터 내의 셀의 다른 부분의 결함 밀도를 결정하기 위해 장치 레이아웃의 구획화에 관한 정보를 사용할 수 있다. 그러한 일 예에서, 설계 데이터 내의 각 영역에서 검출된 결함의 수가 결정될 수 있다. 그러한 정보는 바 그래프 또는 임의의 다른 적합한 출력 포맷으로 플롯(plot)될 수 있다.One example of how the output of module 166 may be used in the methods described herein is the correlation of different defects with different density regions within the device layout. For example, the device layout can be partitioned into different regions. Different regions can be determined based on the design pattern density of different regions of the device, as shown in FIG. In one example, the main cell blocks within the device may be partitioned into different regions. In another example, the device layout can be automatically partitioned based on the density of various device structures (eg, contacts, vias, metal lines, etc.) over the device layout. In one embodiment, a method embodiment described herein includes determining a defect density for another portion of the design data. For example, the method described herein may use information regarding the partitioning of the device layout to determine the defect density of other parts of the cell in the design data. In one such example, the number of defects detected in each area within the design data can be determined. Such information may be plotted in a bar graph or any other suitable output format.

다른 예에서, 모듈(166)은 설계 데이터는 "기능 블록" 또는 "셀 블록"으로 분할한다. 셀 블록은 설계 데이터 내에서 규정되고, 입력/출력(I/O) 블록, 디지털 신호 프로세서(DSP) 블록 등과 같은 설계의 주요 및 덜 주요 서브-셀의 경계를 식별한다. 모듈은 각 셀 블록 내의 결함의 빈도를 결정한다. 이러한 방식에서, 설계 내의 주요 또는 덜 주요 셀이 수율 이슈에 다소 민감한지를 결정할 수 있다.In another example, module 166 divides the design data into “functional blocks” or “cell blocks”. Cell blocks are defined within the design data and identify the boundaries of major and less major sub-cells of the design, such as input / output (I / O) blocks, digital signal processor (DSP) blocks, and the like. The module determines the frequency of defects in each cell block. In this way, it can be determined whether the major or less major cells in the design are somewhat sensitive to yield issues.

여기에 기술한 실시예는 결함이 위치되는 설계 셀을 결정하기 위해 통계적 접근법을 사용할 수 있다. 예컨대, 일부 실시예에서, 상기 방법은 결함이 체계적 결함인지를 결정하는 단계, 2 이상의 체계적 결함이 설계 데이터의 1 이상의 부분에 위치되는지를 결정하는 단계, 및 체계적 결함과 가능성 사이에 상관관계가 존재하는지를 결정하는 단계를 포함할 수 있다. 특히, 여기에 추가로 기술하는 바와 같이, 설계 데이터(즉, 계층적 설계 데이터)는 설계 데이터 내의 셀과 같은 설계 데이터 내의 결함의 계층을 결정하기 위해 설계 데이터 스페이스 내의 결함의 위치와 조합하여 사용될 수 있다. 여기에 추가로 기술하는 바와 같이, 설계 데이터 내의 계층은 설계 데이터의 어느 부분이 수율 향상을 위해 사용될 수 있거나 사용되어야 하는지를 결정하는데 사용될 수 있다. 결함의 계층 결정에 있어서의 하나의 어려움은 셀이 작아질수록, 셀의 사이즈가 검사 시스템의 좌표 정확도보다 작아지고, 이에 의해, 결함이 위치되는 셀이 결정될 수 있는 정확도를 저하한다는 것이다. 이러한 어려움을 극복하기 위해, 설계 데이터의 각종 부분에 결함이 위치되는 확률(각각의 결함이 상이한 셀에 위치되는 확률)을 결정하는데 통계를 사용할 수 있다. 이러한 방식에서, 체계적 결함에 있어서, 통계는 설계 데이터의 각종 부분 내에 결함이 위치되는 확률과 체계적 결합 사이의 상관관계가 존재하는지를 결정하는데 사용할 수 있다.Embodiments described herein may use a statistical approach to determine the design cell in which a defect is located. For example, in some embodiments, the method includes determining if the defect is a systematic defect, determining whether two or more systematic defects are located in one or more portions of the design data, and a correlation exists between the systematic defects and the likelihood. Determining whether or not. In particular, as described further herein, design data (ie, hierarchical design data) may be used in combination with the location of a defect in a design data space to determine a hierarchy of defects in the design data, such as cells in the design data. have. As further described herein, the hierarchy within the design data can be used to determine which portion of the design data can or should be used for yield improvement. One difficulty in determining the hierarchy of defects is that as the cell becomes smaller, the size of the cell becomes smaller than the coordinate accuracy of the inspection system, thereby degrading the accuracy with which the cell in which the defect is located can be determined. To overcome this difficulty, statistics can be used to determine the probability of a defect being placed in various parts of the design data (the probability that each defect is located in a different cell). In this way, for systematic defects, statistics can be used to determine whether there is a correlation between the probability of the defect being located within various parts of the design data and the systematic coupling.

다른 실시예에서, 모듈(166)에 제공된 입력은 설계 데이터(예컨대, GDS 레이아웃), 검사 데이터(예컨대, 물리적 결함 데이터), 및 선택적으로 메모리 비트맵 및/또는 로직 비트맵을 포함할 수 있다. 모듈은 비한정적으로 탐색, 특징부여, 감시 및 디스포지션(disposition)(예컨대, 1 이상의 실행가능한 결정을 함)과 같은 1 이상의 추가적인 단계를 수행하기 위해 입력의 일부 또는 전부를 사용할 수 있다. 모듈은 1 이상의 후술하는 단계에 부가하여 전술한 단계를 수행하도록 구성될 수 있다: 핫 스폿/위크 스폿 데이터 구조 생성, 설계 데이터를 사용하여 결함(예컨대, 광학 또는 전자 빔 검사 시스템에 의해 검출한 결함 및/또는 비트맵으로 표시되는 전기 검사에 의해 검출되는 결함)의 그룹화, 리뷰 샘플 플랜(plan)을 생성, 검사 레시피의 최적화, 리뷰 레시피의 변경(예컨대, 리뷰할 것을 결정), 리뷰 레시피의 최적화, 결함 분석 레시피(예컨대, 인-라인 FIB 프로세스 및/또는 FA 프로세스 동안에 분석할 곳을 가능하게는 여기에 기술한 임의의 다른 정보와 조합하여 설계 콘텍스트에 의해)를 변경, 결함 분석 레시피를 최적화, FIB 프로세스에 대한 샘플링 레시피를 생성, EDX 프로세스, 또는 다른 결함 분석 프로세스, 계측 프로세스를 위한 샘플링 레시피 생성, 및 DOI 및 가능하게는 유형 및 위치와 같은 DOI의 1 이상의 속성. 또한, 전술한 임의의 샘플링 플랜 또는 샘플링 레시피는 비닝의 결과에 기초하여 동적으로 결정될 수 있다. 그러한 일 예에서, 모듈은 인라인 결함 데이터 및 비트맵 데이터에서 검출될 수 있는 잠재적 DOI를 예측하기 위해, 설계 데이터를 분석하거나, DRC로부터의 결과와 같은 설계 데이터의 분석 결과를 취득하도록 구성될 수 있다.In another embodiment, the input provided to module 166 may include design data (eg, GDS layout), inspection data (eg, physical defect data), and optionally memory bitmap and / or logic bitmap. The module may use some or all of the input to perform one or more additional steps, such as but not limited to search, characterization, monitoring, and disposition (eg, making one or more viable decisions). The module may be configured to perform the aforementioned steps in addition to one or more of the steps described below: generating hot spot / weak spot data structures, defects using design data (e.g., defects detected by optical or electron beam inspection systems) And / or grouping of defects detected by electrical inspection represented by bitmaps, creating a review sample plan, optimizing inspection recipes, changing review recipes (e.g., determining to review), optimizing review recipes Change defect analysis recipes (e.g., by design context in combination with any other information described herein where possible to analyze during in-line FIB processes and / or FA processes), optimize defect analysis recipes, Create sampling recipes for FIB processes, EDX processes, or other defect analysis processes, create sampling recipes for metrology processes, DOI and possibly one or more attributes of the DOI such as type and location. In addition, any sampling plan or sampling recipe described above may be dynamically determined based on the results of binning. In such an example, the module may be configured to analyze the design data or obtain analysis results of the design data, such as results from the DRC, to predict potential DOIs that may be detected in the inline defect data and the bitmap data. .

전술한 바와 같이, 모듈(166)은 데이터베이스와 같은 데이터 구조를 생성하도록 구성될 수 있다. 예컨대, 일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 체계적 결함과 잠재적 체계적 결함의 위치와, 체계적 결함 및 잠재적 체계적 결함의 1 이상의 속성을 포함하는 데이터 구조를 생성하는 단계를 포함한다. 그러한 데이터베이스는 일반적으로 "핫 스폿" 데이터베이스라 칭할 수 있다. 데이터베이스는 위크 스폿, 조정적인 핫 스폿, 및 콜드 스폿(수율 영향이 없거나 작은 체계적 결함을 낳는 설계 데이터의 비-중요 영역(예컨대, 더미 구조, 더미 충전 영역 등))에 관한 정보를 포함할 수 있다. 데이터베이스는 잠재적 결함 및 실제 체계적 결함의 위치 및 다른 속성(예컨대, 설계 콘텍스트, KP, 다른 수율 특성 등)를 포함할 수 있다.As mentioned above, module 166 may be configured to generate a data structure, such as a database. For example, in some embodiments, the method includes creating a data structure that includes the location of systematic and potential systematic defects within the design data space, and one or more attributes of the systematic and potential systematic defects. Such a database may be generally referred to as a "hot spot" database. The database may include information about weak spots, adjustable hot spots, and cold spots (non-critical areas of design data (eg, dummy structures, dummy filling areas, etc.) that have no yield impact or produce small systematic defects). . The database may include the location of potential defects and actual systematic defects and other attributes (eg, design context, KP, other yield characteristics, etc.).

핫 스폿 데이터베이스 내의 데이터는 각종 소스로부터 취득할 수 있다. 예컨대, 데이터베이스는 모든(또는 적어도 일부) 가능한 소스로부터의 체계적 이슈에 관한 데이터를 포함하는 유연한 데이터베이스로서 구성될 수 있다. 예컨대, 모듈에의 입력의 일부는 데이터베이스에 포함될 수 있다. 그러한 일 예에서, 검사 결과(예컨대, PWQ 결과, BF 및/또는 DF 검사에 의해 검출된 결함, 메모리 비트맵, 로직 비트맵 등)가 데이터베이스에 포함될 수 있다. 일부 실시예에서, 데이터베이스는 리소그라피 및 CMP와 같은 1 이상의 반도체 제조 프로세스에 대한 설계 룰을 포함할 수 있다. 다른 실시예에서, 데이터베이스는 OPC 시뮬레이션의 결과와 같은 설계 데이터에 대해 수행된 시뮬레이션을 포함할 수 있다. 이러한 방식에서, 다중-소스 상호연관은 핫 스폿과 체계적 결함을 식별하기 위해 사용될 수 있다.Data in the hot spot database can be obtained from various sources. For example, the database may be configured as a flexible database that includes data about systematic issues from all (or at least some) possible sources. For example, some of the input to the module can be included in the database. In one such example, check results (eg, PWQ results, defects detected by BF and / or DF checks, memory bitmaps, logic bitmaps, etc.) may be included in the database. In some embodiments, the database may include design rules for one or more semiconductor manufacturing processes, such as lithography and CMP. In other embodiments, the database may include simulations performed on design data, such as the results of OPC simulations. In this way, multi-source correlation can be used to identify hot spots and systematic defects.

전술한 바와 같이, 상기 방법은 설계 데이터에 기초하여 결함을 비닝하는 단계를 포함한다. 그러한 일 실시예에서, 여기에 기술한 방법은 설계 데이터의 1 이상의 속성에 기초하여 결함이 뉴슨스 결함인지를 결정하는 단계를 포함한다. 이러한 방식에서, 뉴슨스 결함은 콘텍스트 정보에 기초하여 식별될 수 있다. 일부 실시예에서, 상기 방법은 검사 프로세스 결과의 S/N을 증가시키기 위해, 결함의 위치에 가까운 설계 데이터에 기초하여, 결함이 검출된 검사 프로세스의 결과로부터 결함의 일부를 제거하는 단계를 포함한다. 이러한 방식에서, 설계 데이터 스페이스 내의 결함의 위치에 가깝게 위치한 설계에 관한 정보는 검사 결과에서의 노이즈를 줄여서, 검사 결과의 S/N을 증가시키도록 사용될 수 있다. 예컨대, 설계의 비-기능 영역에서의 결함은 검사 결과가 후속 분석에 사용되기 이전에, 그룹으로 비닝되고 그 검사 결과로부터 뉴슨스로서 필터링될 수 있다. 다른 예에서, 웨이퍼의 주의 영역 또는 비-주의 영역에 결함이 위치되는지를 기초하여 결함을 분리할 수 있다. 추가적인 예에서, 체계적이지만 뉴슨스 결함(예컨대, 비-DOI)이 발생하는 것으로 알려진 설계의 일부에 위치된 결함은 DOI에 대한 결과의 S/N을 증가시키기 위해 검사 결과로부터 제거될 수 있다. 뉴슨스 결함이 발생하는 것으로 알려진 설계의 1 이상의 부분은 유저에 의해 결정되고 설계 라이브러리와 같은 데이터 구조에 저장될 수 있다. 예컨대, 뉴슨스 결함이 발생하는 것으로 알려진 설계의 부분은 특히 유저가 감독된 비닝을 위해 사용하도록 선택한 다각형을 포함할 수 있다. 또한, POI가 비닝 방법을 수행하기 이전에 규정되면, 비닝 방법은 규정된 POI를 사용하여 감독된 비닝을 수행할 수 있다. 대안적으로, POI는 여기에 추가로 기술하는 바와 같은 방법에 의해 결정할 수 있다. 여기에 기술한 방법은 검사 시스템상에서의 감독된 비닝을 수행하는 단계와, 검사 결과로부터 뉴슨스 결함을 배제시키는 단계를 포함할 수 있다.As mentioned above, the method includes binning the defects based on design data. In one such embodiment, the method described herein includes determining whether a defect is a Newsons defect based on one or more attributes of the design data. In this manner, the Newsons defect can be identified based on the context information. In some embodiments, the method includes removing a portion of the defect from the result of the inspection process in which the defect was detected, based on design data close to the location of the defect, to increase the S / N of the inspection process result. . In this way, information about the design located close to the location of the defect in the design data space can be used to reduce noise in the test results, thereby increasing the S / N of the test results. For example, defects in non-functional areas of the design can be binned into groups and filtered as Newsons from the test results before the test results are used for subsequent analysis. In another example, the defects may be separated based on whether the defects are located in the attentional or non-attentional regions of the wafer. In a further example, defects that are systematic but located in portions of the design where Newson's defects (eg, non-DOIs) are known to occur may be removed from the inspection results to increase the S / N of the results for the DOI. One or more portions of the design in which the Newsons defect is known to occur may be determined by the user and stored in a data structure such as a design library. For example, the portion of the design in which Newson's defects are known to occur may include polygons that the user has chosen to use for directed binning. Also, if the POI is defined prior to performing the binning method, the binning method may perform supervised binning using the prescribed POI. Alternatively, the POI can be determined by a method as further described herein. The method described herein may include performing supervised binning on an inspection system and excluding the Newsons defect from the inspection results.

전술한 바와 같이 결함의 일부를 제거하여, 검사 결과의 S/N을 증가시키는 것은 검사 결과의 포스트-처리에 대해 유리할 수 있다. 예컨대, 결함의 일부(예컨대, 수율에 영향을 미치지 않는 결함)를 제거하는 단계는 결함의 비닝 이전에 수행할 수 있고, 관심 있는 유형의 결함에 대한 비닝 결과의 S/N을 증가시킬 수 있다. 또한, 결과의 S/N이 높고 노이즈를 적게 포함하는 경우, 여기에 기술한 방법의 검사 결과의 분석은 신속하고 더욱 정확해질 수 있다. 하나의 특히 이로운 예에서, PWQ 방법에서, 노이즈의 주요 소스는 결함으로서 검출되는 라인 단부 단축화(LES; line end shortening)이다. 하지만, LES는 일반적으로 수율에 현저한 영향을 미치지 않는다. 따라서, 유저는 LES에 대하여 주의를 기울이지 않으며, LES가 비교적 큰 수로 나타날 수 있기 때문에, 검출된 LES는 수율에 더 관련 있는 다른 결함을 압도할 수 있다. 그와 같이, 여기에 기술하는 바와 같이 검사 결과로부터 검출된 LES를 제거하는 것은 검사 결과의 추가의 처리에 대하여 특히 이롭다. 결함은 광학적 또는 전자 빔 검사 시스템에 의해 검출되는 결함을 포함할 수 있다. 또한, 여기에 추가로 기술하는 바와 같이, 검사 레시피는 검사 동안에 이들 결함을 구별하기 위해 설계 콘텍스트에 기초하여 생성될 수 있다. 이러한 방식에서, 여기에 기술한 방법 및 시스템은 많은 DOI를 검출하고, 많은 뉴슨스 결함을 억제하고, 체계적 및 랜덤 결함과 패턴을 체계적 결함의 비닝에 기초하여 분류할 수 있는 검사 레시피를 생성하는데 사용할 수 있다.As described above, removing some of the defects to increase the S / N of the inspection results may be advantageous for post-treatment of the inspection results. For example, removing some of the defects (eg, defects that do not affect yield) may be performed prior to binning the defects and may increase the S / N of the binning results for the defects of the type of interest. In addition, if the S / N of the result is high and contains less noise, the analysis of the test result of the method described herein can be faster and more accurate. In one particularly advantageous example, in the PWQ method, the main source of noise is line end shortening (LES) which is detected as a defect. However, LES generally does not have a significant impact on yield. Thus, the user does not pay attention to the LES, and since the LES may appear in a relatively large number, the detected LES may overwhelm other defects that are more related to yield. As such, removing the detected LES from the test results as described herein is particularly beneficial for further processing of the test results. Defects can include defects detected by optical or electron beam inspection systems. In addition, as further described herein, inspection recipes may be generated based on design contexts to distinguish between these defects during inspection. In this manner, the methods and systems described herein can be used to generate test recipes that can detect many DOIs, suppress many Nuson's defects, and classify systematic and random defects and patterns based on the binning of systematic defects. Can be.

다른 실시예에서, 상기 방법은 1 이상 그룹의 결함의 적어도 일부를 리뷰하고, 검사 프로세스 결과의 S/N을 증가시키기 위해 결함이 검출된 검사 프로세스의 결과로부터 뉴슨스 결함에 대응하는 1 이상의 그룹을 제거하여, 1 이상의 결함이 뉴슨스 결함에 대응하는지를 결정하는 단계를 포함한다. 결함의 적어도 일부를 리뷰하는 단계는 여기에 기술하는 바와 같이 또는 당업계에 알려진 임의의 다른 적합한 방식으로 수행할 수 있다. 1 이상이 결함 그룹이 뉴슨스 결함에 대응하는지의 결정은 임의의 적합한 방식으로 임의의 리뷰 결과를 사용하여 수행할 수 있다. 1 이상의 결함 그룹이 뉴슨스 결함에 대응하는 경우, 1 이상의 그룹은 검사 결과 내의 DOI의 S/N을 증가시키기 위해 검사 결과로부터 제거(필터링)될 수 있다.In another embodiment, the method reviews at least a portion of the defects in the one or more groups and retrieves one or more groups corresponding to the Newsons defects from the results of the inspection process in which the defect was detected to increase the S / N of the inspection process results. Removing, determining whether the one or more defects correspond to the Newsons defect. Reviewing at least some of the defects can be performed as described herein or in any other suitable manner known in the art. Determination of whether one or more defect groups correspond to Newson's defects can be performed using any review result in any suitable manner. If one or more defect groups correspond to Newson's defects, one or more groups may be removed (filtered) from the test results to increase the S / N of the DOI in the test results.

전술한 바와 같이, 여기에 기술한 실시예는 웨이퍼 상에 인쇄된 바와 같은 백그라운드 정보 및/또는 결함 정보와 대조적으로 결함을 비닝하기 위해 설계 데이터 및 설계 데이터 내의 결함 위치를 이롭게 사용할 수 있다. 하지만, 설계 데이터 스페이스 내의 설계 데이터는 결함을 비닝하기 위해(예컨대, 다른 그룹으로 비닝된 결함 사이의 더 우수한 분리를 위해) 다른 정보와 조합하여 사용될 수 있다. 예컨대, 일 실시예에서, 결함을 비닝하는 단계는, 각 그룹의 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 위치가 적어도 유사하고, 각 그룹의 결함의 1 이상의 속성이 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 결함의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 또한, 결함 속성은 검사 결과로부터 결정될 수 있는 임의의 결함 속성을 포함할 수 있다. 그와 같이, 비닝 단계는 설계와 1 이상의 속성의 조합을 사용하여 수행할 수 있다. 이러한 방식에서, 상기 방법은 설계 데이터 및 결함 속성에 기초하여 결함을 그룹으로 분리할 수 있다. 따라서, 적어도 부분적으로 유사한 설계 데이터의 부분 내의 설계 데이터 스페이스에 위치한 상이한 유형의 결함을 분리할 수 있다. 그러한 비닝 단계는 상이한 결함 메커니즘이 발생하는 속도 및 설계 데이터 영역 내의 상이한 결함 메커니즘을 식별하는데 이롭게 사용할 수 있다. 다른 실시예에서, 결함의 위치에 가까운 설계 데이터의 부분은 결함이 위치하는 설계 데이터를 포함할 수 있다. 즉, 비닝을 위해 비교된 설계 데이터의 부분은 결함 "후방의" 설계 데이터를 포함할 수 있다. 이러한 방식에서, 비닝은 결함이 위치하는 설계 데이터에서의 구조 사용에 의한 구조 비닝을 포함할 수 있다. 그러한 비닝은, 비닝에 대해 정확한 구조가 사용되는 확률이 비교적 높도록, 결함 위치가 비교적 높은 좌표 정밀도로 보고되는 결함에 대하여 비닝을 수행할 수 있다. 본 실시예에서 사용된 설계 데이터가 웨이퍼 상에 인쇄되는 바와 같은 설계 데이터가 아니기 때문에, 결함 "후방의" 설계 데이터를 사용하는 것은 여기에 기술한 실시예에서 가능하다. 대조적으로, 웨이퍼 상의 결함은 웨이퍼 상의 동일한 위치 또는 결함 주위의 영역에 인쇄되는 설계 데이터를 가릴 수 있고, 이는 웨이퍼 상에 인쇄된 바와 같은 설계 데이터에 기초하는 결함 비닝을 위한 방법의 정확도를 추가로 저하할 수 있다. 다른 실시예에서, 여기에 기술한 실시예에 사용된 결함의 위치에 가까운 설계 데이터의 부분은 결함의 위치 주위의 설계 데이터를 포함한다. 또한, 비닝은 결함이 위치된 구조 및 설계 데이터 내의 결함의 위치 주위 또는 그것에 가까운 구조를 사용하여 수행될 수 있다.As noted above, the embodiments described herein can advantageously use design data and defect locations within design data to bin defects in contrast to background information and / or defect information as printed on a wafer. However, design data in the design data space can be used in combination with other information to bin defects (eg, for better separation between defects binned into different groups). For example, in one embodiment, binning the defects may be performed such that the location of the design data close to the location of the defects in each group of design data spaces is at least similar, and one or more attributes of the defects in each group are at least similar. Binning into groups. The nature of the defect may include any of the properties described herein. In addition, the defect attribute may include any defect attribute that may be determined from the inspection result. As such, the binning step can be performed using a combination of design and one or more attributes. In this manner, the method may separate the defects into groups based on the design data and the defect attributes. Thus, it is possible to isolate different types of defects located in design data spaces at least in part in similar portions of design data. Such binning steps can advantageously be used to identify different defect mechanisms within the design data area and the speed at which the different defect mechanisms occur. In another embodiment, the portion of design data close to the location of the defect may include the design data where the defect is located. That is, the portion of the design data compared for binning may include defect "back" design data. In this manner, binning can include structure binning by use of the structure in the design data where the defect is located. Such binning can perform binning on defects whose defect locations are reported with relatively high coordinate precision, so that the probability of the correct structure being used for binning is relatively high. Since the design data used in this embodiment is not the design data as printed on the wafer, it is possible in the embodiments described herein to use defect "backward" design data. In contrast, a defect on a wafer may obscure design data printed at the same location on the wafer or in an area around the defect, which further degrades the accuracy of the method for defect binning based on design data as printed on the wafer. can do. In another embodiment, the portion of the design data close to the location of the defect used in the embodiments described herein includes design data around the location of the defect. In addition, binning can be performed using a structure in which the defect is located and a structure around or near the position of the defect in the design data.

전술한 바와 같이, 비닝은 설계 데이터의 부분 내의 결함의 위치에 대한 고려 없이 수행할 수 있다. 그러한 비닝은 비교적 낮은 정확도로 결함 위치를 보고하는 검사 시스템에 의해 검출되는 결함에 대해 특히 이롭다. 또한, 그러한 비닝은 설계 데이터의 어느 부분이 특히 높은 결함 및/또는 특히 높은 결함률을 나타내는지와 같은 중요한 정보를 제공하면서 실질적으로 높은 정확도의 비닝 결과를 생성할 수 있다. 하지만, 추가적인 실시예에서, 결함의 비닝은 각 그룹에서의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 부분 내의 다각형에 대한 각 그룹 내의 결함의 위치가 적어도 유사하도록, 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 비닝은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분과 설계 데이터의 부분에서의 결함의 위치의 조합을 사용하여 수행할 수 있다. 그와 같이, 비닝은 구조 내에 결함이 위치하는 장소에 부분적으로 기초하여 수행될 수 있다. 즉, 비닝은 부분간 위치에 가까운 설계 데이터와 조합하여 결함의 부분간 위치에 기초하여 수행할 수 있다. 그러한 비닝은 결함의 실질적으로 정확한 부분간 위치가 비닝을 위해 사용되도록 위치가 비교적 높은 좌표 정확도로 보고된 결함에 대해 바람직하게 수행된다. 이러한 방식에서, 설계 데이터의 동일한 부분에 위치하지만, 상이한 부분간 위치로 인하여 장치에는 다른 방식으로 영향을 미치는 결함이 분리될 수 있다. 예컨대, 그러한 비닝을 사용하여, 설계 데이터의 일 부분에서의 두 특징 사이에 위치하고, 장치에서 오픈(open)을 야기할 확률이 비교적 높은 결함은 두 특징 중 하나의 내부에 전체적으로 위치하고 그리하여 장치에서 오픈을 야기할 확률이 훨씬 낮은 결함으로부터 분리될 수 있다. 따라서, 그러한 비닝은 상이한 수율 영향 결함이 발생하는 비율과, 설계 데이터의 일 영역에 대한 수율 영향이 상이한 결함을 식별하는데 이롭게 사용할 수 있다.As mentioned above, binning can be performed without consideration of the location of the defect within the portion of the design data. Such binning is particularly beneficial for defects detected by inspection systems that report defect locations with relatively low accuracy. Such binning can also produce substantially high accuracy binning results while providing important information such as which portions of the design data exhibit particularly high defects and / or particularly high defect rates. However, in further embodiments, the binning of the defects bins the defects into groups such that portions of the design data close to the position of the defects in each group are at least similar and positions of the defects in each group relative to polygons within the portion are at least similar. It includes a step. In this manner, binning can be performed using a combination of the portion of design data close to the position of the defect in the design data space and the position of the defect in the portion of the design data. As such, binning may be performed based in part on the location of the defect in the structure. That is, binning can be performed based on the inter-part location of the defect in combination with design data close to the inter-part location. Such binning is preferably performed on defects whose position is reported with relatively high coordinate accuracy such that a substantially accurate inter-part position of the defect is used for binning. In this way, defects that are located in the same part of the design data but that affect the device in different ways can be separated because of the different inter-part locations. For example, using such binning, a defect located between two features in a portion of the design data, and a relatively high probability of causing an open in the device are located entirely inside one of the two features and thus open to the device. It can be separated from defects that are much less likely to cause. Thus, such binning can advantageously be used to identify the rate at which different yield impact defects occur and the yield impact on a region of design data that differs.

일부 실시예에서, 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분이 적어도 유사하고, 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 부분에 대한 핫 스폿 정보가 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 포함한다. 핫 스폿 정보는 여기에 기술한 임의의 핫 스폿 정보 또는 당업계에 알려진 임의의 다른 핫 스폿 정보를 포함할 수 있다. 핫 스폿 정보는 여기에 추가로 기술하는 바와 같이 설계 데이터의 상이한 부분에 대하여 결정할 수 있다. 이러한 방식에서, 상기 방법은 설계 데이터와 핫 스폿 정보의 조합을 사용하여 비닝을 수행할 수 있다. 그러한 일 예에서, 상기 방법이 수행되기 이전에, 수율에 대한 영향이 유사한 설계 데이터 내의 핫 스폿은 전술한 바와 같이 비닝할 수 있다. 따라서, 결함은 설계 데이터 유사도에 기초하여 비닝할 수 있고, 이 비닝으로부터의 결과적인 결함 그룹은 수율 영향이 유사한 결함의 서브-그룹으로 분리할 수 있다. 그러한 일 예에서, 예컨대, 위치의 일부가 유사하지 않은 설계 데이터의 위 또는 아래에 위치되는 경우, 적어도 유사한 설계 데이터의 모든 부분이 동일한 핫 스폿 정보와 연관되지 않을 수 있다. 그와 같이, 설계 데이터의 적어도 유사한 부분에 가깝게 위치한 결함은 설계 데이터의 각 부분에 대한 핫 스폿 정보에 기초하여 분리될 수 있다. 이러한 방식에서, 웨이퍼를 제조하기 위해 사용된 프로세스의 전체 수율이 신속하고 정확히 평가될 수 있다. 또한, 핫 스폿 정보는 설계 데이터의 부분의 유사도가 정확히 결정되었는지를 체크 또는 입증하기 위하여 비닝에 대하여 사용될 수 있다. 예컨대, 적어도 유사하다고 결정된 설계 데이터의 부분이 적어도 유사한 핫 스폿 정보와 연관되지 않는 경우, 설계 데이터의 부분에 대응하는 결함은 동일한 그룹으로 비닝되지 않을 수 있다.In some embodiments, binning the defects into groups such that portions of design data close to the position of the defects in each group are at least similar, and hot spot information for portions of the design data close to the position of the defects in each group is at least similar. It includes. The hot spot information can include any hot spot information described herein or any other hot spot information known in the art. Hot spot information may be determined for different portions of the design data, as described further herein. In this manner, the method may perform binning using a combination of design data and hot spot information. In one such example, before the method is performed, hot spots in the design data with similar impact on yield may be binned as described above. Thus, defects can be binned based on design data similarity, and the resulting group of defects from this binning can be separated into sub-groups of defects with similar yield effects. In such an example, for example, if some of the locations are located above or below dissimilar design data, at least not all parts of the similar design data may be associated with the same hot spot information. As such, defects located close to at least similar portions of the design data may be separated based on hot spot information for each portion of the design data. In this way, the overall yield of the process used to manufacture the wafer can be evaluated quickly and accurately. In addition, hot spot information can be used for binning to check or verify that the similarity of portions of design data has been accurately determined. For example, if at least a portion of the design data determined to be similar is not associated with at least similar hot spot information, defects corresponding to the portion of the design data may not be binned into the same group.

다른 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성 또는 그것의 일부 조합에 기초하여, 1 이상의 그룹 내의 결함이 체계적 결함인지 또는 랜덤 결함인지를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함을 그룹으로 집합적으로 분류하는 단계를 포함할 수 있다. 예컨대, 체계적 결함은 뉴슨스 결함 또는 관심없는 결함으로서 일 그룹으로 분류될 수 있다. 하지만, 그러한 분류는 개별적인 결함에 대하여 수행할 수 있다. 결함이 체계적 결함인지 또는 랜덤 결함인지를 결정하는데 사용될 수 있는 결함의 속성은, 예컨대, 결함이 1 이상의 다이 내의 거의 동일한 위치에 존재하는지, 1 이상의 다이 내의 결함이 거의 동일한 속성을 갖는지, 및 다이 내의 1 이상의 결함의 분포가 순서적 및/또는 밀집적인지를 포함할 수 있다. 일 예에서, 웨이퍼 상의 하나의 다이에서만 출현하는 결함은 랜덤 결함으로 분류할 수 있고, 거의 동일한 위치에서 복수 다이에서 출현하는 결함은 체계적 결함으로 분류할 수 있다. 따라서, 여기에 기술한 방법은 결함에 대한 정보를 사용하여 검사 프로세스(인라인 검사 프로세스 및/또는 전기 검사 프로세스)에 의해 웨이퍼 상에서 검출된 결함의 원인을 결정하는데 사용할 수 있다.In another embodiment, the method is based on one or more attributes of the design data, one or more attributes of the defect, or some combination thereof, close to the location of the defect in the design data space, such that the defects in the one or more groups are systematic defects or random defects. Determining the recognition. In this manner, the method may include classifying the defects collectively into groups. For example, systematic defects can be classified into a group as Newson's defects or defects of no interest. However, such classification can be done for individual defects. Attributes of defects that can be used to determine whether a defect is a systematic defect or a random defect include, for example, whether the defect is at approximately the same location in at least one die, whether the defect in at least one die has approximately the same attributes, and It may include whether the distribution of one or more defects is ordered and / or dense. In one example, defects that appear only in one die on the wafer may be classified as random defects, and defects that appear in multiple dies at nearly the same location may be classified as systematic defects. Thus, the method described herein can be used to determine the cause of a defect detected on a wafer by an inspection process (inline inspection process and / or electrical inspection process) using information about the defect.

일부 실시예에서, 상기 방법은 1 이상의 그룹 내의 적어도 일부 결함의 리뷰 결과, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 1 이상 그룹의 결함을 분류하는 단계를 포함한다. 1 이상 그룹 내의 결함의 적어도 일부의 리뷰는 여기에 기술하는 바와 같이 또는 당업계에 알려진 임의의 적합한 방식으로 수행할 수 있다. 설계 데이터의 1 이상의 속성 또는 결함의 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 이러한 방식에서, 결함은 실질적인 정보량에 기초하여 그룹으로서 집합적으로 분류할 수 있고, 이에 의해 비교적 빠르고 비교적 정확한 결함 분류를 제공한다.In some embodiments, the method includes classifying the defects of one or more groups based on a review of at least some defects in one or more groups, one or more attributes of the design data, one or more attributes of the defects, or some combination thereof. Include. Reviews of at least some of the defects in one or more groups can be performed as described herein or in any suitable manner known in the art. One or more attributes of the design data or one or more attributes of the defect may include any of the attributes described herein. In this way, defects can be grouped collectively as a group based on the actual amount of information, thereby providing a relatively fast and relatively accurate defect classification.

다른 실시예에서, 상기 방법은 여기에 기술하는 바와 같이 결함이 비닝되는 그룹은 체계적 결함 또는 잠재적인 체계적 결함을 포함하는지를 결정하는 단계를 포함한다. 이러한 방식에서, 결함은 체계적 결함 또는 잠재적인 체계적 결함으로서 집합적으로 그룹으로 분류할 수 있다. 하지만, 결함은 체계적 결함 또는 잠재적인 체계적 결함으로서 개별적으로 분류할 수 있다. 예컨대, 결함은 설계 내의 다각형에 대한 결함의 위치 및 핫 스폿, 콜드 스폿 등이 거의 동일한 위치에 위치하는지에 기초하여 본 실시예에서 분류할 수 있다. 따라서, 여기에 기술한 방법은 그러한 설계 데이터와 같은 정보를 사용하여 검사 프로세스(인라인 검사 프로세스 및/또는 전기 검사 프로세스)에 의해 웨이퍼 상에서 검출한 결함의 원인을 결정하는데 사용할 수 있다.In another embodiment, the method includes determining whether the group in which the defect is binned comprises a systematic defect or a potential systematic defect as described herein. In this way, defects can be grouped collectively as systematic or potential systematic defects. However, defects can be classified separately as systematic or potential systematic defects. For example, defects can be classified in this embodiment based on the position of the defect with respect to the polygon in the design and whether hot spots, cold spots, etc. are located at approximately the same position. Thus, the methods described herein can be used to determine the cause of defects detected on a wafer by an inspection process (inline inspection process and / or electrical inspection process) using information such as design data.

일부 실시예에서, 상기 방법은 비닝 단계의 결과를 사용하여 시간에 걸쳐 체계적 결함, 잠재적인 체계적 결함, 또는 그것의 일부 조합을 감시하는 단계를 포함한다. 예컨대, 비닝 단계의 결과는 설계 데이터 내의 체계적 이슈를 식별하는데 사용할 수 있고, 식별된 체계적 이슈는 웨이퍼에 걸친 및/또는 시간에 걸친 재출현에 대하여 감시될 수 있다. 체계적 결함 및/또는 잠재적인 체계적 결함을 감시하는 단계는 여기에 기술한 임의의 방법의 임의의 결과를 사용하여 수행할 수 있다.In some embodiments, the method includes monitoring systemic defects, potential systematic defects, or some combination thereof over time using the results of the binning step. For example, the results of the binning step can be used to identify systematic issues in the design data, and the identified systematic issues can be monitored for reappearance across the wafer and / or over time. Monitoring for systematic defects and / or potential systematic defects may be performed using any result of any of the methods described herein.

또한, 체계적 결함 및/또는 잠재적인 체계적 결함을 감시하는 단계는 통계적 프로세스 제어(SPC) 방법과 유사한 방식으로 수행할 수 있다. 예컨대, 체계적 결함, 잠재적인 체계적 결함, 랜덤 결함, 또는 그것의 일부 조합을 감시하는 단계는, 상이한 SPC 방법 및/또는 알고리즘이 상이한 유형의 결함에 대해 사용되는 수율-기반 SPC를 위해 사용할 수 있다. 그러한 일 예에서, SPC 매개변수는 상이한 유형의 결함을 감시하는데 사용할 수 있고, SPC 매개변수는 여기에 기술하는 바와 같이 결정될 수 있는 상이한 유형의 결함의 잠재적 수율 영향에 기초하여 결정 또는 선택할 수 있다. 이러한 방식에서, 상이한 유형의 결함은 상이한 SPC 매개변수에서, SPC를 위해 동시에 감시된다. 다른 실시예에서, 검사에 의해 검출된 결함의 서브세트만이 SPC를 위해 사용할 수 있다. 예컨대, 프로세스가 설계 기반 프로세스 마진을 위해 감시될 수 있도록, 비-뉴슨스 체계적 결함 및/또는 잠재적인 체계적 결함만이 SPC 목적으로 감시될 수 있다. 추가적인 예에서, 결함에서의 변화에 의해 야기된 제조 프로세스의 수율에서의 변화가 비교적 초기에 정확히 검출될 수 있도록, 수율에 대하여 잠재적으로 큰 영향을 갖는 것으로 결정된 체계적 결함만이 SPC를 위해 감시될 수 있다. 또한, 체계적 결함 그룹 및 랜덤 결함의 수율 영향을 추정하기 위해 다른 방법을 사용하는 것은 더욱 정확한 예측, 감시, 및 수율 관련 이슈의 제어를 이롭게 제공할 수 있다. 이러한 방식에서, 상기 방법은 제조 수율을 감시 및 향상하기 위해 사용될 수 있는 장치의 제조에 관한 정보(예컨대, 시간에 걸친 체계적 결함에서의 증가, 시간에 걸친 체계적 결함에서의 감소, 시간에 걸친 체계적 결함에서의 변화 등)를 제공할 수 있다.In addition, the step of monitoring systematic defects and / or potential systematic defects may be performed in a manner similar to the statistical process control (SPC) method. For example, monitoring for systematic defects, potential systematic defects, random defects, or some combination thereof may be used for yield-based SPC where different SPC methods and / or algorithms are used for different types of defects. In one such example, the SPC parameters can be used to monitor different types of defects, and the SPC parameters can be determined or selected based on the potential yield impact of the different types of defects that can be determined as described herein. In this way, different types of defects are monitored simultaneously for SPC in different SPC parameters. In another embodiment, only a subset of the defects detected by the inspection can be used for SPC. For example, only non-Newsons systematic defects and / or potential systematic defects may be monitored for SPC purposes so that processes can be monitored for design based process margins. In a further example, only systematic defects determined to have a potentially significant impact on the yield can be monitored for SPC so that changes in the yield of the manufacturing process caused by the change in the defects can be accurately detected relatively early. have. In addition, using other methods to estimate the yield impact of systematic defect groups and random defects can advantageously provide more accurate prediction, monitoring, and control of yield related issues. In this way, the method can be used to monitor and improve the manufacturing yield of information that can be used for manufacturing (eg, an increase in systematic defects over time, a decrease in systematic defects over time, a systematic defect over time). Change in, etc.).

일 실시예에서, 상기 방법은 패턴 기반 결함(예컨대, 체계적 결함)의 원인을 결정하는 단계를 포함할 수 있다. 예컨대, 1 이상의 패턴 기반 결함 그룹이 지배적이면, 상기 방법은 동일한 층 및 동일한 장치에 대해 다수의 다른 웨이퍼에 대하여 인라인 검사 데이터 및/또는 전기 검사 데이터를 취득하는 단계를 포함할 수 있다. 예컨대, 인라인 검사 데이터 및/또는 전기 검사 데이터는 약 100 내지 약 1000개의 다른 웨이퍼에 대하여 취득될 수 있다. 이러한 데이터는 결함 데이터베이스 또는 팹 데이터베이스와 같은 저장 매체로부터 취득할 수 있다. 그러한 데이터가 이용 불가능한 경우, 상기 방법은 체계적 결함이 검출된 웨이퍼에 대하여 수행되는 프로세스에서 이미 처리된 웨이퍼를 검사하여 그러한 정보를 생성한 후 웨이퍼를 검사하는 단계를 포함할 수 있다.In one embodiment, the method may include determining the cause of a pattern based defect (eg, a systematic defect). For example, if one or more pattern based defect groups are dominant, the method may include obtaining inline inspection data and / or electrical inspection data for multiple different wafers for the same layer and the same device. For example, inline inspection data and / or electrical inspection data can be obtained for about 100 to about 1000 other wafers. Such data can be obtained from storage media such as a defect database or a fab database. If such data is not available, the method may include inspecting the wafer after generating such information by inspecting a wafer that has already been processed in a process performed on a wafer for which a systematic defect was detected.

상기 방법은 추가적인 웨이퍼 상에서 검출된 결함의 패턴-기반 비닝을 수행하는 단계를 포함할 수 있으며, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 상기 방법은 1 이상의 패턴 기반 결함 그룹이 추가적인 웨이퍼에 대해 지배적인지를 결정하는 단계를 포함할 수 있다. 추가적인 웨이퍼가 지배적 패턴 기반 결함의 공통성을 나타내는 경우, 그러한 방법은 웨이퍼가 공통 장비(또는 프로세스 툴)을 통하여 처리되었는지를 결정하는 단계를 포함할 수 있다. 이러한 방식에서, 상기 방법은 장비 공통성 분석을 수행할 수 있다. 상기 방법은 지배적 패턴 기반 결함 그룹이 특정 장비, 특정 챔버(예컨대, 매개변수가 일부 이유로 인하여 드리프트한 장비 또는 챔버). 또는 특정 루트-단계(예컨대, 장비와 2 이상의 단계 사이의 통합 이슈)와 관련되는지를 결정하는 단계를 포함할 수 있다. 지배적 패턴 기반 결함 그룹이 특정 장비 또는 특정 챔버와 관련되는 경우, 패턴 기반 결함 그룹의 원인은 고립되고 가능하게는 식별된다. 상기 방법은 관심 있는 그룹에 대한 공간적 시그네처가 존재하는지를 결정하기 위해 데이터를 스택(stack)하는 단계를 포함할 수 있다. 공간적 시그네처는 프로세스 이슈, OPC 이슈, 또는 설계 관련 체계적 이슈, 또는 그것의 조합의 원인을 국한하거나 결정하는데 유용할 수 있다.The method may include performing pattern-based binning of the detected defects on an additional wafer, which may be performed as described herein. The method may include determining whether one or more pattern based defect groups are dominant for additional wafers. If the additional wafer exhibits commonality of dominant pattern based defects, such a method may include determining whether the wafer has been processed through common equipment (or process tools). In this way, the method may perform equipment commonality analysis. The method is characterized in that the dominant pattern-based defect group is a particular piece of equipment, a particular chamber (eg, a piece of equipment or a chamber whose parameters drift for some reason). Or determining whether it relates to a particular route-step (eg, integration issue between the equipment and two or more steps). If the dominant pattern based defect group is associated with a particular piece of equipment or a specific chamber, the cause of the pattern based defect group is isolated and possibly identified. The method may include stacking data to determine if there is a spatial signature for the group of interest. Spatial signatures can be useful for limiting or determining the cause of process issues, OPC issues, or design-related systematic issues, or a combination thereof.

지배적 패턴 기판 결함 그룹이 특정 장비 또는 특정 챔버와 연관될 수 없는 경우, 상기 방법은 결함을 다른 프로세스 인자와 상호 연관짓도록 시도하기 위해 데이터 마이닝(data mining)을 수행하는 단계를 포함할 수 있다. 데이터 마이닝은 당업계에 결함 및 설계 데이터에 관한 임의의 정보, 및 팹 데이터베이스와 같은 1 이상의 저장 매체에 저장될 수 있는, 장치 제조 동안 생성된 임의의 정보에 기초하여 알려진 임의의 적합한 방식으로 수행할 수 있다. 1 이상의 다른 프로세스 인자와 결함 사이에 비교적 강한 상관관계가 식별되는 경우, 결함에 연관된 프로세스 인자는 결함의 원인으로서 식별될 수 있다. 1 이상의 프로세스 인자와 결함 사이의 비교적 강한 연관을 식별할 수 없는 경우, 상기 방법은 잠재적 POI에 대한 설계의 임의적인 패턴 검색을 수행하는 단계와, 패턴 의존적 결함의 원인이 결정될 수 있도록 새로운 인라인 핫 스폿 모니터를 세업하는 단계를 포함할 수 있다. 하지만, 프로세스 조건이 배제되면, 프로세스 자체 또는 설계 자체가 평가되어야 하고, 필요한 경우, 문제점을 줄이거나 제거하기 위해 조정될 것이다. 또한, 프로세스 창 매핑의 결과에 대해 체계적 결함의 속성을 비교함으로써, 가능한 소스 및/또는 근본 원인에 대하여 추단할 수 있다.If the dominant pattern substrate defect group cannot be associated with a particular equipment or a specific chamber, the method may include performing data mining to attempt to correlate the defect with other process factors. Data mining can be performed in any suitable manner known in the art based on any information about defects and design data, and any information generated during device manufacturing that can be stored on one or more storage media, such as a fab database. Can be. If a relatively strong correlation is identified between the defect and one or more other process factors, the process factor associated with the defect may be identified as the cause of the defect. If a relatively strong association between one or more process factors and defects cannot be identified, the method may include performing an arbitrary pattern search of the design for potential POIs and a new inline hot spot so that the cause of the pattern dependent defects can be determined. And cleaning up the monitor. However, if process conditions are excluded, the process itself or the design itself must be evaluated and, if necessary, adjusted to reduce or eliminate problems. In addition, by comparing the attributes of systematic defects to the results of process window mapping, one can infer for possible sources and / or root causes.

상기 방법은 데이터 정리를 수행하기 위해 체계적 결함 및/또는 잠재적인 체계적 결함에 관한 정보를 사용할 수 있다. 예컨대, 단일 POI에 대한 전체-다이 패턴 기반 검색에 의해 또는 전기 기능 검사 및 리소그라피 PWQ 결과와 같은 실험적 기술로부터 생성된 50,000 내지 200,000 이상 핫 스폿이 존재할 수 있다. 따라서, 이 데이터를 의미 있고 시기적절한 방식으로 처리 및 분석하기 위해서, 그 데이터에 대해 데이터 처리 기술이 수행될 수 있다. 그러한 일 예에서, 패턴 기반 핫 스폿에 대해, 상기 방법은 핫 스폿을 "유사" 그룹으로 비닝하는 단계를 포함할 수 있다. 예컨대, 각 그룹은 설계 데이터 내의 적어도 유사한 패턴에 가깝게 위치한 및/또는 적어도 유사한 1 이상의 속성을 갖는 설계 데이터에 가깝게 위치한 핫 스폿을 포함할 수 있다(예컨대, 설계의 비교적 낮은 패턴 밀도 영역에 위치한 핫 스폿이 하나의 그룹으로 비닝될 수 있다). 그와 같이, 상기 방법은 설계 콘텍스트 및/또는 설계 속성에 기초하여 핫 스폿을 비닝하는 단계를 포함할 수 있다. 추가적인 예에서, PWQ와 같은 실험적 기술에 있어서, 상기 방법은 리뷰 샘플링이 수행된 결함 모집단으로부터, 수율 영향이 적거나 없는 설계의 위치(콜드 스폿)에 가까운 결함을 제거하는 단계를 포함할 수 있다. 전술한 바와 같은 데이터 정리를 수행함으로써, 여기에 추가로 기술하는 바와 같이 정리된 데이터를 사용하여 더 낳은(예컨대, 더욱 수율 관련적인) 리뷰 샘플을 생성할 수 있다.The method may use information regarding systematic defects and / or potential systematic defects to perform data cleansing. For example, there may be 50,000 to 200,000 or more hot spots generated by a full-die pattern based search for a single POI or from experimental techniques such as electrical functional tests and lithographic PWQ results. Thus, to process and analyze this data in a meaningful and timely manner, data processing techniques may be performed on that data. In one such example, for a pattern based hot spot, the method may include binning the hot spots into a “like” group. For example, each group may include hot spots located close to at least similar patterns in the design data and / or close to design data having at least one or more similar one or more attributes (eg, hot spots located in a relatively low pattern density region of the design). Can be binned into one group). As such, the method may include binning hot spots based on design context and / or design attributes. In a further example, in an experimental technique such as PWQ, the method may include removing defects near the location (cold spot) of the design with little or no yield impact from the defect population where review sampling was performed. By performing the data cleanup as described above, the cleansed data can be used to generate better (eg, more yield-related) review samples as further described herein.

여기에 기술한 상기 방법 및 시스템은 설계 기반 및 수율 기반 포스트 처리(온-툴 또는 오프-툴로 수행되는)와 조합하여 CBI를 포함할 수 있다. 예컨대, 뉴슨스 결함, 체계적 결함 및 랜덤 결함이 식별된 후, 그 결함은 일부 방식으로 조직화될 수 있다(예컨대, 결함 오거나이저(organizer)(DO) 또는 인라인 결함 오거나이저(iDO)를 사용하여). 일 예에서, 그 결과는 데이터베이스와 같은 데이터 구조에 저장된다. 다른 예에서, 전술한 바와 같이, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 위치에 기초하여 결함이 비닝된 후, 그룹 내의 결함은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 추가로 분리될 수 있다. 결함은 iDO를 사용하여 설계 데이터의 1 이상의 속성 및/또는 결함의 1 이상의 속성에 기초하여 분리될 수 있다. 이러한 방식에서, 설계 기반 비닝은 여기에 기술한 실시예에서의 iDO와 조합하여 사용될 수 있다. 특히, 설계 기반 비닝의 출력이 iDO에 입력될 수 있다.The methods and systems described herein can include CBI in combination with design-based and yield-based post processing (performed on- or off-tool). For example, after Newsons defects, systematic defects, and random defects are identified, the defects can be organized in some way (eg, using a defect organizer (DO) or an inline defect organizer (iDO)). In one example, the results are stored in a data structure such as a database. In another example, as described above, after a defect is binned based on the position of the design data close to the position of the defect in the design data space, the defect in the group is one or more attributes of the design data close to the position of the defect in the design data space. , May be further separated based on one or more attributes of the defect, or some combination thereof. Defects may be separated using iDO based on one or more attributes of the design data and / or one or more attributes of the defect. In this manner, design based binning can be used in combination with iDO in the embodiments described herein. In particular, the output of design-based binning can be input to iDO.

설계 데이터에 기초하여 결함을 그룹으로 비닝된 결함을 추가로 분리하는데 사용되는, 설계 데이터의 1 이상의 속성은, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터 내의 패턴 또는 구조의 1 이상의 속성, 설계 데이터 스페이스 내의 결함의 위치에 가까운 패턴 밀도, 결함이 위치되는 기능 블록, 및 장치(예컨대, n-MOS 또는 p-MOS)의 1 이상의 속성을 비한정적으로 포함한다. 비닝된 결함을 추가로 분리하는데 사용되는 결함의 1 이상의 속성은 사이즈, 형태, 휘도, 콘트라스트, 극성 및 텍스처(texture)를 비한정적으로 포함한다.One or more attributes of the design data, used to further separate the defects binned into groups of defects based on the design data, include one or more attributes of the pattern or structure in the design data close to the position of the defect in the design data space, the design data. Pattern density close to the location of the defect in the space, the functional block in which the defect is located, and one or more attributes of the device (eg, n-MOS or p-MOS). One or more attributes of the defect used to further separate the binned defect include, without limitation, size, shape, brightness, contrast, polarity and texture.

설계 기반 비닝 및 iDO의 결과는 바 그래프로 도시할 수 있다. 바 그래프는 결함의 전체 수 대 결함이 검출된 설계 데이터 내의 패턴 및 패턴의 함수로서 서브-그룹 내의 결함의 수를 도시할 수 있다. 전술한 바와 같이 iDO와 조합하여 설계 기반 비닝을 사용하는 단계는, 랜덤 및 체계적 결함을 분리하고, 결함이 비닝된 그룹을 우선순위화 하고, 및/또는 설계 데이터에 이루어져야 하는 변화를 식별하고 가능하게는 우선순위화 하기 위해(예컨대, 여기에 추가로 기술하는 바와 같이 결정할 수 있는, 결함 그룹의 잠재적 수율 영향을 이용하여) 사용할 수 있다. 특히, 체계적 결함 및 랜덤 결함에 대한 분리를 위해 설계 기반 비닝이 제공하는 값은 체계적(및 가능하게는 랜덤) 결함의 추가의 분리를 위해 iDO를 사용하여 증가할 수 있다. 또한, 설계 기반 비닝이 체계적 결함 및 랜덤 결함의 분리를 위해 제공하는 값은 수율 관련성을, 가능하게는 체계적(및 가능하게는 랜덤) 결함의 분리를 위해 iDO와 조합하여 사용함으로써 증가할 수 있다.The results of design-based binning and iDO can be shown in a bar graph. The bar graph may show the total number of defects versus the number of defects in the sub-group as a function of the pattern and pattern in the design data in which the defect was detected. Using design-based binning in combination with iDO, as described above, separates random and systematic defects, prioritizes groups of binned defects, and / or identifies and enables changes to be made to the design data. Can be used to prioritize (eg, using the potential yield impact of a group of defects, which can be determined as described further herein). In particular, the value provided by design-based binning for separation for systematic and random defects can be increased using iDO for further separation of systematic (and possibly random) defects. In addition, the value that design-based binning provides for separation of systematic and random defects can be increased by using yield relevance, possibly in combination with iDO, for separation of systematic (and possibly random) defects.

이러한 방식에서, 체계적 결함 모집단 및 랜덤 결함 모집단은 독립적으로 처리할 수 있다(예컨대, 체계적 결함 모집단 및 랜덤 결함 모집단은 개별적으로 샘플링될 수 있다). 체계적 결함 및 랜덤 결함에 대한 개별적인 결과를 생성하기 위해, 체계적 및 랜덤 결함에 대한 다른 모집단 또는 다른 정보가 사용될 수 있다. 예컨대, 체계적 결함 및 랜덤 결함은 유저에 의해 자동적으로 처리 및/또는 사용될 수 있는 상이한 바 그래프, 또는 상이한 그래프 또는 텍스트 표현으로 도시할 수 있다. 리뷰를 위한 결함 샘플링 후에, 체계적 결함, 및 선택적으로는 랜덤 결함의 일부는 적합한 리뷰 시스템(예컨대, 비교적 고 배율 광학 리뷰 시스템 또는 SEM)을 사용하여 리뷰될 수 있다. 결함 리뷰 결과는 체계적 결함 및 랜덤 결함 양쪽의 결함 밀도를 정상화하기 위해 사용될 수 있다. 여기에 기술한 방법 및 시스템은 유저에게 여러 이점을 제공한다. 예컨대, 상기 방법 및 시스템은 충분한 베이스라인 수율 향상과, 더 낳은 익스커션(excursion) 검출, 향상된 리뷰 시스템 효율, 더욱 효과적인 근원 원인 검출, 및 개선된 지식 보유를 제공한다. 또한, 여기에 기술한 실시예의 결과는 그 결과의 소비자(예컨대, 장치 제조자의 고객)에게 유용한 각종의 다른 유형의 정보를 포함할 수 있다. 그러한 다른 유형의 정보는 프로세스 툴 소유자, 설계자, 통합 엔지니어 등과 같은 정보를 포함할 수 있다.In this manner, systematic defect populations and random defect populations can be processed independently (eg, systematic defect populations and random defect populations can be sampled separately). To generate separate results for systematic and random defects, different populations or other information about systematic and random defects may be used. For example, systematic defects and random defects may be shown in different bar graphs, or in different graphs or textual representations, which may be automatically processed and / or used by a user. After defect sampling for review, some of the systematic defects, and optionally some of the random defects, can be reviewed using a suitable review system (eg, a relatively high magnification optical review system or SEM). The defect review results can be used to normalize the defect density of both systematic and random defects. The methods and systems described herein provide several benefits to the user. For example, the methods and systems provide sufficient baseline yield improvement, better excursion detection, improved review system efficiency, more effective root cause detection, and improved knowledge retention. In addition, the results of the embodiments described herein may include various other types of information useful to the consumer of the results (eg, the customer of the device manufacturer). Such other types of information may include information such as process tool owners, designers, integration engineers, and the like.

또한, 90nm 설계 룰에서 수율 손실의 50% 이상이 체계적 이슈에 의해 야기되는 것으로 추정되고 있다. 그와 같이, 체계적 수율 이슈는 90nm 설계 룰에서 현저하고, 90nm 이하의 설계 룰에서는 지배적이다. 따라서, 여기에 기술한 바와 같이 체계적 결함을 뉴슨스 결함 및 랜덤 결함으로부터 분리하는 것은 체계적 이슈의 더 낳은 평가, 분석, 및 제어를 허용한다. 또한, 체계적 결함의 위치는 설계 데이터 내의 기능 블록의 위치에 비교될 수 있다. 이러한 방식에서, 체계적 결함은 1 이상의 기능 블록에 상호 연관지어지고, 이 정보는 S/N을 향상하는데 사용할 수 있다. 특히, 상기 방법은 S/N을 향상하기 위해 결함이 위치되는 기능 블록에 기초하여 결함을 분리하는 단계를 포함할 수 있다. 유사한 방식에서, 상기 방법은 설계 데이터가 설계에 의해 조직화되는 계층적 셀에 기초하여 결함을 분리하는 단계를 포함할 수 있다. 따라서, S/N을 향상시키기 위해, 그룹으로 비닝된 결함 및/또는 DBC가 할당된 결함은 결함이 위치하는(예컨대, 메모리 또는 로직) 기능 블록(또는 임의의 계층 레벨)에 기초하여 분리될 수 있다. 여기에 기술한 실시예에서 사용된 설계 데이터의 부분은 임의의 셀 구조 또는 셀의 계층에 대응할 수 있다.In addition, it is estimated that more than 50% of the yield loss in the 90nm design rule is caused by systematic issues. As such, systematic yield issues are prominent in 90 nm design rules, and dominate in design rules below 90 nm. Thus, separating systematic defects from Newson's defects and random defects as described herein allows for better evaluation, analysis, and control of systematic issues. In addition, the location of the systematic defects can be compared to the location of the functional blocks in the design data. In this way, systematic defects are correlated to one or more functional blocks, and this information can be used to improve S / N. In particular, the method may include separating the defects based on the functional blocks in which the defects are located to improve S / N. In a similar manner, the method may include separating defects based on hierarchical cells in which design data is organized by design. Thus, to improve S / N, defects grouped into bins and / or DBC-assigned defects can be separated based on the functional block (or any hierarchical level) where the defect is located (eg, memory or logic). have. Portions of design data used in the embodiments described herein may correspond to any cell structure or hierarchy of cells.

기능 블록당 결함의 퍼센티지는 여기에 기술한 방법에 의해 결정할 수 있다. 이러한 방식에서, 설계 이슈를 포함하는 기능 블록은 각각의 기능 블록에서 검출된 및/또는 기능 블록에 대응하는 그룹으로 비닝된 결함의 퍼센티지에 기초하여 식별될 수 있다. 기능 블록에 위치하는 결함에 대한 추가적인 정보는 각 블록 내의 설계 이슈를 식별하기 위해 사용할 수 있다. 상기 정보는 보정에 의해 얼마나 많은 결함이 제거될 수 있는지에 기초하여 보정을 위한 설계 이슈를 선택 및/또는 우선순위화 하는데 사용될 수 있다. 예컨대, 결함의 약 70%가 설계의 4개의 상이한 기능 블록 내의 4개의 설계 이슈에 의해 야기된다고 결정되면, 보정을 위해 이들 4개의 설계 이슈만이 선택될 수 있거나, 이들 4개의 설계 이슈는 임의의 다른 것이 보정(예컨대, 설계 이슈에 의해 야기된 결함의 수 또는 퍼센티지에 기초하여 설계 이슈를 우선순위화 하고)되기 전에 보정을 위해 선택될 수 있다. 유저(예컨대, 칩 설계자)는 사용할 셀 설계를 선택할 수 있고, 이력적으로 더 적은 체계적 결함을 보이는 셀 설계를 선택할 수 있으며, 셀 설계에 관한 그러한 정보는 여기에 기술한 실시예를 사용하여 생성할 수 있다.The percentage of defects per functional block can be determined by the method described herein. In this manner, functional blocks containing design issues may be identified based on the percentage of defects detected in each functional block and / or binned into groups corresponding to the functional blocks. Additional information about defects located in functional blocks can be used to identify design issues within each block. The information can be used to select and / or prioritize design issues for correction based on how many defects can be eliminated by the correction. For example, if it is determined that about 70% of the defects are caused by four design issues in four different functional blocks of the design, only these four design issues may be selected for correction, or these four design issues may be any Others may be selected for correction prior to correction (eg, prioritizing design issues based on the number or percentage of defects caused by the design issue). The user (eg, chip designer) can select a cell design to use, and can select a cell design that shows historically less systematic defects, and such information about the cell design can be generated using the embodiments described herein. Can be.

다른 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화 하는 단계와, 그 우선순위화 단계의 결과에 기초하여 1 이상의 POI 중의 적어도 하나를 최적화하는 단계를 포함한다. 그러한 일 실시예에서, POI는 POI에서 검출된 결함의 수에 기초하여 우선순위화될 수 있다. 각 POI에서 검출된 결함의 수는 예컨대, POI 또는 POI의 1 이상의 속성을 그룹에 대응하는 설계 데이터 부분에 비교하고, POI(또는 POI의 속성)에 적어도 유사한 설계 데이터의 부분(또는 설계 데이터의 부분의 1 이상의 속성)에 대응하는 그룹 내의 결함의 수를 POI에 할당함으로써, 비닝 단계의 결과로부터 결정할 수 있다. 이러한 방식에서, 가장 많은 수의 결함이 검출된 POI에는 가장 높은 우선순위가 할당되고, 다음으로 가장 많은 수의 결함이 검출된 POI에는 다음으로 높은 우선순위가 할당된다.In another embodiment, the method includes prioritizing one or more POIs in the design data and optimizing at least one of the one or more POIs based on the result of the prioritizing step. In one such embodiment, the POI may be prioritized based on the number of defects detected in the POI. The number of defects detected in each POI, for example, compares the POI or one or more attributes of the POI to a design data portion corresponding to the group, and at least a portion of the design data (or a portion of the design data) that is at least similar to the POI (or attributes of the POI). Can be determined from the result of the binning step by assigning to the POI the number of defects in the group corresponding to one or more attributes). In this manner, the highest priority is assigned to the POI with the highest number of defects detected and the next highest priority is assigned to the next highest number of POIs with detected defects.

다른 실시예에서, 상기 방법은 수율 최적화를 위해(1 이상의 체계적 결함 유형을 우선순위화 하는 단계를 포함할 수 있다(예컨대, 프로세스 매개변수, 설계, OPC 등, 또는 그것의 조합을 변경함으로써). 그러한 일 실시예에서, 체계적 결함 유형은 POI 또는 POI 그룹으로서 분류할 수 있고, POI는 POI 상에서 또는 그 근방에서 검출된 결함의 수에 기초하여 우선순위화될 수 있다. 우선순위는 체계적 결함을 우선순위화 하기 위해, POI에서 검출된 체계적 결함의 임계, 설계 내의 POI의 빈도, 및 프로세스 변동에 대한 POI의 감지도를 사용하여 더욱 강화될 수 있다.In other embodiments, the method may include prioritizing one or more systematic defect types for yield optimization (eg, by changing process parameters, designs, OPCs, or the like). In one such embodiment, systematic defect types may be classified as POIs or POI groups, and POIs may be prioritized based on the number of defects detected on or near the POI. To rank, it can be further enhanced using the threshold of systematic defects detected in the POI, the frequency of POIs in the design, and the sensitivity of the POI to process variations.

또한(또는, 대안적으로), POI는 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과 또는 그것의 임의의 조합에 기초하여 우선순위화될 수 있다. 예컨대, POI의 우선순위화 하는 단계는 POI에서 검출된 1 이상의 결함에 대한 결함 임계 인덱스(DCI)를 결정하는 단계와, 1 이상의 결함에 대한 DCI에 기초하여 POI를 우선화하는 단계를 포함할 수 있다. DCI는 여기에 추가로 기술하는 바와 같이 본 실시예에서 결정할 수 있다. 다른 예에서, POI를 우선순위화 하는 단계는 POI 내에서 검출된 1 이상의 결함에 대한 KP 값을 결정하는 단계와, 1 이상의 결함에 대한 KP 값에 기초하여 POI를 우선순위화 하는 단계를 포함한다. 또 다른 예에서, POI는 POI 내 또는 그것 가까이서 검출된 결함의 수와 POI 내 또는 그것 가까이서 검출된 1 이상의 결함에 대한 DCI에 기초하여 우선순위화될 수 있다. 이러한 방식에서, POI의 우선순위화는 높은 결함을 갖는 POI에 높은 우선순위가 할당되도록 POI에 의해 나타내진 결함에 기초하여 POI를 우선순위화 하는 단계를 포함할 수 있다.In addition, (or alternatively), the POI may be prioritized based on any other result of any step of any method described herein, or any combination thereof. For example, prioritizing the POI may include determining a defect threshold index (DCI) for one or more defects detected in the POI, and prioritizing the POI based on the DCI for the one or more defects. have. DCI can be determined in this example as further described herein. In another example, prioritizing the POI includes determining a KP value for one or more defects detected within the POI and prioritizing the POI based on the KP value for the one or more defects. . In another example, the POI may be prioritized based on the number of defects detected in or near the POI and the DCI for one or more defects detected in or near the POI. In this manner, prioritizing the POI may include prioritizing the POI based on the defect indicated by the POI such that a high priority is assigned to the POI having a high defect.

또한, POI는 가능하게는 여기에 기술한 다른 결과와 조합하여 POI의 1 이상의 속성에 기초하여 식별 및/또는 우선순위화될 수 있다. POI의 1 이상의 속성은 예컨대, POI 내의 특징의 치수, POI 내의 특징의 밀도, POI에 포함된 특징의 유형, 설계 내의 POI의 위치, 결함에 대한 POI의 수율 영향의 민감성 등, 또는 그것의 일부 조합을 포함할 수 있다. 그러한 일 예에서, 결함에 의한 수율 영향에 더욱 민감한 POI에는 수율에 대한 결함의 영향에 덜 민감한 POI보다 더 높은 우선순위가 할당될 수 있다.In addition, the POI may be identified and / or prioritized based on one or more attributes of the POI, possibly in combination with other results described herein. One or more attributes of a POI may include, for example, the dimensions of the feature within the POI, the density of the feature within the POI, the type of feature included in the POI, the location of the POI within the design, the sensitivity of the yield impact of the POI to defects, or some combination thereof. It may include. In one such example, POIs that are more susceptible to yield effects due to defects may be assigned higher priority than POIs that are less sensitive to the effects of defects on yield.

또한, POI는 가능하게는 POI의 1 이상의 속성 및/또는 여기에 기술한 다른 결과와 조합하여 설계의 1 이상의 어트리뷰티에 기초하여 우선순위화될 수 있다. 설계의 1 이상의 속성은 용장도, 전기 접속성, 전기 속성 등, 또는 그것의 일부 조합을 포함할 수 있다. 특히, 설계 데이터 내의 셀은 셀 내에 포함된 패턴 이상의 콘텍스트를 가질 수 있다. 그러한 콘텍스트는 예컨대, 셀의 계층, 용장도 등을 포함할 수 있다. 따라서, 여기에 기술한 실시예에 사용된 1 이상의 속성은, 설계 데이터 스페이스 내의 POI의 위치 및/또는 POI의 설계 데이터에 기초하여 결정할 수 있는, POI가 위치되는 셀의 콘텍스트를 포함할 수 있다(설계 데이터가 설계 데이터 내의 셀에 특정적인 경우). 그러한 일 예에서, 설계 데이터 내에서 용장적이지 않은 POI(비-어레이)에는 용장적인 POI(예컨대, 어레이)보다 높은 우선순위가 할당될 수 있다. POI는 셀(예컨대, 라우팅 또는 용장 비어) 사이의 연결 용장도에 기초하여 우선순위화될 수 있다. 설계의 그러한 콘텍스트는 당업계에 알려진 임의의 방식으로 취득 및/또는 결정될 수 있다.In addition, the POI may be prioritized based on one or more attributes of the design, possibly in combination with one or more attributes of the POI and / or other results described herein. One or more attributes of the design may include redundancy, electrical connectivity, electrical attributes, and the like, or some combination thereof. In particular, a cell in design data may have a context beyond a pattern contained within the cell. Such context may include, for example, the hierarchy of cells, redundancy, and the like. Thus, one or more attributes used in the embodiments described herein may include the context of the cell in which the POI is located, which may be determined based on the location of the POI in the design data space and / or the design data of the POI ( Design data is specific to cells within the design data). In such an example, non-redundant POIs (non-arrays) in the design data may be assigned a higher priority than redundant POIs (eg, arrays). POIs may be prioritized based on connectivity redundancy between cells (eg, routing or redundancy beer). Such context of the design may be obtained and / or determined in any manner known in the art.

상기 우선순위화 단계의 결과에 기초하여 POI의 적어도 하나를 최적화하는 단계는 POI의 특징의 치수, POI의 특징의 밀도 등, 또는 그것의 임의의 조합과 같은 임의의 1 이상의 속성을 변경하는 단계를 포함할 수 있다. POI의 1 이상의 속성은 POI에 대응하는 설계 데이터를 변경함으로써 변경할 수 있다. 바람직하게는, POI는 POI의 결함(예컨대, POI 내에서 검출된 결함의 수)을 감소시키기 위해, POI 내에서 검출된 결함의 1 이상의 속성(예컨대, DCI, KP 등)를 변경하기 위해, 및/또는 POI가 포함되는 장치의 수율을 증가시키기 위해 변경된다. 또한, 우선순위화 단계에 의해 결정된 바와 같이 낮은 우선순위를 갖는 POI이전에, 우선순위화 단계에 의해 결정되는 바와 같이 더 높은 우선순위를 갖는 POI가 변경 및 최적화될 수 있다. 이러한 방식에서, 가장 높은 결함 및/또는 수율에 대한 영향이 가장 큰 결함은 낮은 결함 및/또는 수율에 대한 영향이 작은 결함 이전에, 변경 및/또는 최적화될 수 있다. 그와 같이, 최적화 단계의 결과는 수율에 가장 큰 개선을 나타내기 위해서 어느 POI가 변경 및/또는 최적화될 수 있는지를 지시하고, POI는 다른 POI 이전에 변경 및/또는 최적화될 수 있다.Optimizing at least one of the POIs based on the result of the prioritizing step includes changing any one or more attributes, such as the dimensions of the features of the POI, the density of the features of the POI, or any combination thereof. It may include. One or more attributes of the POI can be changed by changing the design data corresponding to the POI. Preferably, the POI is adapted to modify one or more attributes of the defects detected in the POI (eg, DCI, KP, etc.) to reduce defects in the POI (eg, the number of defects detected within the POI), and And / or change to increase the yield of the device in which the POI is included. Also, prior to the POI having a lower priority as determined by the prioritization step, the POI having a higher priority as determined by the prioritization step may be changed and optimized. In this manner, the defects with the highest defects and / or the greatest impact on yield can be modified and / or optimized before the defects with the lowest defects and / or the smallest impact on yield. As such, the results of the optimization step indicate which POIs can be changed and / or optimized to show the greatest improvement in yield, and the POIs can be changed and / or optimized before other POIs.

따라서, 어느 POI가 수율에 대하여 가장 큰 영향을 갖는지에 관한 시기적절한 안내 없이, 설계 데이터 및/또는 제조 프로세스에 이루어진 변경이 지연되어, 수율에 있어서의 개선이 느리고 시장 출하시간이 증가하는, 이전에 사용된 방법 및 시스템보다 본 실시예가 유리하다. 또한, 이러한 단계에서 변경된 POI가 여기에 기술한 실시예에서 비닝된 결함의 검출 이전에 웨이퍼 상에 인쇄된 설계 내에 포함된 POI만을 포함할지라도, POI를 최적화하기 위해 변경된 POI는 1 이상의 설계에 포함된 POI를 포함할 수 있다. 예컨대, 1 이상의 설계 데이터가 우선순위화 및/또는 여기에 기술한 방법의 임의의 다른 결과에 기초하여 POI를 포함하는 경우, 다른 설계 내의 POI가 변경되고 최적화될 수 있어서, 각각의 상이한 설계로 제조된 장치의 수율을 증가시킨다.Thus, without timely guidance as to which POI has the greatest impact on yield, changes made to the design data and / or manufacturing process are delayed, resulting in slower improvements in yield and increased time to market. This embodiment is advantageous over the methods and systems used. Also, even if the modified POI at this stage includes only the POI included in the design printed on the wafer prior to detection of binned defects in the embodiments described herein, the modified POI is included in one or more designs to optimize the POI. May include a POI. For example, if one or more design data includes a POI based on prioritization and / or any other result of the methods described herein, the POIs in other designs may be altered and optimized to produce each different design. Increase the yield of the device.

추가의 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여 1 이상의 POI의 1 이상의 RET 특징을 최적화하는 단계를 포함한다. 본 실시예에서의 POI 우선순위화 단계는 전술한 바와 같이 수행될 수 있다. 이러한 단계에서 최적화된 RET 특징은 설계에 포함된 임의의 RET 특징(예컨대, OPC 특징)을 포함할 수 있다. 우선순위화 단계의 결과에 기초하여 1 이상의 POI의 1 이상의 RET 특징을 최적화하는 단계는 RET의 1 이상의 속성(예컨대, RET 특징의 치수, RET 특징의 형상, POI 내의 특징에 대한 RET 특징의 위치 등)를 변경하는 단계를 포함할 수 있다. 이러한 단계에서 변경되는 RET 특징의 1 이상의 속성은 POI 내의 결함을 감소시키고 및/또는 수율을 증가시킬 RET 특징의 임의의 속성을 바람직하게 포함한다.In a further embodiment, the method includes prioritizing one or more POIs in the design data and optimizing one or more RET features of the one or more POIs based on the results of the prioritization step. The POI prioritization step in this embodiment may be performed as described above. The optimized RET feature at this stage may include any RET feature (eg, OPC feature) included in the design. Optimizing the one or more RET features of the one or more POIs based on the result of the prioritizing step may include one or more attributes of the RET (eg, the dimensions of the RET feature, the shape of the RET feature, the location of the RET feature relative to the feature within the POI, etc.). ) May be included. At least one attribute of the RET feature that is changed in this step preferably includes any attribute of the RET feature that will reduce defects in the POI and / or increase yield.

또한, 본 실시예에서의 우선순위화 단계의 결과에 기초하여 1 이상의 RET 특징을 최적화하는 단계는 다른 POI에 대한 RET 특징을 최적화하기 이전에, 가장 높은 우선순위를 갖도록 결정된 POI에 대한 RET 특징을 최적화하는 단계를 포함할 수 있다. 이러한 방식에서, 가장 높은 우선순위를 갖는 POI의 RET 특징은 낮은 우선순위를 갖는 POI의 RET 특징이 변경되기 이전에 변경될 수 있다. 이러한 방식에서, 가장 큰 결함 및/또는 수율에 영향이 가장 큰 결함을 보이는 POI의 RET 특징은 낮은 결함 및/또는 수율에 대한 영향이 작은 결함을 보이는 POI의 RET 특징이전에 변경 및/또는 최적화될 수 있다. 그와 같이, 우선순위화 단계의 결과는 수율에 가장 큰 개선을 나타내도록 어느 POI가 변경 및/또는 최적화될 수 있는지를 지시하며, POI의 RET 특징은 다른 POI의 RET 특징 이전에 변경 및/또는 최적화될 수 있다.In addition, the step of optimizing one or more RET features based on the result of the prioritizing step in the present embodiment prior to optimizing the RET features for other POIs, determines the RET features for the POIs determined to have the highest priority. Optimizing. In this manner, the RET feature of the POI with the highest priority may be changed before the RET feature of the POI with the lower priority is changed. In this manner, the RET characteristics of POIs that exhibit the greatest defects and / or defects that have the greatest impact on yield may be altered and / or optimized prior to the RET features of POIs that exhibit low defects and / or minor impact on yield. Can be. As such, the results of the prioritization step indicate which POIs can be changed and / or optimized to exhibit the greatest improvement in yield, the RET feature of the POIs being changed and / or before the RET feature of the other POIs. Can be optimized.

따라서, 어느 POI가 수율에 대하여 가장 큰 영향을 갖는지에 관한 시기적절한 안내 없이, 설계에 대한 변경이 지연되어, 수율에 있어서의 개선이 느리고 시장 출하시간이 증가하는, 이전에 사용된 방법 및 시스템보다 본 실시예가 유리하다. 또한, 이러한 단계에서 변경된 POI의 RET 특징이 여기에 기술한 실시예에서 비닝된 결함의 검출 이전에 웨이퍼 상에 인쇄된 설계 내에 포함된 POI의 RET 특징만을 포함할지라도, 변경 및/또는 최적화된 POI의 RET 특징은 1 이상의 설계에 포함된 POI의 RET 특징을 포함할 수 있다. 예컨대, 1 이상의 설계 데이터가 우선순위화 및/또는 여기에 기술한 방법의 임의의 다른 결과에 기초하여 동일한 RET 특징을 갖는 POI를 포함하는 경우, 다른 설계 내의 POI의 RET 특징이 변경되고 최적화될 수 있어서, 각각의 상이한 설계로 제조된 장치의 수율을 증가시킨다.Thus, without timely guidance as to which POI has the greatest impact on yield, changes to the design are delayed, resulting in slower improvements in yield and increased time to market than previously used methods and systems. This embodiment is advantageous. Furthermore, even if the RET feature of the POI changed at this stage includes only the RET feature of the POI included in the design printed on the wafer prior to detection of binned defects in the embodiments described herein, the modified and / or optimized POI The RET feature of may include the RET feature of the POI included in one or more designs. For example, if one or more design data includes POIs having the same RET characteristics based on prioritization and / or any other result of the methods described herein, the RET characteristics of the POIs in other designs may be altered and optimized. Thereby increasing the yield of devices made with each different design.

일부 실시예에서, 상기 방법은 결함 위치에 관한 설계 데이터를 사용하여 제조되는 장치의 전기적 특징을 모델링하는 단계와, 그 모델링 결과에 기초하여 결함 위치에서의 결함의 매개변수 관련성을 결정하는 단계를 포함한다. 이러한 방식에서, 모델링 단계의 결과는 결함의 매개변수 관련성을 결정하는데 사용할 수 있다. 예컨대, 모델링 단계의 결과는 설계를 사용하여 제조되고 있는 장치의 1 이상의 전기적 매개변수를 결함이 어떻게 변경시키는지를 결정하는데 사용될 수 있다. 전술한 바와 같이 매개변수 관련성이 결정된 결함은 체계적 결함일 수 있다. 매개변수 관련성은 여기에 기술한 방법의 임의의 단계에서 사용될 수 있다. 예컨대, 가능하게는 여기에 기술한 다른 정보(예컨대, 1 이상의 결함 속성, 설계 데이터의 1 이상의 속성 등)와 조합하여, 매개변수 관련성은 전술한 바와 같이 결함의 DCI를 결정하고, POI를 우선순위화하는 등에 사용할 수 있다.In some embodiments, the method includes modeling electrical characteristics of a device manufactured using design data relating to the defect location, and determining parameter association of the defect at the defect location based on the modeling result. do. In this way, the results of the modeling step can be used to determine the parameter relevance of the defect. For example, the results of the modeling step can be used to determine how defects change one or more electrical parameters of the device being manufactured using the design. As described above, the defect in which the parameter relevance is determined may be a systematic defect. Parameter association can be used at any stage of the method described herein. For example, possibly in combination with other information described herein (e.g., one or more defect attributes, one or more attributes of design data, etc.), the parameter relevance determines the DCI of the defect, as described above, and prioritizes the POI. It can be used for painting.

본 실시예에서 장치의 전기적 특징을 모델링하는 단계는 당업계에서 알려진 임의의 적합한 방법을 사용하여 수행할 수 있다. 모델링된 장치의 전기적 특징은 장치의 임의의 1 이상의 전기적 특징을 포함할 수 있다. 결함의 매개변수 관련성은 모델링된 전기적 특징 및 설계된 전기적 특징을 사용하여 결정할 수 있다. 예컨대, 결함이 전기적 특징을 변경하는 정도를 결정하기 위해 모델링된 전기적 특징은 설계된 전기적 특징과 비교될 수 있다. 매개변수 관련성은 결함이 전기적 특징을 변경하는 정도에 기초하여 결정될 수 있다(예컨대, 전기적 특징을 큰 정도로 변경하는 결함은 전기적 특성을 적은 정도로 변경하는 결함보다 더욱 매개변수적으로 관련적이다). 매개변수 관련성은 모델링된 전기적 특징 및 장치의 적합한 전기적 특징의 범위를 사용하여 유사한 방식으로 결정할 수 있다. 예컨대, 모델링된 전기적 특징은 그 범위와 비교될 수 있고, 모델링된 전기적 특징이 그 범위 내에 있거나 그 범위 밖에 있는지가 매개변수 관련성을 결정하는데 사용될 수 있다. 그러한 일 예에서, 모델링된 전기적 특징이 허용가능한 범위 근처 또는 그 범위 밖에 있는 경우, 모델링된 특징이 허용가능한 범위 내에 있는 경우보다 결함이 더욱 매개변수적으로 관련적이다고 판정될 수 있다. 매개변수 관련성은 시뮬레이션, 광학적 검사 결사, 결함 리뷰 결과, 전기 테스트 결과, 또는 그것의 일부 조합을 비한정적으로 포함하는 복수의 상이한 소스로부터의 정보에 적어도 부분적으로 기초하여 결정될 수 있다.Modeling the electrical characteristics of the device in this embodiment may be performed using any suitable method known in the art. The electrical characteristics of the modeled device may include any one or more electrical features of the device. The parameter association of a fault can be determined using modeled electrical features and designed electrical features. For example, the modeled electrical features can be compared with the designed electrical features to determine the extent to which the defect changes the electrical features. Parameter relevance may be determined based on the degree to which the defect changes the electrical characteristics (eg, defects that change the electrical characteristics to a greater extent are more parametrically related than defects that change the electrical characteristics to a lesser extent). Parameter relevance can be determined in a similar manner using a range of modeled electrical features and suitable electrical features of the device. For example, the modeled electrical features can be compared to that range, and whether the modeled electrical features are within or outside of that range can be used to determine parameter relevance. In such an example, when the modeled electrical feature is near or outside the acceptable range, it may be determined that the defect is more parametrically related than when the modeled feature is within the acceptable range. Parameter relevance may be determined based at least in part on information from a plurality of different sources including but not limited to simulations, optical inspection lodges, defect review results, electrical test results, or some combination thereof.

일 실시예에서, 상기 방법은 체계적 결함 및 잠재적인 체계적 결함에 대해 결정되거나 그것과 관련된 매개변수 관련성에 기초하여 체계적인 결함 또는 잠재적인 체계적 결함에 우선순위를 할당하는 단계를 포함한다. 예컨대, 핫 스폿의 우선순위 또는 중점화는 매개변수 관련성에 기초하여 랭크(rank)될 수 있다. 매개변수 관련성은 핫 스폿에서의 결함이 어떻게 또는 얼마나 많은 결함이 장치의 전기적 매개변수에 영향을 미칠 것인지를 규정할 수 있다.In one embodiment, the method includes assigning priorities to systematic defects or potential systematic defects based on parameter associations determined or related to systematic defects and potential systematic defects. For example, the priority or emphasis of hot spots can be ranked based on parameter relevance. Parameter relevance may define how or how many defects in the hot spot will affect the electrical parameters of the device.

매개변수 관련성은 장치에 대하여 매개변수 이슈(예컨대, 수율 손실)를 더욱 야기할 것 같은 결함을 분리하거나 우선순위화하는데 사용될 수 있다. 예컨대, 전기 테스트 결과 또는 저항, 커패시턴스, 타이밍 등과 같은 장치의 전기적 특성에 관한 다른 정보를, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성 및/또는 결함의 1 이상의 속성와 조합하여 사용하여, 어느 결함이 장치의 전기적 특성에 영향을 미치고 어느 결함이 영향을 미치지 않을지를 결정할 수 있다. 전기 테스트 결과 또는 전기적 특성에 관한 다른 정보는 상기 방법을 사용하여 결정되거나(예컨대, 시뮬레이션을 사용하여), 다른 소스(예컨대, 네트 리스트 정보)로부터 취득될 수 있다. 이러한 방식에서, 매개변수 이슈를 더욱 야기할 것 같은 결함은 매개변수 이슈를 덜 야기할 것 같은 또는 야기하지 않을 것 같은 결함으로부터 분리할 수 있다. 그와 같이, 장치의 구조 구성 또는 재료 속성에만 영향을 미치는 결함은, 그 장치가 의도한 목적에 따라 작동할 수 있는지에 영향을 미치는 결함으로부터 분리될 수 있다. 또한, 설계 데이터의 1 이상의 속성 및/또는 결함의 1 이상의 속성와 조합하여, 전기 테스트 결과 또는 장치의 전기적 특성에 관한 다른 정보는, 전기적 결함을 주요한 매개변수 결함(예컨대, 장치의 전기적 특성에 현저하게 영향을 줄 수 있는 전기적 결함)과 주요하지 않은 매개변수 결함(예컨대, 장치의 전기적 특성에 현저하게 영향을 주지 않는 전기적 결함)으로 분리하는데 사용할 수 있다.Parameter relevance can be used to isolate or prioritize defects that are more likely to cause parameter issues (eg, yield loss) for the device. For example, using electrical test results or other information about the electrical properties of the device, such as resistance, capacitance, timing, etc., in combination with one or more attributes of the design data and / or one or more attributes of the defects close to the location of the defects in the design data space. In addition, it is possible to determine which defects affect the electrical properties of the device and which defects will not. Electrical test results or other information regarding electrical properties may be determined using the method (eg, using simulation) or obtained from other sources (eg, net list information). In this manner, defects that are more likely to cause parameter issues can be separated from defects that are less likely to cause parameter issues. As such, defects affecting only the structural configuration or material properties of the device can be separated from defects affecting whether the device can operate according to its intended purpose. In addition, in combination with one or more properties of the design data and / or one or more properties of the defects, electrical test results or other information regarding the electrical properties of the device may be associated with a major parameter defect (e.g., the electrical properties of the device). Electrical defects that can affect) and minor parametric defects (eg, electrical defects that do not significantly affect the electrical properties of the device).

일부 실시예에서, 본 방법은 결함(예컨대, 1 이상의 결함)에 대해 DCI를 결정하는 단계를 포함한다. DCI는 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 결정할 수 있다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 그것의 일부 조합은 설계 기반 잠재적 수율 영향을 결정하는데 사용될 수 있고, 이에 의해 결함 데이터의 값을 증가시킬 수 있다. 특정 일 예에서, DCI는 결함이 전기적 오류를 야기할 것 같은 가능성을 결정하기 위해 설계 데이터 내의 결함의 위치 및 결함 사이즈를 사용하여 결정할 수 있다. DCI는 결함의 수율 관련성을 지시하는데 사용할 수 있다. 특히, 결함 사이즈는 결함이 다이를 파손하거나 웨이퍼 상에서 제조되고 있는 장치의 1 이상의 속성을 변경할 가능성을 결정하는데 사용할 수 있다. 예컨대, 결함 사이즈 및 패턴 복잡성이 증가함에 따라, 결함이 다이를 파손하거나 장치의 1 이상의 전기적 속성을 변경할 가능성 또한 증가한다. 따라서, 결함이 다이를 파손하거나 장치의 1 이상의 전기적 속성을 변경할 가능성을 결함 사이즈 및 패턴 복잡성으로 기술하는 관계는 웨이퍼 상에서의 각 결함의 상대적 리스크를 결정하는데 사용할 수 있다. 각 결함의 상대적 리스크는 검사 직후에 결정될 수 있어서, 상대적인 리스크에 기초하여 더 낳을 결정이 이루어지게 허용한다.In some embodiments, the method includes determining a DCI for a defect (eg, one or more defects). The DCI may be determined based on one or more attributes of the design data, one or more attributes of the defects, or some combination thereof that is close to the location of the defect in the design data space. For example, one or more attributes of the design data, one or more attributes of the defect, or some combination thereof, close to the location of the defect in the design data space can be used to determine the design based potential yield impact, thereby increasing the value of the defect data. You can. In one particular example, the DCI can be determined using the location of the defect and the defect size in the design data to determine the likelihood that the defect is likely to cause an electrical error. DCI can be used to indicate the yield relevance of a defect. In particular, the defect size can be used to determine the likelihood that the defect will break the die or change one or more attributes of the device being manufactured on the wafer. For example, as defect size and pattern complexity increase, the likelihood of a defect breaking a die or changing one or more electrical properties of the device also increases. Thus, the relationship describing the probability of a defect breaking a die or changing one or more electrical properties of the device in terms of defect size and pattern complexity can be used to determine the relative risk of each defect on the wafer. The relative risk of each defect can be determined immediately after the inspection, allowing better decisions to be made based on the relative risk.

대안적으로, DCI는 상이한 결함 사이즈 및 가능하게는, 결함에 대해서 DCI를 결정하는데 사용될 수 있는, 결함의 상이한 유형(가능하게는 전체 다이에 걸쳐)에 대하여 결함이 다이를 파손하거나, 1 이상의 전기적 속성을 변경할 가능성을 결정하는 단계를 포함하는 통계적 방법을 사용하여 결정할 수 있다. 예컨대, 일 실시예에서, 상기 방법은, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성(예컨대, 결함 사이즈), 결함을 검출하는데 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 비정확성, 또는 그것의 일부 조합에 기초하여, 설계 데이터에 대해 제조된 장치에서 1 이상의 결함이 1 이상의 전기적 오류를 야기할(또는 장치의 1 이상의 전기적 속성을 변경하여 매개변수적 전기적 이슈) 확률을 결정하는 단계와, 그 확률에 기초하여 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 그 확률은 당업계에 알려진 임의의 적합한 통계적 방법을 사용하여 이러한 방식으로 결정할 수 있다.Alternatively, the DCI may be used to determine the DCI for a different defect size and possibly for different types of defects (possibly across the entire die), or the defect may break the die, or one or more electrical Decisions can be made using a statistical method that includes determining the likelihood of changing an attribute. For example, in one embodiment, the method is reported by one or more attributes of the design data close to the location of the defect in the design data space, one or more attributes of the defect (eg, defect size), by the inspection system used to detect the defect. Based on the location of the defect that was detected, the coordinate inaccuracy of the inspection system, or some combination thereof, one or more defects in the device manufactured for the design data will cause one or more electrical errors (or alter one or more electrical properties of the device). Determining a parametric electrical issue) probability and determining a DCI for one or more defects based on the probability. The probability can be determined in this way using any suitable statistical method known in the art.

결함에 대한 DCI는, 리뷰를 위해 결함을 선택하는 샘플링과 같이, 여기에 기술한 실시예에서 다수의 방식으로 결정할 수 있다. 특히, 각각의 결함 범주 또는 결함의 그룹에 대해, DCI는 공통적으로 분류된 결함 또는 공통적으로 비닝된 결함의 랜덤 샘플링을 수행하는 대신에, 동일한 범주를 갖거나 동일한 그룹으로 비닝된 결함을 샘플링하기 위해 사용할 수 있다. 샘플링을 위해 DCI를 사용함으로써, DCI의 분포는 어느 결함이 다이를 파손하거나 1 이상의 전기적 특성을 변경할 확률이 높은지를 결정하는데 사용할 수 있으며, 다이를 파손하거나 1 이상의 전기적 속성을 변경할 확률이 높은 결함을 더 중점적으로 샘플링할 수 있다. 그와 같이, 수율에 영향을 더 미칠 것 같은 결함은 리뷰를 위해 더욱 중점적으로 샘플링될 수 있고, 따라서, 이는 수율에 더욱 영향을 미칠 것 같은 결함을 식별 및 분류하는데 특히 유용한 결함 리뷰 결과를 생성할 수 있다. DCI는 잠재적으로 체계적이고 체계적인 결함뿐만 아니라, 랜덤 결함을 샘플링하는데 사용할 수 있다.The DCI for a defect can be determined in a number of ways in the embodiments described herein, such as sampling to select a defect for review. In particular, for each defect category or group of defects, the DCI is configured to sample defects having the same category or binned into the same group, instead of performing random sampling of commonly classified defects or commonly binned defects. Can be used. By using DCI for sampling, the distribution of DCI can be used to determine which defects are more likely to break a die or change one or more electrical properties, and to detect defects that are more likely to break a die or change one or more electrical properties. You can sample more intensively. As such, defects that are more likely to affect yield can be sampled more intensively for review, thus generating defect review results that are particularly useful for identifying and classifying defects that are more likely to affect yield. Can be. DCI can be used to sample random defects as well as potentially systematic and systematic defects.

일부 실시예에서, 상기 방법은 전기적 오류 밀도 맵 상의 고밀도 영역을 결정하는 단계를 포함한다. 오류 밀도 맵은 오류 테스트 체인 또는 오류 플립-플롭(스캔 기반 테스트 유형인 구조적 테스트에 의해 검출됨)의 "로직 비트맵" 또는 물리적 변환을 생성함으로써 생성할 수 있다. 스캔 기반 테스트에 의해 발견된 모든 오류 라인 또는 영역은 DUT(die under test)의 그래픽 렌더링에서와 같이 도시된다. 용어 "로직 비트맵" 및 "비트맵"은 여기에서 교환가능하게 사용된다. 동일한 층 및 설계의 상이한 다이에 대한 로직 비트맵은 적층(즉, 오버레이)되어 다이의 각 지점에서의 오류의 수를 나타내고, 이에 의해 오류 밀도 맵을 생성한다. 소정 값보다 큰 빈도로 오류 밀도 맵에 출현하는 결함은 체계적 결함이라 간주할 수 있다. 다이 좌표 스페이스 내의 핫 스폿에 가깝게 발견된 결함은 수율 영향 체계적 결함 또는 체계적 후보라고 간주할 수 있다.In some embodiments, the method includes determining a high density area on the electrical error density map. The error density map can be generated by generating a "logic bitmap" or physical transformation of an error test chain or error flip-flop (detected by a structural test, a scan based test type). All error lines or regions found by the scan based test are shown as in the graphical rendering of the die under test (DUT). The terms "logic bitmap" and "bitmap" are used interchangeably herein. Logical bitmaps for different dies of the same layer and design are stacked (ie, overlayed) to indicate the number of errors at each point of the die, thereby generating an error density map. Defects that appear in the error density map with a frequency greater than a predetermined value may be considered systematic defects. Defects found close to hot spots within the die coordinate space can be considered to be yield impact systematic defects or systematic candidates.

일부 실시예에서, 전기 검사 프로세스의 결과(예컨대, 비트맵)를 인라인 검사 결과로부터의 정보를 사용하여 분석하여, 전기적 결함의 원인이 인라인 검사 결과로부터 판정될 수 있는지를 결정할 수 있다. 인라인 검사 결과와 전기 검사 결과를 상호 연관짓기 위해, 상이한 검사 결과가 여기에 기술한 바와 같이 서로 정렬될 수 있다. 또한, 상이한 검사 결과를 설계 데이터에 먼저 정렬한 후, 검사 결과를 서로 정렬할 수 있다. 어느 경우든, 비트맵 결과는 인라인 검사 결과에 오버레이될 수 있다.In some embodiments, the results of the electrical inspection process (eg, bitmap) can be analyzed using information from the inline inspection results to determine whether the cause of the electrical defect can be determined from the inline inspection results. In order to correlate the inline test results with the electrical test results, different test results may be aligned with one another as described herein. Also, different test results can be first aligned with the design data, and then the test results can be aligned with each other. In either case, the bitmap result can be overlaid on the inline check result.

상기 방법은 인라인 검사 데이터 및 설계 데이터에 기초하여 비트맵 내의 전기적 결함의 원인을 결정하는 단계를 포함할 수 있다. 또한, 얼마나 많은 전기적 오류가 물리적 결함에 중첩하는지를 결정하기 위해 다른 오류 유형 및 그것의 후보 위치 또는 패쓰(path)를 분석할 수 있다. 이러한 방식에서, 오류 유형에 대한 히트(hit) 비율은, 그 유형의 오류의 수로 나눈, 보고된 물리적 결함에 대응하는 유형의 오류 수로서 결정될 수 있다. 히트 비율은 오류 유형이 보고된 물리적 결함과 연관되는 경향이 있는지를 결정하기 위해 평가될 수 있다. 또한, 물리적 결함의 인라인 검사 결과 및 히트 비율은 얼마나 많은 동일한 유형의 결함이 전기적 오류에 의해 야기되는지를 결정하는데 사용될 수 있다. 이러한 방식에서, 전기적 오류를 야기한 동일한 유형의 결함의 수는 결함의 수율 중요성의 통계적 예측을 결정하는데 사용될 수 있다.The method may include determining the cause of an electrical defect in the bitmap based on the inline inspection data and the design data. In addition, different error types and their candidate locations or paths can be analyzed to determine how many electrical errors overlap with physical defects. In this manner, the hit ratio for the error type can be determined as the number of errors of the type corresponding to the reported physical defect divided by the number of errors of that type. The hit ratio can be evaluated to determine if the error type tends to be associated with the reported physical defect. In addition, in-line test results and hit ratios of physical defects can be used to determine how many of the same type of defects are caused by electrical errors. In this way, the number of defects of the same type that caused the electrical error can be used to determine a statistical prediction of the yield importance of the defect.

물리적 결함에 대한 추가적인 정보는 비트 오류의 원인을 결정하는데 사용될 수 있다. 그러한 정보는 비트 오류의 위치에 대응하는 물리적 결함의 이미지, 물리적 결함의 분류 결과, 물리적 결함에 대한 비닝 결과, 또는 그것의 일부 조합을 비한정적으로 포함할 수 있고, 이는 비트 오류가 위치한 전체 다이의 비트맵 이미지, 복수 다이의 비트맵 이미지의 적층(즉, 오버레이)을 보여주는 이미지(예컨대, 다이에 걸친 전기적 오류의 반복성을 보여주기 위해), 비트맵 파레토 다이어그램, 및 비트맵 결과에 대한 상세한 정보(예컨대, 테이블 또는 리스트 내의 데이터)과 같은 비트맵 정보와 조합하여 사용할 수 있다.Additional information about the physical fault can be used to determine the cause of the bit error. Such information may include, but is not limited to, an image of a physical defect corresponding to the location of the bit error, a classification result of the physical defect, a binning result for the physical defect, or some combination thereof, that is, of the entire die where the bit error is located. Detailed information about the bitmap image, an image showing a stack (i.e., overlay) of bitmap images of multiple dies (e.g., to show repeatability of electrical errors across the dies), bitmap Pareto diagrams, and bitmap results ( For example, data in a table or list).

일부 실시예에서, 상기 방법은 결함이 검출되지 않거나, 비-파손적이거나 비-현저한 결함이 검출된 핫 스폿을 식별하기 위해 DTT(defect transition table) 방법을 사용하는 것을 포함할 수 있다. 일반적으로, DTT의 열(row)은 상이한 결함에 대한 검사 결과를 포함하고, DTT의 다른 행(column)은 다른 시간에 수행된 검사에 의해 생성된 검사 결과를 포함한다. 검사 결과는 행에 걸쳐 연대적 순서로 배열할 수 있다. 이러한 방식에서, 테이블은 반도체 제조 공정 중에 어느 결함이 상이한 층에서 재검출되는지를 나타낸다. 테이블은 상이한 층에서 검출된 결함에 관한 추가적 정보를 포함하거나 그것에의 액세스(예컨대, 링크)를 제공할 수 있다. 이러한 방식에서, 결함의 이미지와 같은 추가적 정보는 결함이 상이한 층에서 변경되는지 또는 어떻게 변경되는지를 판정하기 위해 사용될 수 있다.In some embodiments, the method may include using a defect transition table (DTT) method to identify hot spots where no defects were detected, or non-destructive or non-conspicuous defects were detected. In general, rows of DTT contain test results for different defects, and other columns of DTT contain test results generated by tests performed at different times. The test results can be arranged in chronological order across the rows. In this way, the table shows which defects are redetected in different layers during the semiconductor manufacturing process. The table may include or provide access (eg, a link) to additional information about the defect detected at the different layers. In this way, additional information, such as an image of the defect, can be used to determine whether or how the defect is changing in different layers.

추가적인 실시예에서, 상기 방법은 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 유사한 방식에서, 상기 방법은 1 이상의 그룹에 대응하는 설계 데이터의 1 이상의 속성, 1 이상의 그룹 내의 결함의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 결함의 1 이상 그룹의 결함에 대한 KP 값을 결정하는 단계를 포함할 수 있다. 체계적 결함에 대한 KP 값은 수율 비율과 같은 체계적 결함의 추가적인 속성을 결정하는데 사용할 수 있다. 또한, KP 값은 여기에 기술한 추가적인 단계를 수행하는데 사용할 수 있다. 예컨대, 체계적 결함에 대한 KP 값은 어느 결함이 리뷰를 위해 선택될지를 결정하기 위해 사용할 수 있다. 특히, 비교적 높은 KP 값을 갖는 체계적 결함이 리뷰를 위해 선택될 수 있다. 또한, 상기 방법은 체계적 결함에 대한 KP 값을 감시하는 단계와, KP 값이 소정의 KP 값을 초과하는 경우 출력 신호를 생성하는 단계를 포함할 수 있다. 출력 신호는 자동 리포트, 가시 출력 신호, 가청 출력 신호, 또는 프로세스에의 잠재적 문제를 유저에게 경고하는데 사용할 수 있는 일부 다른 출력 신호일 수 있다. 이러한 방식에서, 출력 신호는 경고 신호일 수 있다.In a further embodiment, the method includes determining a KP value for the one or more defects based on one or more attributes of the design data, one or more attributes of the defects, or some combination thereof. In a similar manner, the method may determine a KP value for a defect of at least one group of defects based on at least one attribute of design data corresponding to at least one group, at least one attribute of a defect in at least one group, or some combination thereof. Determining may include. KP values for systematic defects can be used to determine additional attributes of systematic defects such as yield ratios. KP values can also be used to perform the additional steps described herein. For example, the KP value for systematic defects can be used to determine which defects will be selected for review. In particular, systematic defects with relatively high KP values can be selected for review. The method may also include monitoring the KP value for systematic defects and generating an output signal if the KP value exceeds a predetermined KP value. The output signal can be an automatic report, a visible output signal, an audible output signal, or some other output signal that can be used to alert the user of potential problems with the process. In this way, the output signal can be a warning signal.

여기에 추가로 기술하는 바와 같이, 여기에 기술한 방법 및 시스템의 하나의 이점은 복수의 상이한 소스로부터의 정보가 서로 상호 연관지어지고, 저장되고, 표시되고 및/또는 처리될 수 있다는 것이다. 그러한 정보는 GDS 파일 내의 정보, 웨이퍼에 대하여 수행된 프로세스에 관한 정보(통상적으로 WIP 데이터라 칭할 수 있고, 그것은 팹 제조 실행 시스템(MES) 데이터베이스와 같은 소스로부터 취득될 수 있다), 인라인 검사 결과, 인라인 계측 또는 측정 결과, 전기 테스트 결과, 및 엔드-오브-라인(end-of-line) 수율 정보를 비한정적으로 포함할 수 있다. 그러한 정보는 체계적 결함에 대한 수율 관련 정보를 결정하는데 사용할 수 있다. 또한, 수율 비율 또는 체계적 결함에 대하여 결정된 다른 수율 관련 정보는 수율 관련 콘텍스트를 체계적 결함에 할당하기 위해 사용할 수 있다. 수율 관련 콘텍스트 정보 및 설계 콘텍스트 정보의 양쪽은 체계적 결함에 할당될 수 있다. 일 실시예에서, 설계 콘텍스트에 기초하여 결함을 분류하는 대신에, 체계적 결함은 수율 한정 콘텍스트에 기초하여 분류할 수 있다.As further described herein, one advantage of the methods and systems described herein is that information from a plurality of different sources can be correlated, stored, displayed and / or processed with each other. Such information may include information in a GDS file, information about the process performed on the wafer (commonly referred to as WIP data, which may be obtained from a source such as a fab manufacturing execution system (MES) database), inline inspection results, In-line metrology or measurement results, electrical test results, and end-of-line yield information may be included without limitation. Such information can be used to determine yield-related information for systematic deficiencies. In addition, yield ratios or other yield related information determined for systematic defects may be used to assign yield related contexts to systematic defects. Both yield related context information and design context information can be assigned to systematic defects. In one embodiment, instead of classifying defects based on design context, systematic defects may be classified based on yield limiting context.

여기에 추가로 기술하는 바와 같이, 체계적 결함에 대한 핫 스폿 기반 검사는 체계적 결함 및 그 체계적 결함에 대응하는 설계 콘텍스트를 포함하는 검사 결과를 생성할 수 있다. 이러한 방식에서, 설계 데이터 내의 마진 특징은 식별되고 SPC 애플리케이션을 위해 사용될 수 있다. 예컨대, SPC는 설계 데이터 내의 마진 특징의 위치를 감시함으로써 수행될 수 있으며, 이는 프로세스가 프로세스 한계로부터 드리프트 하는 경우 그 특징이 먼저 오류가 될 경향이 있기 때문이다. 따라서, SPC는 설계 내의 모든 특징 대신에 설계 내의 가장 중요한 특징을 포함하는 설계 내의 모든 특징의 서브세트를 감시함으로써 더 신속히 수행될 수 있고, 프로세스에서의 변화에 가장 민감한 설계 내의 특징이 SPC 동안에 감시되기 때문에 프로세스 내의 드리프트를 더욱 신속히 검출할 수 있다. 유사한 방식에서, 마진 특징 정보는 CD 측정 프로세스와 같은 계측 프로세스를 위한 레시피를 생성하는데 사용할 수 있다. CD 측정 프로세스는 당업계에 알려진 임의의 적합한 CD 측정 프로세스를 포함할 수 있다(예컨대, CDSEM, 스캐터로메트리(scatterometry) CD측정 등). CD 측정 프로세스에 대한 레시피를 생성하는 단계는 프로세스 동안에 CD 측정이 수행될 웨이퍼 상의 위치(예컨대, 마진 특징이 인쇄될)를 결정하는 단계를 포함할 수 있다. 또한, CD 측정이 수행될 웨이퍼 상의 위치에서 취득한 BF 이미지와 같은 웨이퍼의 검사 결과는 레시피에, 또는 측정을 위해 웨이퍼 상의 위치로 이동하는 계측 시스템에 의해 그 결과가 사용될 수 있도록 계측 시스템에 제공될 수 있다.As further described herein, hot spot based inspection for systematic defects may generate inspection results that include systematic defects and design contexts corresponding to the systematic defects. In this manner, margin features in the design data can be identified and used for SPC applications. For example, SPC can be performed by monitoring the position of margin features in the design data, because if the process drifts from process limits, the features tend to be error first. Thus, the SPC can be performed more quickly by monitoring a subset of all features in the design, including the most important features in the design instead of all the features in the design, and the features in the design that are most sensitive to changes in the process are monitored during the SPC. This allows for faster detection of drift in the process. In a similar manner, margin feature information can be used to generate a recipe for a metrology process, such as a CD measurement process. The CD measurement process can include any suitable CD measurement process known in the art (eg, CDSEM, scatterometry CD measurement, etc.). Generating a recipe for the CD measurement process may include determining a location on the wafer (eg, a margin feature to be printed) during which the CD measurement is to be performed. In addition, inspection results of a wafer, such as a BF image acquired at a location on the wafer where a CD measurement is to be performed, can be provided to the metrology system so that the results can be used in a recipe or by a metrology system moving to a location on the wafer for measurement. have.

하지만, 테스트 데이터의 부가와 함께, 체계적 결함에 대응하는 설계 부분은 반도체 제조 프로세스의 수율 확률과, 체계적 결함의 KP와 연관지어질 수 있다. 그러한 일 실시예에서, 검사 시스템 또는 여기에 기술한 임의의 다른 시스템은, 각각의 개별 다이가 산출하며, 어느 결함이 수율에 가장 영향을 미칠지의 확률과 같은 체계적 결함에 대한 수율 결과를 생성할 수 있다. 체계적 결함의 KP는 SPC 애플리케이션에 대하여 사용할 수 있다. 예컨대, 각각의 개별 다이가 산출하며, 어느 결함이 수율에 가장 영향을 미칠지의 확률은 SPC 모니터링 애플리케이션 및 리뷰 샘플링을 개선하는데 사용할 수 있다. 이러한 방식에서, SPC는 콘텍스트 기반 수율에 기초하여 수행할 수 있다. 또한, 개선된 SPC 감시 및 리뷰 샘플링은 근본 원인 분석 및 베이스라인 감소를 개선할 수 있다.However, with the addition of test data, the design portion corresponding to the systematic defect can be associated with the yield probability of the semiconductor manufacturing process and the KP of the systematic defect. In one such embodiment, an inspection system or any other system described herein can produce yield results for systematic defects, such as the probability that each individual die produces and which defects most affect yield. have. KP of systematic defects can be used for SPC applications. For example, each individual die calculates, and the probability of which defect most affects yield can be used to improve SPC monitoring applications and review sampling. In this manner, SPC may perform based on context based yield. In addition, improved SPC monitoring and review sampling can improve root cause analysis and baseline reduction.

추가의 실시예에서, 상기 방법은 시간에 걸쳐 결함의 그룹에 대한 KP 값을 감시하는 단계와, 그 검사의 결과에 기초하여 결함 그룹의 중요성을 결정하는 단계를 포함한다. 예컨대, 시간에 걸쳐, KP 값이 계속 갱신됨에 따라, 낮은 KP 값을 갖는 핫 스폿은 제거되거나, 조정적 핫 스폿, 위크 스폿, 또는 콜드 스폿에 대하여 다운드레이드될 수 있다. 이러한 방식에서, 식별된 잠재적 핫 스폿은 낮은 또는 제로 KP 값이 할당될 수 있다(즉, 콜드 스폿). 다른 실시예에서, 상기 방법은 설계 데이터와 연관된 전기적 오류 밀도에 기초하여 결함의 그룹에 대한 KP 값을 결정하는 단계를 포함한다. 이러한 방식에서, 전기적 오류 밀도 맵 상의 비교적 높은 오류 밀도 영역에 오버레이 하지 않는 것으로 결정된 핫 스폿은 KP에서 다운그레이드될 수 있고, 선택적으로는 핫 스폿 데이터베이스 및/또는 그것의 관련 검사 레시피로부터 제거될 수 있다.In a further embodiment, the method includes monitoring the KP value for a group of defects over time and determining the importance of the group of defects based on the results of the inspection. For example, over time, as the KP value continues to be updated, hot spots with low KP values may be removed or downgraded to adjustable hot spots, weak spots, or cold spots. In this way, the identified potential hot spots can be assigned low or zero KP values (ie, cold spots). In another embodiment, the method includes determining a KP value for a group of defects based on the electrical error density associated with the design data. In this manner, hot spots determined not to overlay on a relatively high error density area on the electrical error density map may be downgraded at KP and optionally removed from the hot spot database and / or its associated inspection recipe. .

일 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI에 대한 KP 값을 감시하는 단계와, 설계 데이터의 부분이 1 이상의 POI에 대응하는 1 이상의 그룹으로 비닝되는 결함의 위치에 가까운 경우, 1 이상의 POI에 대한 KP 값을 1 이상의 그룹에 할당하는 단계를 포함한다. 예컨대, 설계 데이터 내의 1 이상의 POI에 대한 KP 값을 감시하는 단계는, 시간에 걸쳐 1 이상의 POI에 대하여 결정된, 전기적 오류, 전기적 오류 밀도, 전기적 오류의 임의의 다른 속성, 또는 그것의 임의의 조합과, 시간에 걸쳐 1 이상의 POI에 대하여 취득한 검사 결과에 기초하여 수행할 수 있다. 전기적 오류, 전기적 오류 밀도 및 전기적 오류의 임의의 다른 속성은 당업계에 알려진 임의의 적합한 방법 또는 시스템을 사용하여 결정할 수 있다. 검사 결과는 여기에 기술하는 바와 같이 취득할 수 있다. KP 값의 검사가 본 실시예에서의 방법에 의해 수행될지라도, KP 값을 감시하는 단계는 다른 방법 또는 시스템에 의해 수행할 수 있으며, 전술한 할당 단계는 그 방법에 의해 수행할 수 있다. 또한, KP 값을 감시하는 단계는 비닝 단계를 수행하기 이전에 셋업 단계 동안에 수행할 수 있어서, 검사와 1 이상 그룹의 결함에 KP 값을 할당하는 단계 사이의 시간을 저감할 수 있다. 1 이상의 POI에 대한 KP 값을 1 이상의 그룹에 대하여 할당하는 단계는, 1 이상의 그룹으로 비닝된 적어도 일부 결함의 위치에 가까운 설계 데이터의 부분을 1 이상의 POI에 대응하는 설계 데이터의 부분에 비교하는 단계를 포함할 수 있다. 그룹 내의 적어도 일부 결함의 위치에 가까운 설계 데이터의 부분이, 비교 단계의 결과에 기초하여 결정할 수 있는 POI에 대응하는 설계 데이터의 부분에 적어도 유사한 경우, POI에 대응하는 KP 값은 그룹 내의 결함(예컨대, 모든 결함)에 할당될 수 있다.In one embodiment, the method includes monitoring KP values for one or more POIs in the design data, and if the portion of the design data is close to the location of a defect binned into one or more groups corresponding to the one or more POIs, Assigning a KP value for the POI to at least one group. For example, monitoring the KP value for one or more POIs in the design data may include electrical error, electrical error density, any other property of electrical error, or any combination thereof determined for one or more POIs over time. , Based on the test results obtained for one or more POIs over time. Electrical errors, electrical error densities and any other properties of electrical errors can be determined using any suitable method or system known in the art. The test result can be acquired as described here. Although the checking of the KP value is performed by the method in this embodiment, the step of monitoring the KP value may be performed by another method or system, and the above-mentioned assignment step may be performed by the method. In addition, monitoring the KP value may be performed during the setup phase prior to performing the binning step, thereby reducing the time between inspection and assigning the KP value to one or more groups of defects. Assigning a KP value for one or more POIs to one or more groups comprises comparing a portion of design data close to the location of at least some defects binned into one or more groups to a portion of design data corresponding to one or more POIs. It may include. If the portion of the design data close to the location of at least some defects in the group is at least similar to the portion of the design data corresponding to the POI that can be determined based on the result of the comparing step, then the KP value corresponding to the POI is equal to the defect in the group (e.g., , All defects).

여기에 기술한 방법은, 핫 스폿에 민감한(예컨대, 핫 스폿에 대하여 높은 신호와 낮은 노이즈를 갖는) 1 이상의 진단 또는 수리 프로세스에 대한 정보를 생성하는 단계를 포함할 수 있다. 그 정보는 핫 스폿에 대한 1 이상의 진단 또는 수리 프로세스를 자동화하거나 최적화하는데 사용될 수 있다. 1 이상의 프로세스가 핫 스폿 입증 및 분석, 새로운 학습의 포착, 비-주의 영역 및 뉴슨스 결함 필터링 최적화, 보고, 설계와 프로세스 마진 사이의 구별화를 위해 사용될 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 검사와, 레티클 검사와, 광학적 검사와, 매크로-결함 검사와, 전자 빔 검사와, 광학적 결함 리뷰와, SEM 결함 리뷰와, 엘립소메트리(ellipsometry) 및 CDSEM과 같은 계측 프로세스와, 결함 분석 프로세스와, FIB 및 다른 FA 프로세스와, 결함 수리 프로세스와 같은 진단 및 수리 프로세스를 위한 레시피를 생성하는데 사용할 수 있다.The method described herein may include generating information about one or more diagnostic or repair processes that are sensitive to a hot spot (eg, having a high signal and low noise for the hot spot). The information can be used to automate or optimize one or more diagnostic or repair processes for hot spots. One or more processes can be used for hot spot verification and analysis, capturing new learning, optimizing non-cautionary areas and Newsons defect filtering, reporting, and differentiating between design and process margins. In this way, the method includes wafer inspection, reticle inspection, optical inspection, macro-defect inspection, electron beam inspection, optical defect review, SEM defect review, ellipsometry and CDSEM. It can be used to generate recipes for metrology processes, defect analysis processes, FIB and other FA processes, and diagnostic and repair processes such as defect repair processes.

일부 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI를 우선순위화하는 단계와, 그 우선순위화 단계에 기초하여 설계 데이터가 인쇄될 웨이퍼에 대하여 수행되는 1 이상의 프로세스를 최적화하는 단계를 포함한다. 1 이상의 POI를 우선순위화하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 본 실시예에서의 1 이상의 프로세스를 최적화하는 단계는 초점, 조사량, 노광 툴, 레지시트, 포스트 노광 베이크(PEB; post expose bake) 시간, PEB 온도, 에치 시간, 에치 가스 조성, 에치 툴, 퇴적 툴, 퇴적 시간 등과 같은 1 이상의 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 바람직하게는, 프로세스의 매개변수는 POI의 결함(예컨대, POI 내에서 검출된 결함의 수)를 감소시키기 위해, POI 내에서 검출된 결함의 1 이상의 속성(예컨대, DCI, KP 등)를 변경하기 위해, 및/또는 POI가 포함되는 장치의 수율을 증가시키기 위해 변경된다.In some embodiments, the method includes prioritizing one or more POIs in the design data and optimizing one or more processes performed on the wafer to which the design data is to be printed based on the prioritizing step. . Prioritizing one or more POIs may be performed as described herein. Optimizing one or more processes in this embodiment may include focusing, dosage, exposure tool, resist, post expose bake time, PEB temperature, etch time, etch gas composition, etch tool, deposition tool. Changing one or more parameters of one or more processes, such as deposition time, and the like. Preferably, the parameters of the process change the one or more attributes of the defects detected in the POI (eg, DCI, KP, etc.) to reduce the defects of the POI (eg, the number of defects detected within the POI). And / or to increase the yield of the device in which the POI is included.

또한, 1 이상의 프로세스의 1 이상의 매개변수는 우선순위화 단계에 의해 결정된 것과 같은 가장 높은 우선 순위를 갖는 POI 또는 우선순위화 단계에서 결정된 것과 같은 비교적 높은 우선 순위를 갖는 POI에 대해서만 최적화될 수 있다. 이러한 방식에서, 1 이상의 프로세스의 1 이상의 매개변수는 가장 큰 결함 및/또는 수율에 대한 영향이 가장 큰 결함을 보이는 POI에 기초하여 변경 및/또는 최적화될 수 있다. 그와 같이, 우선순위화 단계의 결과는 가장 큰 수율 개선을 나타내기 위해서 1 이상의 프로세스의 1 이상의 매개변수를 변경 및/또는 최적화하는데 어느 POI가 사용되어야 하는지를 지시한다.In addition, one or more parameters of one or more processes may be optimized only for POIs having the highest priority as determined by the prioritization step or POIs having a relatively high priority as determined at the prioritization step. In this manner, one or more parameters of one or more processes may be altered and / or optimized based on the POI showing the largest defect and / or the defect with the greatest impact on yield. As such, the result of the prioritization step indicates which POI should be used to change and / or optimize one or more parameters of one or more processes to indicate the greatest yield improvement.

따라서, 어느 POI가 수율에 대하여 가장 큰 영향을 갖는지에 관한 안내 없이, 수율 및 안정도에 대하여 프로세스를 최적화하는 이로운 기회가 시기적절하게 식별되거나 이루어지지 않을 수 있어서, 시장 출하시간을 증가시키고, 프로세스 최적화를 저감하는, 이전에 사용된 방법 및 시스템보다 본 실시예가 유리하다.Thus, without guidance as to which POI has the greatest impact on yield, beneficial opportunities for optimizing the process for yield and stability may not be identified or made in a timely manner, increasing time to market and optimizing the process. This embodiment is advantageous over previously used methods and systems, which reduce the

또한, 이러한 단계에서 변경 및/또는 최적화된 프로세스가 여기에 기술한 실시예에서 비닝된 결함의 검출 이전에 웨이퍼 상에 설계 데이터 내의 POI를 인쇄하는데 사용되는 프로세스만을 포함할지라도, 변경 및/또는 최적화된 1 이상의 프로세스는 POI를 포함하는 다른 설계 데이터를 인쇄하는데 사용되는 임의의 프로세스를 포함할 수 있다. 예컨대, 1 이상의 설계 데이터가 우선순위화 및/또는 여기에 기술한 방법의 임의의 다른 결과에 기초하여 POI를 포함하는 경우, 1 이상의 설계를 인쇄하는데 사용된 1 이상의 프로세스가 변경 및 최적화될 수 있어서, 각각의 다른 설계로 제조된 장치의 수율을 증가시킨다.In addition, even if the modified and / or optimized process at this stage includes only the process used to print the POI in the design data on the wafer prior to the detection of binned defects in the embodiments described herein, the modified and / or optimized The one or more processes that are included may include any process used to print other design data including the POI. For example, if one or more design data includes a POI based on prioritization and / or any other result of the methods described herein, one or more processes used to print one or more designs may be modified and optimized so that Increasing the yield of devices manufactured with each different design.

다른 실시예에서, 상기 방법은 비닝 단계의 결과 및/또는 여기에 기술한 임의의 방법의 임의의 다른 단계의 임의의 다른 결과에 기초하여 웨이퍼 상에 수행되거나 웨이퍼 상에 수행될 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 그 프로세스는 CMP, 퇴적(전기-화학적 퇴적, 원자층 퇴적, 회학적 기상 퇴적, 물리적 기상 퇴적), 리소그라피, 에치, 이온 주입, 및 세정과 같이 당업계에서 알려진 임의의 프로세스를 포함할 수 있다. 1 이상의 매개변수는, 1 이상의 그룹으로 비닝된 결함이 웨이퍼의 후속 처리 후 웨이퍼에 대하여 저감하거나, 다른 웨이퍼의 처리 후 다른 웨이퍼에 대하여 저감할 수 있도록, 비닝의 결과에 기초하여 변경될 수 있다.In other embodiments, the method may be performed on or based on the results of the binning step and / or any other result of any other step of any of the methods described herein. Changing the variable. The process may include any process known in the art, such as CMP, deposition (electro-chemical deposition, atomic layer deposition, chemical vapor deposition, physical vapor deposition), lithography, etch, ion implantation, and cleaning. One or more parameters may be changed based on the results of binning so that defects binned into one or more groups can be reduced for a wafer after subsequent processing of the wafer, or for another wafer after processing of another wafer.

예컨대, 검사 이전에 웨이퍼에 대하여 에치 프로세스가 수행되는 경우, 에치 프로세스의 1 이상의 매개변수는, 바람직하게는 변경된 매개변수에 의한 에치 프로세스에서 처리된 다른 웨이퍼가, 1 이상의 그룹에서의 더 적은 수의 결함, 비교적 높은 DCI를 갖는 더 적은 수의 결함, 비교적 높은 KP 값을 갖는 더 적은 수의 결함 등, 또는 그것의 일부 조합을 나타내도록 피드백 제어 기술을 사용하여 변경될 수 있다. 매개변수의 그러한 변경은 결함 그룹의 우선순위화 또는 DCI 및 KP 값과 같이 여기에 기술한 다른 정보에 기초하여 수행할 수 있다. 이러한 방식에서, 프로세스는 수율에 대한 영향이 가장 큰 결함의 그룹에 기초하여 변경될 수 있다.For example, if an etch process is performed on a wafer prior to inspection, the at least one parameter of the etch process is preferably a lower number of other wafers in the at least one group than the other wafers processed in the etch process with the changed parameters. It can be altered using feedback control techniques to indicate defects, fewer defects with relatively high DCI, fewer defects with relatively high KP values, or some combination thereof. Such changes of parameters may be made based on prioritization of defect groups or other information described herein, such as DCI and KP values. In this way, the process can be changed based on the group of defects that have the greatest impact on yield.

다른 예에서, 검사 이전에 웨이퍼에 대하여 에치 프로세스가 수행되는 경우, 웨이퍼에 대해 수행될 포스트-에치 프로세스의 1 이상의 매개변수는, 바람직하게는 변경된 매개변수로 웨이퍼에 대하여 포스트-에치 프로세스가 수행된 후, 그 웨이퍼가 1 이상의 그룹에서의 더 적은 수의 결함, 비교적 높은 DCI를 갖는 더 적은 수의 결함, 비교적 높은 KP 값을 갖는 더 적은 수의 결함 등, 또는 그것의 일부 조합을 나타내도록 피드 포워드 제어 기술을 사용하여 변경될 수 있다. 포스트-에치 프로세스 또는 다른 프로세스의 매개변수는 전술한 바와 같이 변경될 수 있다.In another example, if an etch process is performed on the wafer prior to inspection, the one or more parameters of the post-etch process to be performed on the wafer are preferably performed by the post-etch process on the wafer with the changed parameters. Thereafter, the wafer feeds forward to show fewer defects in one or more groups, fewer defects with relatively high DCI, fewer defects with relatively high KP values, or some combination thereof. Can be changed using control techniques. The parameters of the post-etch process or other process can be changed as described above.

전술한 바와 같이 프로세스의 1 이상의 매개변수를 변경하는 단계는, 1 이상의 매개변수가 어떻게 변경되어야 하는지를 결정하는 단계와, 프로세스를 수행하는데 사용될 레시피 내의 1 이상의 매개변수의 값을 변경하는 단계를 포함할 수 있다. 그러한 변경은 여기에 기술한 방법 및 시스템에 의해, 예컨대, 팹 데이터베이스 내 또는 프로세스를 수행할 프로세스 툴에 결합된 저장 매체 내의 레시피에 접속하고, 그 레시피에 대하여 직접적으로 변경함으로써 수행될 수 있다.As described above, changing one or more parameters of the process includes determining how one or more parameters should be changed, and changing the value of one or more parameters in the recipe to be used to perform the process. Can be. Such changes can be performed by methods and systems described herein, for example, by accessing recipes in a fab database or in a storage medium coupled to a process tool that will perform the process and making direct changes to the recipe.

대안적으로, 전술한 바와 같이 프로세스의 1 이상의 매개변수를 변경하는 단계는 1 이상의 매개변수가 어떻게 변경되어야 하는지를 결정하는 단계와, 프로세스를 수행하는데 사용될 레시피 내의 1 이상의 매개변수의 값을 변경하는데 사용될 수 있는 다른 방법 또는 시스템(예컨대, 프로세스를 수행할 프로세스 툴에 결합된 팹 데이터베이스 또는 프로세서)에 1 이상의 매개변수 값을 전송하는 단계를 포함할 수 있다. 프로세스가 다른 방법 또는 시스템에 의해 변경될 수 있도록, 변경될 1 이상의 매개변수 값은 레시피 엔티티, 프로세스 툴 아이덴티티, 1 이상의 매개변수를 변경하기 위한 명령어 등과 같은 다른 정보와 함께 전송될 수 있다.Alternatively, changing one or more parameters of the process as described above may be used to determine how one or more parameters should be changed and to change the value of one or more parameters in the recipe to be used to perform the process. And transmitting one or more parameter values to another method or system (eg, a fab database or processor coupled to a process tool to perform the process). One or more parameter values to be changed may be transmitted along with other information such as recipe entities, process tool identities, instructions to change one or more parameters, and so on, so that the process may be changed by other methods or systems.

일 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼를 검사용 프로세스를 변경하는 단계를 포함한다. 웨이퍼 검사용 프로세스는 여기에 기술한 임의의 비닝 결과에 기초하여 변경할 수 있다. 또한, 웨이퍼를 검사용 프로세스의 임의의 매개변수가 본 실시예에서 변경될 수 있다. 예컨대, 비닝 단계의 결과에 기초하여 변경될 수 있는 웨이퍼 검사용 프로세스의 1 이상의 매개변수는 주의 영역(또는 대안적으로 비 주의 영역), 감지도, 인-라인 비닝 프로세스, 검사 영역, 어느 웨이퍼가 검사되는지, 또는 그것의 일부 조합을 비한정적으로 포함할 수 있다. 일 특정 예에서, 비닝의 결과는 1 이상의 그룹 내에 포함되는 결함의 수를 포함할 수 있고, 주의 영역은 비교적 많은 수의 결함을 포함하는 그룹 내의 결함의 설계 데이터 스페이스 내의 위치에 대응하는 웨이퍼 상의 위치를 포함하도록 변경될 수 있다. 다른 예에서, 웨이퍼 검사용 프로세스는 비닝 단계의 결과에 기초하여 더 많이 또는 다르게 검사하도록 변경될 수 있다. 웨이퍼 검사용 프로세스는 여기에 기술한 방법의 임의의 단계의 임의의 결과에 기초하여 변경할 수 있다.In one embodiment, the method includes changing a process for inspecting a wafer based on the results of the binning step. The process for wafer inspection can be altered based on any binning results described herein. Also, any parameter of the process for inspecting the wafer can be changed in this embodiment. For example, one or more parameters of the wafer inspection process, which may be changed based on the results of the binning step, may include attention areas (or alternatively non-attention areas), sensitivity, in-line binning process, inspection areas, May be examined, or some combination thereof without limitation. In one particular example, the result of binning may include the number of defects included in one or more groups, and the area of interest corresponds to a location on the wafer that corresponds to a location in the design data space of the defect in the group that includes a relatively large number of defects. It may be changed to include. In another example, the wafer inspection process can be modified to inspect more or differently based on the results of the binning step. The process for wafer inspection can be modified based on any result of any step of the method described herein.

여기에 기술하는 바와 같이, 결함은 검사 프로세스에 의해 검출될 수 있다. 일 실시예에서, 그 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 그 리뷰 단계의 결과에 기초하여 1 이상의 POI의 위치에서 결함이 검사되었는지를 판정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다. 본 실시예에서의 위치 리뷰 단계는 당업계에 알려진 임의의 방법 또는 시스템을 사용하여 수행할 수 있다. 이러한 방식에서, 웨이퍼 상의 위치를 리뷰하는 단계는 POI의 위치에서 결함이 검출되었는지를 판정하기 위해 POI의 위치에서 수행될 수 있다. 그러한 일 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI의 위치를 식별하기 위한 임의의 패턴 검색 단계와, 설계 데이터 내의 1 이상의 POI의 위치로부터 1 이상의 POI의 위치를 결정하는 단계를 포함할 수 있다. 이러한 방식으로 POI의 위치를 결정하는 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있다.As described herein, defects can be detected by an inspection process. In one embodiment, the method includes the steps of reviewing a location on a wafer on which one or more POIs in the design data are printed, determining whether a defect has been inspected at the location of one or more POIs based on the results of the reviewing step; Modifying the inspection process to improve one or more defect capture rates. The location review step in this embodiment can be performed using any method or system known in the art. In this manner, reviewing the location on the wafer may be performed at the location of the POI to determine whether a defect was detected at the location of the POI. In one such embodiment, the method may include any pattern retrieval step for identifying a location of one or more POIs in the design data and determining the location of the one or more POIs from the location of the one or more POIs in the design data. have. Determining the location of the POI in this manner may be performed as further described herein.

또한, 일부 실시예에서, 상기 방법은 리뷰를 보조하기 위해 리뷰 단계 동안 히트(hit)가 있는 POI 및 히트가 없는 POI의 위치를 표시하는 단계를 포함할 수 있다. 그와 같이, 리뷰의 결과는 결함이 발생하였지만 검사 시스템에 의해 포착되지 않은 곳을 판정하는데 사용될 수 있다. POI는 검사 프로세스의 변경 또는 최적화를 수행할 곳을 알기 위해 소실된 결함(또는 포착되지 않은 결함)을 찾기 위해 리뷰될 수 있다.Further, in some embodiments, the method may include indicating the location of the POI with a hit and the POI without the hit during the review step. As such, the results of the review can be used to determine where a defect has occurred but has not been captured by the inspection system. The POI can be reviewed to find missing defects (or uncaptured defects) to know where to perform changes or optimizations of the inspection process.

리뷰 결과에 부가하여 그러한 정보에 기초하여(예컨대, 결함의 1 이상의 속성, 설계 데이터의 1 이상의 속성 등), 바람직하게는 후속 검사에서보다 더 높은 비율로 POI의 위치에서 포착되도록, 광학 모드, 수집 각도, 입사 각도 등과 같은 검사 프로세스의 1 이상의 매개변수가 변경될 수 있다. 이러한 방식에서, 상기 방법은 POI 내의 결함 포착률의 분석에 기초한 셋업 조정 단계를 포함할 수 있다. 변경되는 검사 프로세스의 1 이상의 매개변수는 룰 데이터베이스를 사용하는 것과 같은 임의의 적합한 방식으로 결정할 수 있다. 본 실시예에서 향상될 수 있는 1 이상의 결함 포착률은 1 이상의 POI 내의 1 이상의 결함 유형에 대한 결함 포착률을 포함한다. 유사한 방식에서, 1 이상의 결함 포착률을 향상시키기 위한 전술한 실시예는 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 대신에 설계 내의 1 이상의 핫 스폿의 위치에 대응하는 웨이퍼 상의 위치를 리뷰함으로써 수행할 수행될 수 있다.Based on such information in addition to the review results (e.g., one or more properties of the defect, one or more properties of the design data, etc.), optical mode, collection, preferably to be captured at the location of the POI at a higher rate than in subsequent inspections. One or more parameters of the inspection process may be changed, such as angle, angle of incidence, and the like. In this manner, the method may include a setup adjustment step based on the analysis of the defect capture rate in the POI. One or more parameters of the inspection process to be changed can be determined in any suitable manner, such as using a rules database. One or more defect capture rates that can be improved in this embodiment include defect capture rates for one or more defect types in one or more POIs. In a similar manner, the foregoing embodiments for improving one or more defect capture rates can be performed by reviewing locations on the wafer that correspond to the locations of one or more hot spots in the design instead of reviewing the locations on the wafer where one or more POIs are printed. Can be performed.

또한, 전술한 방법은 1 이상의 POI에 대하여 수행되며, POI는 여기에 추가로 기술하는 바와 같이 우선순위화될 수 있고, 검사 프로세스는 가장 높은 우선순위 또는 높은 우선순위를 갖는 POI에 대한 결함 포착률을 향상하도록 변경될 수 있다. 이러한 방식에서, 검사 프로세스는 가장 높은 우선순위의 POI 또는 높은 우선순위의 POI에 대해 최적화될 수 있다(그러한 최적화가 낮은 우선순위 POI에 대한 검사 프로세스의 최적화의 결과를 또한 낳을 수 있을지라도).In addition, the method described above is performed for one or more POIs, the POIs may be prioritized as further described herein, and the inspection process may determine the rate of defect capture for the POIs having the highest priority or the highest priority. Can be changed to improve. In this manner, the inspection process may be optimized for the highest priority POI or the high priority POI (although such optimization may also result in the optimization of the inspection process for low priority POIs).

다른 실시예에서, 상기 방법은 검사 결과에 기초하여 검사 동안에 웨이퍼의 검사용 프로세스를 변경하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 인-시투 프로세스 제어 기술을 사용하여 검사 프로세스를 변경하는 단계를 포함할 수 있다. 검사 프로세스를 변경하기 위해 사용되는 검사 결과는 여기에 기술한 임의의 결과를 포함할 수 있다. 또한, 본 실시예에서의 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다.In another embodiment, the method includes changing a process for inspecting a wafer during inspection based on inspection results. In this manner, the method may include modifying the inspection process using in-situ process control techniques. The test results used to modify the test process can include any of the results described herein. In addition, changing the inspection process in the present embodiment may include changing any one or more parameters of the inspection process.

위에서 추가로 기술한 바와 같이, 상기 방법은 검사 레시피를 최적화하는 단계를 포함할 수 있다. 최적화되는 검사 레시피는 인라인 검사 레시피 및/또는 전기 검사 레시피를 포함할 수 있다. 일 실시예에서, 상기 방법은 핫 스폿 정보에 기초하여 웨이퍼 검사용 프로세스를 변경하는 단계를 포함할 수 있다. 다른 실시예에서, 상기 방법은 핫 스폿 정보 및 설계 데이터에 기초하여 웨이퍼 검사용 프로세스를 생성하는 단계를 포함한다. 또한, 상기 방법은 핫 스폿 정보 및/또는 예측된 POI에 기초하여 웨이퍼 검사용 프로세스를 변경 또는 생성하는 단계를 포함할 수 있다. 예컨대, 검사 레시피는, 핫 스폿 및 POI의 위치만이 검사되고 및/또는 체계적인 뉴슨스 결함의 위치는 검사되지 않거나, 그러한 위치에서 취득한 데이터는 달리 억제되도록 구성될 수 있다. 다른 예에서, 전술한 바와 같이, 여기에 기술한 방법 실시예는 설계 내의 핫 스폿을 식별하는 단계(예컨대, 체계적 결함에 기초하여)를 포함할 수 있다. 이러한 방식에서, 상기 방법 실시예는 핫 스폿의 소스일 수 있고, 설계 내의 핫 스폿의 위치는 피드포워드 제어 기술을 사용하여 검사 프로세스를 변경하는데 사용될 수 있다.As further described above, the method may include optimizing the inspection recipe. Inspection recipes that are optimized may include inline inspection recipes and / or electrical inspection recipes. In one embodiment, the method may include changing the process for wafer inspection based on hot spot information. In another embodiment, the method includes creating a process for wafer inspection based on hot spot information and design data. The method may also include modifying or creating a process for wafer inspection based on hot spot information and / or predicted POI. For example, the inspection recipe may be configured such that only the locations of the hot spots and POIs are inspected and / or the locations of systematic nucleus defects are not inspected, or data obtained at such locations is otherwise suppressed. In another example, as described above, the method embodiments described herein can include identifying hot spots in the design (eg, based on systematic defects). In this manner, the method embodiment can be a source of hot spots, and the location of the hot spots in the design can be used to change the inspection process using feedforward control techniques.

상기 방법은 임의의 다른 가용 정보에 기초하여 웨이퍼 검사용 프로세스를 변경하는 단계를 포함할 수 있다. 그러한 일 예에서, 상기 방법은 설계 데이터, 검사 결과, 및 1 이상의 비트맵에 부가하여 핫 스폿 정보에 기초하여 검사 레시피를 변경하는 단계를 포함할 수 있다. 이러한 방식에서, 상기 방법에 가용적인 임의의 정보는 수율에 영향을 미치지 않은 결함을 검출하기 검사 레시피의 감지도를 저하시키면서, 수율에 영향을 미칠 수 있는 결함을 검출하기 위하여 검사 레시피의 감지도를 최적화할 수 있다. 검사 레시피의 생성 및 최적화는 여기에 추가로 기술하는 바와 같이 수행할 수 있다(예컨대, DOI에 대한 검사가능성에 기초하여).The method may include changing the process for wafer inspection based on any other available information. In such an example, the method may include modifying the inspection recipe based on the hot spot information in addition to the design data, the inspection result, and the one or more bitmaps. In this way, any information available to the method may detect the defects that do not affect the yield, while reducing the sensitivity of the inspection recipe, while detecting the defects that may affect the yield. Can be optimized Generation and optimization of test recipes can be performed as described further herein (eg, based on testability for DOI).

일부 실시예에서, 상기 방법은 설계 데이터에 기초하여 웨이퍼 상의 결함을 검출하기 위한 감지도를 결정하는 단계를 포함할 수 있다. 그러한 일부 실시예에서, 설계 데이터의 적어도 두 부분에 대응하는 웨이퍼 상의 상이한 적어도 두 부분에서 상이하다. 또한, 상기 방법은 웨이퍼 상의 "주의 영역"(또는, "검사할 영역")을 식별하는 단계를 포함할 수 있다. 검사 결과는 비 주의 영역에서 취득되지 않을 수 있으며, 결함 검출은 비 주의 영역에서 취득된 검사 결과에 대해서는 수행하지 않을 수 있다. 하지만, 비닝과 같은 검사 결과의 부가적인 처리가 수행되기 이전에, 데이터 취득 및 결함 검출이 비 주의 영역에서 수행되는 경우, 상기 방법은 검출된 결함이 주의 영역 또는 비 주의 영역에 존재하는지를 판정하는 단계를 포함할 수 있다. 결함이 비 주의 영역에 위치하는 경우, 그 결함에 대해서는 추가적인 처리가 수행되지 않을 수 있다. 이러한 방식에서, 패턴 기반 비닝은 비닝 처리의 처리량을 최적화하기 위해 설계 데이터 내의 민감 영역으로 제한될 수 있다. 다른 실시예에서, 결함이 공통 설계 데이터(예컨대, 패턴 그룹화 또는 다른 콘텍스트 데이터)에 의해 그룹화된 후, 그 그룹화 정보는 향상된 카운트, 비닝, 감시, 분석, 샘플링, 리뷰, 테스트 등을 위해 여기에 추가로 기술하는 바와 같이 사용될 수 있다.In some embodiments, the method may include determining a sensitivity for detecting a defect on a wafer based on design data. In some such embodiments, they are different in at least two different portions on the wafer corresponding to at least two portions of the design data. The method may also include identifying a "region of interest" (or "region to inspect") on the wafer. The inspection result may not be acquired in the non-attention area, and defect detection may not be performed for the inspection result acquired in the non-attention area. However, if data acquisition and defect detection are performed in the non-attention area before additional processing of inspection results such as binning is performed, the method determines whether the detected defect is present in the attention area or the non-attention area. It may include. If the defect is located in the non-caution area, no further processing may be performed on the defect. In this manner, pattern based binning can be limited to sensitive areas in the design data to optimize the throughput of the binning process. In other embodiments, after the defects are grouped by common design data (eg, pattern grouping or other context data), the grouping information is added here for improved counting, binning, monitoring, analysis, sampling, review, testing, etc. Can be used as described.

본 방법 실시예는 핫 스폿 정보를 활용하거나 활용하지 않을 수 있다. 예컨대, 설계 데이터에 관한 지식에 기초하여, 상기 방법은 수율에 더욱 주요하거나 및/또는 수율-저하 결함에 더욱 민감한 설계 데이터의 부분을 식별하는 단계를 포함할 수 있다. 이러한 방식에서, 설계 데이터의 그 부분에서의 결함을 검출하기 위한 감지도는 설계 데이터의 다른 부분에서의 결함을 검출하기 위한 감지도보다 더 높을 수 있다. 그와 같이, 검사 데이터 취득 동안에, 상기 방법은 검사 데이터를 설계 데이터에 정렬하는 단계를 포함할 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 검사 프로세스의 감지도는 설계 데이터 스페이스 내의 검사 데이터의 위치에 기초하여 변경할 수 있다. 그러한 실시예에서, 검사 프로세스의 감지도는 실시간으로 변경할 수 있다. 설계 기반 검사 또는 측정 레시피의 추가의 예는 미국 특허 6,886,153호(Bevis)와, Hamamatsu 등에 의해 미국 특허 출원 공개 번호 US2003/0022401호로 공개된 미국 특허 출원 일련번호 10/082,593호(2002년 2월 22일 출원)에 개시되며, 이들은 여기에 완전히 언급한 것과 같이 참고자료로 포함된다. 여기에 기술한 방법은 상기 특허 및 특허출원에 개시된 임의의 단계를 포함할 수 있다.The method embodiment may or may not utilize hot spot information. For example, based on knowledge of design data, the method may include identifying a portion of design data that is more critical to yield and / or more sensitive to yield-lowering defects. In this manner, the sensitivity for detecting a defect in that portion of the design data may be higher than the sensitivity for detecting a defect in another portion of the design data. As such, during inspection data acquisition, the method may include aligning the inspection data with the design data, which may be performed as described further herein. The sensitivity of the inspection process can change based on the location of the inspection data in the design data space. In such embodiments, the sensitivity of the inspection process may change in real time. Further examples of design-based inspection or measurement recipes are disclosed in U.S. Patent 6,886,153 (Bevis) and U.S. Patent Application Serial No. 10 / 082,593 published by Hamamatsu et al. In US Patent Application Publication No. US2003 / 0022401 (February 22, 2002). Applications, which are incorporated by reference as if fully set forth herein. The method described herein may comprise any of the steps disclosed in the patents and patent applications.

일 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 적어도 일부의 결함을 선택하는 단계를 포함한다. 예컨대, 비닝 단계의 결과는 여기에 기술한 바와 같이 어느 결함이 가장 중요한지를 결정하는데 사용될 수 있고(예컨대, 결함에 대한 DCI를 결정하여), 대부분의 중요한 결함은 리뷰를 위해 선택될 수 있다. 다른 예에서, 비닝 결과는 여기에 추가로 기술하는 바와 같이 어느 결함이 체계적 결함인지를 결정하기 위해 사용할 수 있다. 이러한 방식에서, 상기 방법은 DOI가 발생할 경향이 있는 설계 데이터의 부분으로부터의 리뷰 샘플링을 포함할 수 있다. 또한, 어느 결함이 체계적인지에 대한 정보뿐만 아니라, 체계적 결함이 SEM과 같은 리뷰 시스템에 가시적인지 및/또는 체계적 결함이 수율 관련적인지에 관한 정보는 리뷰를 위한 적어도 일부의 결함을 선택하는데 사용될 수 있다(예컨대, SEM에 가시적인 결함만이 리뷰를 위해 선택됨). 리뷰 동안에 결함의 재-위치화가 비교적 어렵고, 특히 리뷰 시스템이 그 리뷰 시스템에 실제 비가시적인 결함을 찾는데 많은 시간을 소비하는 경우 비교적 시간 소모적일 수 있기 때문에, 그러한 방식에서의 결함 선택이 특히 이롭다. 리뷰를 위한 결함 선택 결과는 웨이퍼 상의 선택 결함의 위치와, 여기에 기술한 방법의 임의의 단계의 다른 결과를 포함할 수 있다.In one embodiment, the method includes selecting at least some defects for review based on the results of the binning step. For example, the results of the binning step can be used to determine which defects are most important as described herein (eg, by determining the DCI for a defect), and most significant defects can be selected for review. In another example, the binning results can be used to determine which defects are systematic defects, as further described herein. In this manner, the method may include review sampling from the portion of design data for which DOI tends to occur. In addition, information about which defects are systematic, as well as whether systematic defects are visible to a review system such as SEM, and / or whether systematic defects are yield-related can be used to select at least some defects for review. (Eg, only defects visible in the SEM are selected for review). Defect selection in this manner is particularly advantageous because re-location of the defects during the review is relatively difficult and can be relatively time consuming, especially if the review system spends a lot of time looking for actual invisible defects in the review system. The defect selection result for review may include the location of the selection defect on the wafer and other results of any step of the method described herein.

다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 결함을 샘플링하는 프로세스를 생성하는 단계를 포함한다. 따라서, 리뷰를 위한 결함의 선택 대신에 또는 그것에 부가하여, 상기 방법은 리뷰를 위한 결함을 샘플링하기 위하여 사용될 수 있는(예컨대, 그 방법, 다른 방법, 그 방법을 수행하도록 구성된 시스템, 또는 다른 시스템에 의해) 프로세스를 생성하는 단계를 포함할 수 있다. 그러한 프로세스는 리뷰를 위해 복수의 웨이퍼 상에서 검출된 결함의 샘플링 및/또는 복수의 리뷰 시스템에 의해 수행되는 리뷰를 위한 결함의 샘플링에 사용할 수 있다. 샘플링을 위한 프로세스는 비교적 큰 수의 결함을 포함하는 비닝된 결함 그룹에 대응하는 설계 데이터의 부분 내에서 검출된 결함이 비교적 작은 수의 결함을 포함하는 비닝된 결함의 그룹에 대응하는 설계 데이터의 부분 내에서 검출된 결함보다 더 중점적으로 샘플링될 수 있도록, 비닝 단계의 결과에 기초하여 생성될 수 있다. 리뷰를 위한 결함을 샘플링을 위한 프로세스는 결함에 대한 DCI, 결함에 대한 KP 값 등과 같이 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과와 조합하여 비닝 단계의 결과에 기초하여 생성할 수 있다.In another embodiment, the method includes generating a process of sampling a defect for review based on the results of the binning step. Thus, instead of or in addition to the selection of a defect for review, the method may be used to sample a defect for review (eg, the method, another method, a system configured to perform the method, or another system). By) creating the process. Such a process may be used for sampling of defects detected on a plurality of wafers for review and / or sampling of defects for review performed by the plurality of review systems. The process for sampling includes a portion of the design data in which the detected defects in the portion of the design data corresponding to the binned defect group containing the relatively large number of defects correspond to the group of binned defects containing the relatively small number of defects. It can be generated based on the results of the binning step so that it can be sampled more intensively than the defects detected therein. The process for sampling the defect for review may be generated based on the result of the binning step in combination with any other result of any step of any method described herein, such as the DCI for the defect, the KP value for the defect, and the like. Can be.

다른 실시예에서, 상기 방법은 핫 스폿 정보에 기초하여 리뷰를 위한 결함을 선택하는 프로세스를 생성하는 단계를 포함한다. 리뷰를 위한 결함을 선택하는 프로세스는 핫 스폿 정보뿐만 아니라, 그 방법에 가용적인 임의의 다른 정보에 기초하여 생성될 수 있다. 예컨대, 리뷰를 위한 결함을 선택하는 프로세스는 설계 데이터, 결함의 1 이상의 속성, 1 이상의 비트맵, 및 핫 스폿 정보에 기초하여 생성될 수 있다. 바람직하게는, 리뷰를 위한 결함을 선택하는 프로세스는 핫 스폿에서 검출된 결함 또는 체계적 결함과 같은 특정 유형의 결함이 리뷰를 위해 선택되고, 콜드 스폿에서 검출된 결함 및 뉴슨스 결함은 리뷰를 위해 선택되지 않도록 생성된다. 이러한 방식에서, 여기에 기술한 상기 방법은, 수율에 영향을 미치지 않을 결함을 리뷰 샘플로부터 대부분 배제하여 리뷰 프로세스의 처리량을 증가시키면서, 수율에 영향을 미칠 수 있는 결함을 다수 포함하는 결함 샘플을 생성할 수 있다.In another embodiment, the method includes creating a process for selecting a defect for review based on hot spot information. The process of selecting defects for review can be generated based on hot spot information as well as any other information available for the method. For example, the process of selecting a defect for review may be generated based on design data, one or more attributes of the defect, one or more bitmaps, and hot spot information. Preferably, the process of selecting defects for review selects certain types of defects, such as defects detected in hot spots or systematic defects, for defects and coldson detected defects in cold spots for review. Is not created. In this manner, the method described herein produces a defect sample that includes a large number of defects that can affect the yield while increasing the throughput of the review process by excluding most defects from the review sample that will not affect yield. can do.

다른 실시예에서, 전술한 바와 같이 결함이 적어도 유사한 설계 데이터에 의해 비닝된 후에, 상기 방법은 CDSEM, 광학적 또는 다른 형태의 물리적 결함 리뷰 및 분류 또는 입증을 위한 1 이상의 ""리뷰 샘플을 생성할 목적으로 비닝 결과를 사용하는 단계를 포함할 수 있다. 그러한 일 실시예에서, 상기 방법은 패턴 그룹 아이덴티티를 x축에 나타내고, 각 패턴 그룹에서 검출된 결함의 수를 y축에 나타내는 전술한 바와 같은 패턴 그룹 파레토를 생성하는 단계를 포함한다. 이러한 방식에서, 차트는 다른 패턴에서 검출된 결함의 수를 도시한다. 하지만, 다른 패턴에서 검출된 결함의 수를 지시하는 다른 데이터는 여기에 기술한 방법 단계에서 사용할 수 있다. 여기에 기술한 실시예는 전기적, 체계적, 및/또는 랜덤 파레토 차트를 생성하는 단계를 포함할 수 있다.In another embodiment, after the defects are binned by at least similar design data as described above, the method aims to generate one or more "" review samples for CDSEM, optical or other forms of physical defect review and classification or verification. Using the binning result may include. In one such embodiment, the method includes generating a pattern group pareto as described above that represents the pattern group identity on the x-axis and indicates the number of defects detected in each pattern group on the y-axis. In this way, the chart shows the number of defects detected in other patterns. However, other data indicating the number of defects detected in other patterns may be used in the method steps described herein. Embodiments described herein may include generating electrical, systematic, and / or random Pareto charts.

상기 방법은, 각각의 패턴 유형에서 검출된 1 이상의 물리적 결함 유형을 결정하기 위해, 본 차트에서 도시한 1 이상의 상이한 패턴에 대한 데이터를 분석하는 단계를 포함할 수 있다. 1 이상의 결함 유형이 일 패턴 그룹에서 검출될 수 있다. 상기 방법은 그러한 차트에 도시된 1 이상의 다른 공간적 시그네처에 대한 데이터를 분석하여, 1 이상의 상이한 시그네처에 대응하는 1 이상의 그룹으로 비닝된 결함의 1 이상의 속성을 결정하는 단계를 또한 포함할 수 있다. 결함 속성은 사이즈, 다이 위치(또는 다이 아이덴티티), 및 당업계에 알려진 임의의 다른 속성을 비한정적으로 포함할 수 있다. 다이 위치는 패턴이 웨이퍼의 특정 위치, 존(zone), 또는 영역, 예컨대, 에지, 중심, 3시 위치 등에서 높은 발생 빈도를 갖는지를 지시한다.The method may include analyzing data for one or more different patterns shown in this chart to determine one or more physical defect types detected in each pattern type. One or more defect types may be detected in one pattern group. The method may also include analyzing data for one or more other spatial signatures shown in such charts to determine one or more attributes of the defects binned into one or more groups corresponding to the one or more different signatures. . Defect attributes may include, but are not limited to size, die location (or die identity), and any other attributes known in the art. The die position indicates whether the pattern has a high frequency of occurrence at a particular position, zone, or region of the wafer, such as the edge, center, three o'clock position, and the like.

결함 샘플링 플랜은 전술한 분석 단계의 결과로부터 결정될 수 있다. 예컨대, 상기 방법은 강한 신호가 전술한 분석 단계로부터 발생하는지를 판정하는 단계를 포함할 수 있다. 이러한 강한 신호는 어느 결함(예컨대, 분석 단계에 의해 결정된 어느 패턴 및 어느 결함 유형 및/또는 속성)이 높은 비율 또는 낮은 비율로 샘플링되어야 하는지를 지시한다. 전술한 샘플링 플랜은 전자 빔 기반 리뷰 시스템 및 AFM(atomic force microscope) 또는 다른 스캐닝 프로브 마이크로스코프 기반 리뷰 시스템과 같은 비교적 느린 리뷰 시스템의 처리량을 증가시키는데 유용하다.The defect sampling plan can be determined from the results of the above analysis steps. For example, the method may include determining whether a strong signal arises from the analysis step described above. This strong signal indicates which defects (eg, which patterns determined by the analysis step and which defect types and / or attributes) should be sampled at high or low rates. The sampling plan described above is useful for increasing the throughput of relatively slow review systems such as electron beam based review systems and atomic force microscopes or other scanning probe microscope based review systems.

여기에 기술한 방법은 리뷰 레시피를 최적화하는데 사용할 수 있다. 예컨대, 일 실시예에서, 상기 방법은 핫 스폿 정보 및 선택적으로 그 방법에 가용적인 임의의 다른 정보에 기초하여 웨이퍼 상의 결함을 리뷰하는 프로세스를 변경하는 단계를 포함한다. 이러한 정보에 기초하여 변경되거나 선택된 리뷰 레시피의 매개변수는 임의의 데이터 취득 매개변수, 및 리뷰 프로세스의 임의의 데이터 처리 매개변수를 포함할 수 있다. 상기 방법은 결함을 리뷰하기 위해 사용할 리뷰 시스템의 유형(예컨대, 광학 또는 전자 빔)과, 결함을 리뷰하기 위해 사용된 리뷰 시스템의 제조 업체와 모델과 같은 리뷰 프로세스의 부가적인 매개변수를 선택하는 단계를 포함할 수 있다.The method described here can be used to optimize review recipes. For example, in one embodiment, the method includes modifying the process of reviewing defects on a wafer based on hot spot information and optionally any other information available for the method. The parameters of the review recipe changed or selected based on this information may include any data acquisition parameters, and any data processing parameters of the review process. The method includes selecting the type of review system to use to review the defect (eg, optical or electron beam) and additional parameters of the review process, such as the make and model of the review system used to review the defect. It may include.

상기 방법은 리뷰가 수행되는 웨이퍼 상의 위치를 결정하는 단계를 지원하는데 사용할 수 있는 정보를 리뷰 시스템에 제공하는 단계를 포함할 수 있다. 예컨대, 리뷰될 결함의 위치는 리뷰 시스템에 설계 데이터 스페이스, 다이 스페이스, 및/또는 웨이퍼 스페이스로 보고될 수 있다. 또한, 결함 및/또는 결함 위치에 관한 다른 정보가 리뷰 시스템에 제공될 수 있다. 예컨대, 결함 위치에 대응하는 설계 데이터의 부분에 부가하여 인라인 검사에 의해 생성된 결함의 이미지 또는 오버레이가 리뷰 시스템에 제공될 수 있다. 이러한 방식에서, 리뷰 시스템은 리뷰 동안 웨이퍼 상의 선택된 결함의 위치를 찾기 위해 그 정보의 일부 또는 전부를 사용할 수 있다. 또한, 리뷰 시스템이 에지 배치 에러에 기초하여 ADL(automatic defect locating)을 수행하기 위해 결과를 사용할 수 있도록, 여기에 기술한 1 이상의 방법의 1 이상의 단계의 결과는 리뷰 시스템에 제공될 수 있다. 또한, 상기 방법은 검사 결과 및 체계적 아이덴티티(아마도 수율 관련성 및/또는 프로세스 창 매핑과 함께)에 기초하여 리뷰를 위해 측정 또는 테스트해야 할 곳을 결정하는 단계를 포함할 수 있다. 리뷰는 미국 특허 출원 공개 공보 제2006/0082763호(2006년 4월 20일)로서 공개된 공동 양도된 미국 특허 출원 일련번호 11/249,144호(2005년 10월 14일자 출원; Teh et al.)에 개시된 것과 같은 방법 및 시스템을 사용하여 수행될 수 있는 유저-지원 리뷰를 포함할 수 있으며, 상기 문헌은 여기에 완전히 언급된 것과 같이 참고자료로 포함된다. 따라서, 비닝 방법(및 여기에 추가로 기술된 결함에 범주를 할당하는 방법)에 대한 유저 케이스는 체계적 탐색 및 유저-지원 리뷰를 포함한다.The method can include providing the review system with information that can be used to assist in determining a location on the wafer where the review is to be performed. For example, the location of the defect to be reviewed may be reported to the review system as design data space, die space, and / or wafer space. In addition, other information regarding defects and / or defect locations may be provided to the review system. For example, an image or overlay of a defect generated by inline inspection can be provided to the review system in addition to the portion of design data corresponding to the defect location. In this manner, the review system may use some or all of its information to locate the selected defects on the wafer during review. In addition, the results of one or more steps of the one or more methods described herein can be provided to the review system so that the review system can use the results to perform automatic defect locating (ADL) based on edge placement errors. The method may also include determining where to measure or test for review based on test results and systematic identity (perhaps with yield relevance and / or process window mapping). The review is published in commonly assigned U.S. Patent Application Serial No. 11 / 249,144, filed Oct. 14, 2005; Teh et al., Published as U.S. Patent Application Publication No. 2006/0082763 (April 20, 2006). User-assisted reviews that can be performed using methods and systems such as those disclosed, which are incorporated by reference as if fully set forth herein. Thus, user cases for binning methods (and how to assign categories to defects described further herein) include systematic search and user-assisted reviews.

일 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 변경하는 단계를 포함한다. 예컨대, 비닝 단계의 결과로부터 결정된 바와 같은 대부분의 주요 결함이 계측 프로세스 동안에 측정되도록 계측 프로세스는 변경될 수 있다. 따라서, 계측 프로세스를 변경하는 단계는, 계측 프로세스 동안에 측정이 수행되는 웨이퍼 상의 위치를 변경하는 단계를 포함할 수 있다. 또한, 측정을 위해 선택되는 결함의 BF 이미지 및/또는 SEM 이미지와 같은 검사 및/또는 리뷰의 결과가 계측 시스템에 제공되어, 그 결과는 측정이 수행될 장소를 결정하는데 사용될 수 있다. 예컨대, 계측 프로세스는 웨이퍼 상의 결함의 적절한 위치의 이미지를 생성하는 단계를 포함할 수 있고, 필요한 경우 측정이 정확한 웨이퍼 위치에서, 따라서 정확한 결함에 대하여 수행되게, 그 계측 시스템은 웨이퍼 상의 위치를 보정할 수 있도록, 그 이미지는 결함에 대한 검사 및/또는 리뷰의 결과와 비교될 수 있다. 이러한 방식에서, 측정은 웨이퍼 상의 실질적으로 정확한 위치에서 수행될 수 있다. 계측 프로세스를 변경하는 단계는 수행되는 측정의 유형, 측정이 수행되는 각도 등, 또는 그것의 일부 조합과 같은 계측 프로세스의 임의의 다른 1 이상의 매개변수를 변경하는 단계를 또한 포함할 수 있다. 계측 프로세스는 CD 측정 계측 프로세스와 같은 당업계에서 알려진 임의의 적합한 계측 프로세스를 포함할 수 있다.In one embodiment, the method includes changing the metrology process for the wafer based on the results of the binning step. For example, the metrology process can be modified so that most major defects as determined from the results of the binning step are measured during the metrology process. Thus, changing the metrology process may include changing the position on the wafer where the measurement is performed during the metrology process. In addition, the results of inspections and / or reviews, such as BF images and / or SEM images of defects selected for measurement, are provided to the metrology system, and the results can be used to determine where the measurements will be performed. For example, the metrology process may include generating an image of the proper location of the defect on the wafer, and if necessary, the metrology system may correct the location on the wafer such that the measurement is performed at the correct wafer location, and thus for the correct defect. As such, the image can be compared with the results of inspection and / or review for defects. In this manner, the measurement can be performed at a substantially accurate position on the wafer. Changing the metrology process may also include changing any other one or more parameters of the metrology process, such as the type of measurement being performed, the angle at which the measurement is performed, or the like, or some combination thereof. The metrology process may include any suitable metrology process known in the art, such as a CD metrology metrology process.

다른 실시예에서, 상기 방법은 비닝 스텝의 결과에 기초하여 웨이퍼의 계측 프로세스에 대한 샘플링 플랜을 변경하는 단계를 포함한다. 따라서, 상기 방법은 적응형 샘플링(adaptive sampling)을 포함할 수 있다. 예컨대, 계측 프로세스에 대한 샘플링 플랜은 비닝 스텝의 결과로부터 결정된 바와 같은 가장 중요한 결함의 많은 수가 계측 프로세스 동안에 측정되도록 변경될 수 있다. 이러한 방식에서, 가장 중요한 결함은 계측 프로세스 동안에 더욱 중점적으로 샘플링될 수 있고, 이에 의해 가장 중요한 결함에 대한 다량의 정보를 이롭게 생성할 수 있다. 계측 프로세스는 당업계에 알려진 임의의 계측 프로세스를 포함할 수 있다. 또한, 계측 프로세스는 SEM과 같이 당업계에서 알려진 임의의 적합한 계측 시스템에 의해 수행할 수 있다. 또한, 계측 프로세스는 프로파일, 두께, CD 등과 같이 웨이퍼 상에 형성된 특징 또는 결함의 임의의 적합한 속성의 당업계에 알려진 임의의 적합한 측정을 수행하는 단계를 포함할 수 있다.In another embodiment, the method includes changing the sampling plan for the metrology process of the wafer based on the results of the binning step. Thus, the method may include adaptive sampling. For example, the sampling plan for the metrology process can be modified so that the majority of the most significant defects as determined from the results of the binning step are measured during the metrology process. In this way, the most important defects can be sampled more intensively during the metrology process, thereby advantageously generating large amounts of information about the most important defects. The metrology process can include any metrology process known in the art. The metrology process may also be performed by any suitable metrology system known in the art, such as SEM. In addition, the metrology process may include performing any suitable measurement known in the art of any suitable attribute of a feature or defect formed on the wafer, such as a profile, thickness, CD, and the like.

유사한 방식에서, 상기 방법은 핫 스폿 정보와 선택적으로는 그 방법에 가용적인 임의의 다른 정보에 기초하여 웨이퍼 상의 결함을 분석(예컨대, 계측 또는 구성 분석) 또는 수리하기 위한 프로세스를 변경하는 단계를 포함할 수 있다. 예컨대, 상기 방법은 결함의 조성을 분석하기 위한 전자 분산 x-선 분광학(EDS 또는 EDX) 또는 결함의 수리 또는 FA에 대한 FIB 프로세스와 같은 프로세스를 변경하는 단계를 포함할 수 있다. 결함을 분석 또는 수리하기 위한 프로세스는 다른 프로세스를 변경하는 것에 대해 여기에 기술한 바와 같이 변경될 수 있다. 예컨대, 결함 또는 수리 프로세스는, 분석 및/또는 수리가 선택된 결함의 위치에서만 수행되도록 변경될 수 있으며, 그러한 선택은 여기에 기술하는 바와 같이 이루어질 수 있다. 또한, 분석 또는 수리 프로세스의 1 이상의 매개변수는 여기에 기술한 방법의 임의의 단계의 결과에 기초하여 선택 및 변경될 수 있다. 그러한 결과는 예컨대, 결함 범주, 결함 근본 원인, 결함 사이즈, 결함 임계성(분석 및/또는 수리가 수행되어야 할 정확도를 지시할 수 있음), 수율 영향, 결함에 가까운 설계 데이터 1 이상의 속성(예컨대, 특징의 치수, 특징의 밀도, 계층, 용장도 등)(분석 및/또는 수리가 수행되어야 하는지, 그리고 분석 및/또는 수리가 수행되어야 할 정확도 등을 지시할 수 있음)를 포함할 수 있다. 계측 툴에 대한 레시피를 생성하기 위한 방법 및 시스템의 추가적인 예는 미국 특허 6,581,193호(MaGhee et al.)에 개시되며, 이는 여기에 완전히 언급한 것과 같이 참고자료로 포함된다. 여기에 기술한 방법 및 시스템은 상기 특허에 개시된 임의의 추가적인 단계를 수행하도록 구성될 수 있다.In a similar manner, the method includes modifying a process for analyzing (eg, metrology or configuration analysis) or repairing defects on a wafer based on hot spot information and optionally any other information available to the method. can do. For example, the method may include modifying a process, such as electron scattering x-ray spectroscopy (EDS or EDX) to analyze the composition of the defect or repair of the defect or an FIB process for FA. The process for analyzing or repairing a defect can be changed as described herein for changing other processes. For example, a defect or repair process may be modified such that analysis and / or repair is performed only at the location of the selected defect, and such selection may be made as described herein. In addition, one or more parameters of the analysis or repair process may be selected and changed based on the results of any of the steps of the methods described herein. Such results may include, for example, defect category, defect root cause, defect size, defect criticality (which may indicate the accuracy with which analysis and / or repair should be performed), yield impact, one or more attributes of the design data close to the defect (eg, features). , Dimensions, density of features, hierarchy, redundancy, etc. (which may indicate whether analysis and / or repair should be performed, and the accuracy with which the analysis and / or repair should be performed, etc.). Additional examples of methods and systems for generating recipes for metrology tools are disclosed in US Pat. No. 6,581,193 to MaGhee et al., Which is incorporated by reference as if fully set forth herein. The methods and systems described herein may be configured to perform any additional steps disclosed in the patent.

일부 실시예에서, 상기 방법은 설계 데이터의 1 이상의 속성에 기초하여 결함의 근본 원인을 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 결함이 비닝되는 1 이상의 그룹의 근본 원인을 결정하는 단계를 포함한다. 예컨대, 일 실시예에서, 상기 방법은 1 이상의 그룹 내의 적어도 일부의 결함의 결과, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 또는 그것의 일부 조합에 기초하여 1 이상 그룹의 결함의 근본 원인을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함의 근본 원인을 개별적으로 또는 집합적으로 그룹으로서 결정하는 단계를 포함할 수 있다. 결함 또는 그룹 결함의 근본 원인은 결함을 분석하는데 사용할 수 있는 EDS 시스템과 같은 진단 시스템으로부터의 분석 결과에 기초하여, 예컨대, 결함의 구성을 측정함으로써 결정할 수 있다. EDS 시스템의 일 예는 미국 특허 6,777,676호(Wang et al.)에 개시되며, 이는 여기에 완전히 언급한 것과 같이 참고자료로 포함된다.In some embodiments, the method includes determining the root cause of the defect based on one or more attributes of the design data. In another embodiment, the method includes determining the root cause of at least one group of defects binned. For example, in one embodiment, the method is the result of at least some defects in one or more groups, one or more attributes of the design data, one or more attributes of the defects, or some combination thereof, the root cause of the one or more groups of defects. Determining a step. In this manner, the method may include determining the root cause of the defect individually or collectively as a group. The root cause of a defect or group defect can be determined based on an analysis result from a diagnostic system such as an EDS system that can be used to analyze the defect, for example by measuring the composition of the defect. An example of an EDS system is disclosed in US Pat. No. 6,777,676 to Wang et al., Which is incorporated by reference as if fully set forth herein.

근본 원인 단계는 소스, 원인, 및/또는 체계적 결함에 대한 보정을 식별하는 단계를 포함할 수 있다. 근본 원인 단계는 임의의 설계, 웨이퍼, 레티클, 테스트 및 프로세스 스페이스 사이의 상관관계를 사용하여 다중 소스 스페이스에서 수행할 수 있다. 예컨대, 일 실시예에서, 상기 방법은 1 이상의 그룹 내의 적어도 일부의 결함을 실험적 프로세스 창 결과에 매핑함으로써 1 이상 그룹 결함의 근본 원인을 결정하는 단계를 포함한다. 실험적 프로세스 창 결과는 상기 방법, 다른 방법, 상기 방법을 수행하도록 구성된 시스템, 또는 상기 방법을 수행하도록 구성된 시스템 이외의 다른 시스템에 의해서 생성될 수 있다. 또한, 실험적 프로세스 창 결과는 PWQ 방법 또는 임의의 다른 적합한 실험(예컨대, 1 이상의 상이한 매개변수에서 상이한 웨이퍼에 대해 에치 프로세스를 수행함으로써)을 사용하여, PWQ 방법 또는 다른 실험 이후의 웨이퍼 상의 결함을 검출함으로써 취득할 수 있다. 실험적 프로세스 창 결과는 검사 및/또는 웨이퍼 상에서 검출된 결함의 리뷰에 의해 취득된 임의의 결과를 포함할 수 있다. 예컨대, 실험적 프로세스 창 결과는 결함의 이미지, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분, 여기에 기술한 바와 같이 결정될 수 있는 설계 데이터 스페이스 내의 결함의 위치, 또는 여기에 기술한 임의의 다른 검사 및/또는 결함 리뷰 결과를 포함할 수 있다.The root cause step can include identifying a source, cause, and / or correction for systematic defects. Root cause steps can be performed in multiple source spaces using the correlation between any design, wafer, reticle, test and process space. For example, in one embodiment, the method includes determining the root cause of the one or more group defects by mapping at least some of the defects in the one or more groups to experimental process window results. The experimental process window results may be generated by a system other than the method, another method, a system configured to perform the method, or a system configured to perform the method. In addition, the experimental process window results can be used to detect defects on the wafer after the PWQ method or other experiments using the PWQ method or any other suitable experiment (eg, by performing an etch process on a different wafer at one or more different parameters). It can acquire by making it. The experimental process window results may include any results obtained by inspection and / or review of defects detected on the wafer. For example, the experimental process window result may be an image of a defect, a portion of design data close to the location of a defect in the design data space, the location of a defect in the design data space that may be determined as described herein, or any other described herein. Inspection and / or defect review results.

적어도 일부의 결함을 실험적 프로세스 창 결과에 매핑하는 단계는 검사 프로세스의 결과를 사용하여 수행할 수 있다. 예컨대, 실험적 프로세스 창 결과가 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분과 웨이퍼 상의 결함의 이미지를 포함하는 경우, 실험적 프로세스 창 결과에 결함을 매핑하는 단계는, 1 이상의 그룹으로 비닝된 결함의 이미지를 설계 데이터 스페이스 내의 비닝된 결함의 위치에 가까운 설계 데이터에 적어도 유사한 설계 데이터 가까이서 검출된 결함에 대한 실험적 프로세스 창 결과 내의 이미지와 비교하는 단계를 포함할 수 있다. 다른 예에서, 실험적 프로세스 창 결과가 설계 데이터 스페이스 내의 결함의 위치를 포함하는 경우, 비닝된 결함을 본 실시예에서의 실험적 프로세스 창 결과에 매핑하는 단계는 실험적 프로세스 창 결과 내의 설계 데이터 스페이스 내의 결함의 위치를 설계 데이터 스페이스 내의 비닝된 결함의 위치에 비교하는 단계를 포함할 수 있다.Mapping at least some of the defects to the experimental process window results may be performed using the results of the inspection process. For example, if the experimental process window results include portions of design data close to the location of the defects in the design data space and an image of the defects on the wafer, then mapping the defects to the experimental process window results may include binning defects into one or more groups. Comparing the image of the image with the image in the experimental process window results for the detected defect at least near the design data to the design data close to the location of the binned defect in the design data space. In another example, if the experimental process window results include the location of a defect in the design data space, the mapping of binned defects to the experimental process window results in this embodiment may result in the occurrence of defects in the design data space in the experimental process window results. And comparing the location to the location of the binned defect in the design data space.

이러한 방식에서, 매핑 단계의 결과는 프로세스 창 스페이스 내의 어디에서 결함 검출 이전에 웨이퍼 상에 수행되는 프로세스가 수행되는지를 지시할 수 있다. 특히, 실험적 프로세스 창 결과에 포함된 결함 및 바인딩된 결함이 적어도 유사한지와, 적어도 유사한 설계 데이터에 가깝게 위치하는지를 매핑 결과가 지시하는 경우, 실험적 프로세스 창 결과에 포함된 결함이 검출되는 프로세스 창에서의 1 이상의 매개변수 값은 비닝된 결함에 상호 연관지어지고, 비닝된 결함의 근본 원인으로서 결정될 수 있거나 비닝된 결함의 근본 원인을 결정하는데 사용할 수 있다.In this manner, the result of the mapping step may indicate where in the process window space a process performed on the wafer is performed prior to defect detection. In particular, when the mapping result indicates that the defects and bound defects included in the experimental process window results are at least similar and are located at least close to similar design data, One or more parameter values may be correlated to binned defects and may be determined as the root cause of binned defects or may be used to determine the root cause of binned defects.

다른 실시예에서, 상기 방법은 1 이상의 그룹 내의 적어도 일부의 결함을 시뮬레이션된 프로세스 창 결과에 매핑함으로써 1 이상 그룹 결함의 근본 원인을 결정하는 단계를 포함한다. 시뮬레이션된 프로세스 창 결과는 전술한 실험적 프로세스 창 결과에 유사한 결과를 포함할 수 있다. 하지만, 시뮬레이션된 프로세스 창 결과는 물리적 웨이퍼에 대한 실험을 수행하는 것에 의하지 않고, 프로세스의 1 이상의 각종의 매개변수의 값에서 설계 데이터가 웨이퍼 상에 어떻게 인쇄되는지를 설명하는 이미지를 시뮬레이션함으로써 취득된다. 프로세스는 설계 데이터에 대응하는 장치의 제조에 포함되는 임의의 프로세스를 포함할 수 있다. 예컨대, 본 실시예는 체계적 결함 위치에 관하여 패터닝 프로세스(예컨대, 리소그라피 또는 에치)를 모델링하는 단계를 포함할 수 있고, 그러한 모델링의 결과는 체계적 결함의 근본 원인을 결정하는데 사용할 수 있다. 시뮬레이션된 프로세스 창 결과는 당업계에 알려진 임의의 적합한 방법 또는 시스템에 의해 생성될 수 있다. 예컨대, 시물레이션된 프로세스 창 결과는 KLA-Tencor사로부터 상업적으로 입수가능한 PROLITH 소프트웨어에 의해 생성될 수 있다. 또한, 시뮬레이션된 프로세스 창 결과는 상기 방법, 다른 방법, 상기 방법을 수행하도록 구성된 시스템, 또는 상기 방법을 수행하도록 구성된 시스템 이외의 다른 시스템에 의해 생성될 수 있다. 본 실시예에서의 근본 원인을 결정하는 단계는 실험적 프로세스 창 결과에 대하여 전수한 바와 같이 수행할 수 있다.In another embodiment, the method includes determining the root cause of the one or more group defects by mapping at least some of the defects in the one or more groups to simulated process window results. The simulated process window results may include similar results to the experimental process window results described above. However, the simulated process window results are obtained by simulating images describing how design data is printed on the wafer at the values of one or more various parameters of the process, rather than by performing experiments on physical wafers. The process can include any process involved in the manufacture of a device corresponding to the design data. For example, this embodiment may include modeling a patterning process (eg, lithography or etch) with respect to systematic defect locations, and the results of such modeling can be used to determine the root cause of systematic defects. The simulated process window results can be generated by any suitable method or system known in the art. For example, simulated process window results can be generated by PROLITH software commercially available from KLA-Tencor. In addition, the simulated process window results may be generated by a system other than the method, another method, a system configured to perform the method, or a system configured to perform the method. Determining the root cause in this embodiment can be performed as taught for the experimental process window results.

근본 원인 단계는 체계적 결함에 대한 소스 및/또는 보정을 결정하는 단계를 포함할 수 있다. 체계적 결함에 대한 하나의 가능한 소스는 프로세스 창 시프트(shift)이다. 또한, 핫 스폿 시그네처의 지식은 프로세스 창 내의 어디에서 프로세스가 작동하는지에 대한 정보를 제공할 수 있다. 근본 원인 단계는 프로세스 창을 확장하기 위해 프로세스 개선에 대한 가장 중요한 기회를 결정하는 단계를 또한 포함할 수 있다. 또한, 근본 원인 단계는 레티클 설계를 개선하기 위해 가장 중요한 체계적 이슈를 결정하는 단계를 포함할 수 있다. 근본 원인 단계는 차세대 기술을 개선 및/또는 구현하기 위해 가장 중요한 체계적 이슈를 결정하는 단계를 추가로 포함할 수 있다.The root cause step can include determining a source and / or correction for the systematic defect. One possible source for systematic defects is process window shift. In addition, knowledge of hot spot signatures can provide information about where a process operates within a process window. The root cause step may also include determining the most significant opportunity for process improvement to expand the process window. The root cause step may also include determining the most important systematic issues to improve the reticle design. The root cause step may further include determining the most important systematic issues for improving and / or implementing next generation technology.

일부 실시예에서, 상기 방법은 1 이상 그룹의 결함에 의해 영향받은 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 예컨대, 퍼센티지는 그룹 내의 결함이 적어도 한번 검출된 웨이퍼 상의 검사 다이의 수를 결정하고, 그룹 내의 결함이 적어도 한번 검출된 검사 다이의 수를 전체 검사 다이 수로 나누어서 결정할 수 있다. 그룹 내의 결함이 적어도 한번 검출된 웨이퍼 상의 검사 다이의 수는 결함의 설계 데이터 스페이스 위치, 웨이퍼 상에 인쇄된 다이의 설계 스페이스 위치, 및 결함을 검출하는데 사용된 검사 프로세스에 대한 정보에 기초하여 결정할 수 있다. 이들 단계의 결과에 100을 곱해서 퍼센티지에 이르게 한다. 일 특정 예에서, 하나의 그룹으로 비닝된 300개의 결함이 존재하고, 그 그룹 내의 결함은 웨이퍼 상에 5개의 다이에 위치되며, 웨이퍼 상에는 6,000개의 다이가 존재하는 경우, 퍼센티지는 [(5)(100)]/(6000) 또는 0.083%로서 결정될 수 있다. 따라서 그 퍼센티지는 결함의 그룹에 대한 다이 영향 마진을 반영한다. 그러한 퍼센티지는 1 이상 그룹의 결함에 대해 결정될 수 있고, 각각(또는 적어도 일부)의 퍼센티지는 상기 방법에 의해 생성될 수 있는 바 차트와 같은 차트로 표시될 수 있다. 따라서, 그 차트는 결함이 비닝되는 그룹의 함수로서 다이 영향 마진을 나타낸다. 그러한 차트는 여기에 추가로 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에서 나타내질 수 있다. 상기 방법은 본 실시예에서 결정된 퍼센티지에 기초하여 1 이상 그룹의 결함을 우선순위화하는 단계를 또한 포함할 수 있다. 그러한 우선순위화의 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있으며, 그러한 우선순위화의 결과는 여기에 추가로 기술하는 바와 같이 사용될 수 있다.In some embodiments, the method includes determining a percentage of the die formed on the wafer affected by the at least one group of defects. For example, the percentage may be determined by determining the number of inspection dies on the wafer where defects in the group have been detected at least once, and dividing the number of inspection dies that have detected at least one defect in the group by the total number of inspection dies. The number of inspection dies on the wafer at which defects in the group were detected at least once may be determined based on information about the design data space location of the defects, the design space location of the die printed on the wafer, and the inspection process used to detect the defects. have. The result of these steps is multiplied by 100 to reach the percentage. In one particular example, if there are 300 defects binned into one group, and the defects within that group are located on five dies on the wafer, and there are 6,000 dies on the wafer, the percentage is [(5) ( 100)] / (6000) or 0.083%. The percentage thus reflects the die impact margin for the group of defects. Such percentages can be determined for one or more groups of defects, and each (or at least some) percentage can be represented by a chart such as a bar chart that can be generated by the method. Thus, the chart shows die impact margin as a function of the group of defect bins. Such charts may be presented in a user interface that may be configured as further described herein. The method may also include prioritizing one or more groups of defects based on the percentage determined in this embodiment. Such steps of prioritization may be performed as further described herein, and the results of such prioritization may be used as described further herein.

다른 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 웨이퍼 상의 1 이상의 위치의 수에 대한 1 이상의 POI에 대응하는 적어도 하나의 그룹으로 비닝된 결함의 수의 비율을 결정하는 단계를 포함한다. 적어도 하나의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI는 여기에 추가로 기술하는 바와 같이 결정할 수 있다. 1 이상의 POI의 모든 예가 결함을 검출하기 위해 사용된 검사 프로세스 동안에 검사되지 않으면, 본 실시예에서 사용된 웨이퍼 상의 1 이상의 POI의 위치의 수는 웨이퍼 상의 1 이상의 POI의 검사된 위치의 수일 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 상에 인쇄된 POI의 위치의 수(또는 웨이퍼 상의 POI의 검사된 위치의 수)에 대한 결함이 웨이퍼 상에서 검출된 POI의 비율 또는 퍼센티지를 결정하여 마진 분석을 수행하는 단계를 포함할 수 있다. 그러한 실시예에서, 웨이퍼 상의 POI의 위치의 수는 임의의 패턴 검색에 의해 식별될 수 있다. 웨이퍼 상의 POI의 검사된 위치의 수는, 임의의 패턴 검색에 의해서, 웨이퍼 상의 POI의 검사된 위치의 수를 결정하기 위해 검사 프로세스에 대한 정보 및 임의의 패턴 검색의 결과를 사용하여 수행될 수 있다. 또한, 여기에 기술한 상기 방법은 웨이퍼 상의 POI의 위치를 식별하고, POI의 영역을 결정하기 위한 임의의 패턴 검색을 포함할 수 있다. 웨이퍼 상의 POI의 영역 및 POI의 위치의 수(또는 웨이퍼 상의 POI의 검사된 위치의 수)는 POI에 의한 결함 밀도를 결정하는데 사용할 수 있다. 상기 방법은 본 실시예에서 결정된 비율에 기초하여 1 이상의 POI를 우선순위화하는 단계를 또한 포함할 수 있다. 그러한 우선순위화는 여기에 추가로 기술하는 바와 같이 수행할 수 있고, 그러한 우선순위화 결과는 여기에 기술하는 바와 같이 사용할 수 있다.In another embodiment, the method includes determining at least one POI in design data corresponding to at least one group, and defects binned into at least one group corresponding to at least one POI for the number of one or more locations on a wafer. Determining the ratio of the number of. One or more POIs in the design data corresponding to the at least one group may be determined as described further herein. If all examples of one or more POIs are not inspected during the inspection process used to detect defects, the number of locations of one or more POIs on the wafer used in this embodiment may be the number of inspected locations of one or more POIs on the wafer. In this way, the method performs margin analysis by determining the percentage or percentage of POIs detected on the wafer for defects on the number of locations of POIs printed on the wafer (or the number of inspected locations of POIs on the wafer). It may include a step. In such embodiments, the number of locations of POIs on the wafer can be identified by any pattern search. The number of inspected positions of the POI on the wafer can be performed using information about the inspection process and the results of any pattern search to determine the number of inspected positions of the POI on the wafer, by any pattern search. . In addition, the method described herein may include any pattern search to identify the location of the POI on the wafer and determine the area of the POI. The area of POI on the wafer and the number of locations of POI (or the number of inspected locations of POI on the wafer) can be used to determine the defect density by POI. The method may also include prioritizing one or more POIs based on the rate determined in this embodiment. Such prioritization may be performed as further described herein, and such prioritization results may be used as described herein.

추가적인 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 설계 데이터 내의 1 이상의 POI 위치의 수(또는 설계 데이터 내의 1 이상의 POI의 모든 위치가 결함을 검출하기 위해 사용된 검사 프로세스 동안에 검사되지 않는 경우 설계 데이터 내의 1 이상의 POI의 검사된 위치의 수)에 대한 1 이상의 POI에 대응하는 적어도 하나의 그룹 내의 비닝된 결함의 수의 비율을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 설계 내의 POI의 위치의 수(또는 설계 내의 POI의 검사된 위치의 수)에 대한 POI에 대응하는 그룹 내의 결함 수의 비율 또는 퍼센티지를 결정하여 마진 분석을 수행하는 단계를 포함할 수 있다. 그러한 실시예에서, 설계 데이터 내의 POI의 위치의 수는 임의의 패턴 검색에 의해 수행될 수 있다. 또한, 설계 내의 POI의 검사된 위치의 수는 전술한 바와 같이 결정할 수 있다. 적어도 하나의 그룹에 대응하는 1 이상의 POI는 여기에 추가로 기술하는 바와 같이 결정할 수 있다. 이러한 방법은 본 실시예에서 결정된 비율에 기초하여 1 이상의 POI를 우선순위화하는 단계를 또한 포함할 수 있다. 그러한 우선순위화는 여기에 추가로 기술하는 바와 같이 수행할 수 있고, 그러한 우선순위화 결과는 여기에 기술하는 바와 같이 사용될 수 있다.In a further embodiment, the method includes determining one or more POIs in the design data corresponding to the at least one group, and the number of one or more POI locations in the design data (or all locations of one or more POIs in the design data are defective). Determining the ratio of the number of binned defects in at least one group corresponding to one or more POIs to the number of inspected locations of one or more POIs in the design data, if not inspected during the inspection process used to detect Include. In this manner, the method includes performing a margin analysis by determining the ratio or percentage of the number of defects in the group corresponding to the POI to the number of locations of the POIs in the design (or the number of inspected locations of the POIs in the design). It may include. In such an embodiment, the number of locations of POIs in the design data may be performed by any pattern search. In addition, the number of inspected locations of POIs in the design can be determined as described above. One or more POIs corresponding to the at least one group may be determined as described further herein. This method may also include prioritizing one or more POIs based on the rate determined in this embodiment. Such prioritization may be performed as further described herein, and such prioritization results may be used as described herein.

추가의 실시예에서, 상기 방법은 적어도 하나의 그룹에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 적어도 하나의 그룹으로 비닝되는 결함이 위치되는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 POI에 우선순위를 할당하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함에 의해 영향을 받은 다이의 퍼센티지에 기초하여 마진 분석을 수행하는 단계를 포함할 수 있다. 예컨대, 그룹으로 비닝된 결함의 수는 웨이퍼 상에 설계 데이터를 인쇄하는데 사용된 레티클 상의 POI의 설계 인스턴스의 수와 레티클이 웨이퍼 상에 인쇄되는 횟수로 나눌 수 있다. 이러한 단계의 결과에 100을 곱해서 퍼센티지에 이른다. 일 특정 예에서, 일 그룹으로 비닝된 300개의 결함과, 레티클 상의 그룹에 대응하는 POI의 2000개의 설계 인스턴스가 존재하고, 레티클이 웨이퍼 상에 1000회 인쇄되는 경우, 그룹으로 비닝된 결함이 위치하는 웨이퍼 상에 형성된 다이의 퍼센티지는 [(300)(100)]/[(2000)(1000)] 또는 0.015%이며, 이는 본질적으로 결함의 그룹에 대한 웨이퍼 기반 마진이다.In a further embodiment, the method includes determining a POI in design data corresponding to at least one group, determining a percentage of a die formed on the wafer at which defects binned into the at least one group are located; Assigning a priority to the POI based on the percentage. In this manner, the method may include performing a margin analysis based on the percentage of die affected by the defect. For example, the number of defects binned into groups can be divided by the number of design instances of the POI on the reticle used to print the design data on the wafer and the number of times the reticle is printed on the wafer. The result of this step is multiplied by 100 to reach a percentage. In one particular example, if there are 300 defects binned into a group and 2000 design instances of the POI corresponding to the group on the reticle, and the reticle is printed 1000 times on the wafer, the binned defects into the group are located. The percentage of die formed on the wafer is [(300) (100)] / [(2000) (1000)] or 0.015%, which is essentially a wafer based margin for a group of defects.

이러한 방식에서, 상기 방법은 결함이 적어도 한번 검출되는 웨이퍼 상의 검사 다이의 수에 의해 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 예컨대, 체계적 결함이, 다이 내의 POI의 설계 인스턴스의 1%에 대하여, 다이 내의 POI의 설계 인스턴스의 10%로 출현한 경우, 더 높은 우선순위가 POI에 할당될 수 있다. 다른 예에서, 웨이퍼 상의 다수의 다이에서 검출된 결함의 그룹에는 웨이퍼 상의 소수의 다이에서 검출된 결함의 그룹보다 더 높은 우선순위가 할당될 수 있다. 또한, 상기 방법은 상이한 그룹으로 비닝된 결함이 위치하는 웨이퍼 상에 형성된 다이의 퍼센티지를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 따라서, 차트는 상이한 결함 그룹에 대한 다이 기반 마진을 그래픽 식으로 나타낸다. 그러한 차트는 여기에 기술한 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 그러한 우선순위화의 결과는 여기에 기술하는 바와 같이 사용할 수 있다.In this manner, the method may include prioritizing systematic defects by the number of inspection dies on the wafer at which defects are detected at least once. For example, if a systematic defect emerges as 10% of the design instance of the POI in the die for 1% of the design instance of the POI in the die, a higher priority may be assigned to the POI. In another example, a group of defects detected on multiple dies on a wafer may be assigned a higher priority than a group of defects detected on a few dies on a wafer. The method may also include generating a chart, such as a bar chart, representing the percentage of die formed on the wafer where the defects binned into different groups are located. Thus, the chart graphically shows die based margins for different defect groups. Such charts may be displayed in a user interface that may be configured as described herein. The result of such prioritization can be used as described herein.

또 다른 실시예에서, 상기 방법은 1 이상 그룹 내의 결함이 검출되는 웨이퍼 상의 전체 설계 인스턴스의 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 본 실시예에서 사용된 웨이퍼 상의 설계 인스턴스의 수는, 웨이퍼 상의 모든 설계 인스턴스가 결함을 검출하는데 사용된 검사 프로세스 동안에 검사되지 않은 경우, 웨이퍼 상의 전체 검사된 설계 인스턴스의 수일 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 상의 전체 설계 인스턴스의 수(또는 전체 검사된 설계 인스턴스의 수)로 공지된 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 그와 같이, 상기 방법은 웨이퍼 기반 마진에 기초하여 알려진 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 예컨대, 웨이퍼 상의 큰 수의 설계 인스턴스에서 검출된 결함의 그룹에는 웨이퍼 상의 작은 수의 설계 인스턴스에서 검출되는 결함의 그룹보다 더 높은 우선순위가 할당될 수 있다. 그러한 우선순위화는 결함이 검출되는 웨이퍼 상의 설계 인스턴스(또는 검사된 설계 인스턴스)의 위치의 퍼센티지에 기초하여 수행할 수 있다. 예컨대, 검출되어 일 그룹으로 비닝된 결함의 수는 웨이퍼 상의 설계 인스턴스의 전체 수(또는 검사된 설계 인스턴스의 전체 수)로 나눌 수 있다. 이러한 단계의 결과에 100을 곱해 전술한 퍼센티지에 이를 수 있다. 또한, 상기 방법은 상이한 그룹의 결함이 검출된 웨이퍼 상의 설계 인스턴스의 수(또는 검사된 설계 인스턴스의 수)를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 그러한 우선순위화는 여기에 기술하는 바와 같이 추가로 수행될 수 있고, 그 우선순위화 결과는 여기에 기술한 바와 같이 사용될 수 있다.In another embodiment, the method includes prioritizing the one or more groups by the number of total design instances on the wafer for which defects in the one or more groups are detected. The number of design instances on the wafer used in this embodiment may be the total number of inspected design instances on the wafer if all design instances on the wafer were not inspected during the inspection process used to detect the defect. In this manner, the method may include prioritizing systematic defects known as the total number of design instances on the wafer (or the total number of design instances inspected). As such, the method may include prioritizing known systematic defects based on wafer based margins. For example, a group of defects detected in a large number of design instances on a wafer may be assigned a higher priority than a group of defects detected in a small number of design instances on a wafer. Such prioritization can be performed based on the percentage of the location of the design instance (or inspected design instance) on the wafer where the defect is detected. For example, the number of defects detected and binned into a group can be divided by the total number of design instances on the wafer (or the total number of design instances inspected). The result of this step can be multiplied by 100 to reach the percentage mentioned above. The method may also include generating a chart, such as a bar chart, indicating the number of design instances (or the number of design instances inspected) on the wafer where different groups of defects were detected. Such charts can be displayed in a user interface that can be configured as described herein. Such prioritization can be further performed as described herein, and the result of the prioritization can be used as described herein.

일부 실시예에서, 상기 방법은 1 이상 그룹 내의 결함이 적어도 한번 검출되는 웨이퍼 상의 설계 데이터를 인쇄하는데 사용되는 레티클 상의 설계 인스턴스의 수로 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 본 실시예에서 사용된 레티클 상의 설계 인스턴스의 수는 검사된 설계 인스턴스의 수일 수 있다. 이러한 방식에서, 상기 방법은 결함이 적어도 한번 발견되는 레티클 상의 설계 인스턴스의 수에 의해 알려진 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 예컨대, 레티클 상의 큰 수의 설계 인스턴스에서 검출된 결함의 그룹에는 레티클 상의 작은 수의 설계 인스턴스에서 검출된 결함의 그룹보다 높은 우선순위가 할당될 수 있다. 또한, 상기 방법은 상이한 그룹의 결함이 검출된 레티클 상의 설계 인스턴스의 수를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 그러한 우선순위화는 여기에 기술하는 바와 같이 추가로 수행될 수 있고, 그 우선순위화 결과는 여기에 기술한 바와 같이 사용될 수 있다.In some embodiments, the method includes prioritizing the one or more groups by the number of design instances on the reticle used to print design data on the wafer at which defects in the one or more groups are detected at least once. The number of design instances on the reticle used in this embodiment may be the number of design instances inspected. In this manner, the method may include prioritizing a systematic defect known by the number of design instances on the reticle where the defect is found at least once. For example, a group of defects detected in a large number of design instances on a reticle may be assigned a higher priority than a group of defects detected in a small number of design instances on a reticle. The method may also include generating a chart, such as a bar chart, indicating the number of design instances on the reticle for which different groups of defects were detected. Such charts can be displayed in a user interface that can be configured as described herein. Such prioritization can be further performed as described herein, and the result of the prioritization can be used as described herein.

다른 실시예에서, 상기 방법은 1 이상 그룹으로 비닝된 결함이 검출되는 레티클 상의 위치의 수와, 1 이상의 그룹으로 비닝된 결함의 위치에 가까운 설계 데이터의 부분에 적어도 유사한 레티클 상에 인쇄된 설계 데이터의 부분의 전체 수에 기초하여 1 이상 그룹에 대한 레티클 기반 마진을 결정하는 단계를 포함할 수 있다. 본 실시예에서 사용된 레티클 상의 위치의 수는 검사된 위치의 수를 포함할 수 있다. 예컨대, 레티클-기반 마진은 일 그룹 내의 적어도 하나의 결함이 검출된 적층 레티클 맵 내의 위치의 수를 레티클 상의 전체 설계 인스턴스로 나누어서 결정될 수 있다. 이러한 단계의 결과에 100을 곱해서, 결함이 검출된 그룹에 대응하는, 설계 인스턴스의 위치의 퍼센티지에 이를 수 있다. 일 특정 예에서, 300개의 결함의 일 그룹으로 비닝되고, 레티클 상의 그룹에 대응하는 POI에 대해 2000개의 설계 인스턴스가 존재하고, 그룹으로 비닝된 결함이 레티클 내의 50곳의 상이한 위치에서 검출되는 경우(적층 레티클 맵으로부터 결정될 수 있다), 이러한 결함 그룹에서의 레티클 기반 마진은 [(50)(100)]/(2000) 또는 2.5%일 수 있다. 또한, 상기 방법은 상이한 그룹의 결함이 검출된 위치의 퍼센티지 또는 레티클 기반 마진을 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 또한, 상기 방법은 1 이상의 그룹에 대하여 결정된 레티클 기반 마진에 기초하여 1 이상 그룹의 결함을 우선순위화하는 단계를 또한 포함할 수 있다. 예컨대, 비교적 높은 레티클 기반 마진을 나타내는 그룹에는 비교적 낮은 레티클 기반 마진을 나타내는 결함의 그룹보다 더 높은 우선순위가 할당될 수 있다. 그러한 우선순위화는 여기에 기술하는 바와 같이 추가로 수행할 수 있으며, 그러한 우선순위화의 결과는 여기에 기술하는 바와 같이 사용될 수 있다.In another embodiment, the method includes design data printed on a reticle that is at least similar to the number of locations on the reticle where defects binned into one or more groups are detected, and portions of design data close to the locations of defects binned into one or more groups. Determining a reticle based margin for the one or more groups based on the total number of portions of. The number of locations on the reticle used in this embodiment may include the number of locations examined. For example, the reticle-based margin can be determined by dividing the number of locations in the stacked reticle map where at least one defect in a group was detected by the entire design instance on the reticle. The result of this step may be multiplied by 100 to reach a percentage of the location of the design instance, corresponding to the group in which the defect was detected. In one particular example, where there are 2000 design instances for a POI corresponding to a group on 300 reticles, binned into a group of 300 defects, and defects binned into the group are detected at 50 different locations within the reticle ( Can be determined from a stacked reticle map), the reticle based margin in this group of defects can be [(50) (100)] / (2000) or 2.5%. The method may also include generating a chart, such as a bar chart, representing the percentage or reticle based margin of the location where the different group of defects were detected. Such charts can be displayed in a user interface that can be configured as described herein. The method may also include prioritizing the defects of one or more groups based on the reticle based margin determined for the one or more groups. For example, a group representing a relatively high reticle-based margin may be assigned a higher priority than a group of defects representing a relatively low reticle-based margin. Such prioritization can be further performed as described herein, and the results of such prioritization can be used as described herein.

전술한 실시예의 단계는 전술한 바와 같이 결함의 그룹 또는 그룹으로 비닝된 개별 결함에 대해서 수행할 수 있다.The steps of the foregoing embodiments can be performed for individual defects binned into groups or groups of defects as described above.

전술한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 방법 실시예의 각각은 여기에 기술한 임의의 시스템에 의해 수행될 수 있다.Each embodiment of the foregoing method may include any other step of any of the methods described herein. In addition, each of the foregoing method embodiments may be performed by any of the systems described herein.

위에서 상세히 언급한 바와 같이, 결함을 비닝하기 위한 방법 실시예는 DCI를 결정하는 단계를 포함할 수 있다. 또한, 일부 방법은 웨이퍼 상에서 검출된 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함할 수 있고, 웨이퍼 상에서 검출된 결함을 비닝하는 단계를 포함하거나 포함하지 않을 수 있다. 예컨대, 웨이퍼 상에서 검출된 결함에 대해 DCI를 결정하는 컴퓨터-구현 방법의 일 실시예는 설계 데이터 스페이스 내의 결함의 위치에 가까운 장치에 대해, 설계 데이터의 1 이상의 속성에 기초하여, 그 결함이 웨이퍼 상에서 제조되고 있는 장치의 1 이상의 전기적 속성을 변경할 확률을 결정하는 단계를 포함한다. 결함이 장치의 1 이상의 전기적 속성을 변경할 확률은, 그 결함이 장치의 1 이상의 전기적 매개변수를 변경하거나 및/또는 장치에 대한 다이를 파손할 확률일 수 있다. 설계 데이터의 1 이상의 속성은 여기에 기술한 임의의 설계 데이터 속성을 포함할 수 있다. 그 확률은 결함의 1 이상의 속성(예컨대, 결함 사이즈)와 조합하여 설계 데이터의 1 이상의 속성에 기초하여 결정할 수 있다. 또한, 그 확률은 결함의 1 이상의 속성, 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 정확도와 조합하여 설계 데이터의 1 이상의 속성에 기초하여 결정할 수 있다.As mentioned in detail above, a method embodiment for binning a defect may include determining a DCI. In addition, some methods may include determining a DCI for one or more defects detected on the wafer, and may or may not include binning the detected defects on the wafer. For example, one embodiment of a computer-implemented method of determining DCI for a defect detected on a wafer is based on an apparatus that is close to the location of a defect in a design data space, based on one or more attributes of the design data, such that the defect is on the wafer. Determining the probability of changing one or more electrical properties of the device being manufactured. The probability that a defect will change one or more electrical properties of the device may be the probability that the defect will change one or more electrical parameters of the device and / or break the die for the device. One or more attributes of the design data may include any of the design data attributes described herein. The probability may be determined based on one or more attributes of the design data in combination with one or more attributes of the defect (eg, defect size). In addition, the probability may be determined based on one or more attributes of the design data in combination with one or more attributes of the defect, the location of the defect reported by the inspection system used to detect the defect, and the coordinate accuracy of the inspection system.

일 특정 예에서, 그 확률을 결정하는 단계는 설계 데이터 내의 결함에 대해 주요 영역과 같은 설계 데이터의 1 이상의 속성을 결정하는 단계를 포함할 수 있다. 이러한 방식에서, 주요 영역, 보고된 결함 사이즈, 및 보고된 결함 위치는 그 결함이 장치의 1 이상의 전기적 속성을 변경할 확률을 결정하는데 사용될 수 있다. 예컨대, 결함 사이즈가 커지고 패턴 복잡도가 증가할수록, 결함이 장치의 1 이상의 속성을 변경할 확률 또한 커진다. 따라서, 장치의 1 이상의 전기적 속성 내에서의 손상 또는 변경 가능성을 결함 사이즈 및 패턴 복잡도의 함수로서 기술하는 관계는 각 웨이퍼 상의 각 결함의 상대적 리스크를 결정하는데 사용할 수 있다.In one particular example, determining the probability may include determining one or more attributes of the design data, such as the major area, for a defect in the design data. In this manner, the major area, reported defect size, and reported defect location can be used to determine the probability that the defect will change one or more electrical properties of the device. For example, as the defect size increases and the pattern complexity increases, the probability that the defect will change one or more attributes of the device also increases. Thus, a relationship describing the possibility of damage or alteration within one or more electrical properties of the device as a function of defect size and pattern complexity can be used to determine the relative risk of each defect on each wafer.

다른 예에서, 그 확률은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터, 설계 데이터 내의 결함의 위치의 확률, 결함이 정치의 1 이상의 전기적 속성을 변경할지를 결정하기 위해 모델에 입력되는 바와 같은 결함 사이즈를 사용하여 결정될 수 있다. 이러한 방식에서, 상기 확률은, 결함이 설계 레이아웃 내의 특정 스폿에 위치되는 경우, 장치의 1 이상의 전기적 속성을 변경할 확률이다.In another example, the probability is a defect size as entered into the model to determine whether the design data close to the location of the defect in the design data space, the probability of the location of the defect in the design data, and whether the defect will change one or more electrical properties of the stationary. Can be determined using. In this manner, the probability is the probability of changing one or more electrical properties of the device when the defect is located at a particular spot in the design layout.

상기 방법은 결함이 장치의 1 이상의 속성을 변경할 확률에 기초하여 결함에 대한 DCI를 결정하는 단계를 또한 포함한다. 예컨대, DCI는 적어도 대략적으로 확률에 상호 연관된 인덱스일 수 있다. 일 예에서, 높은 DCI는 비교적 높은 확률이 결정되는 결함에 대해 결정될 수 있다. 즉, 장치의 1 이상의 전기적 속성을 변경할 비교적 높은 확률을 갖는 결함에 대해서는 DCI가 높다. DCI는 그 DCI와 확률 사이의 관계를 기술하는 임의의 적합한 방법, 알고리즘, 데이터 구조 등, 또는 그것의 일부 조합을 사용하여 확률로부터 결정될 수 있다. 여기에 기술한 방법은, 실험적 결과(예컨대, 검사, 계측, 리뷰, 테스트, 또는 그것의 일부 조합), 시뮬레이션 결과, 실험상 데이터, 설계에 관한 정보, 이력 데이터, 또는 그것의 일부 조합을 사용하여, 그러한 방법, 알고리즘, 데이터 구조, 룰 등을 생성하는 단계를 포함할 수 있다. 또한, DCI는 임의의 적합한 포맷(수, 알파벳, 문자열 등)을 가질 수 있다. DCI는 유저가 그 DCI의 값을 용이하게 이해할 수 있는 방식으로 표현될 수 있다. 예컨대, DCI에는 1과 10 사이의 값이 할당되고, 10의 경우는 가장 높은 DCI이고, 1 은 가장 낮은 DCI이다. DCI는 여기에 기술한 1 이상의 실시예와 같은 방법 또는 시스템이 여기에 기술한 1 이상의 단계를 수행하기 위해 DCI를 사용할 수 있는 방식으로 표현될 수 있다.The method also includes determining a DCI for the defect based on the probability that the defect will change one or more attributes of the device. For example, the DCI may be an index that is at least approximately correlated to the probability. In one example, a high DCI can be determined for a defect for which a relatively high probability is determined. That is, DCI is high for defects with a relatively high probability of changing one or more electrical properties of the device. The DCI can be determined from the probability using any suitable method, algorithm, data structure, etc., or some combination thereof, describing the relationship between the DCI and the probability. The methods described herein can be performed using experimental results (eg, inspection, metrology, review, test, or some combination thereof), simulation results, experimental data, design information, historical data, or some combination thereof. Generating such methods, algorithms, data structures, rules, and the like. In addition, the DCI may have any suitable format (number, alphabet, string, etc.). The DCI can be expressed in a way that the user can easily understand the value of the DCI. For example, a DCI is assigned a value between 1 and 10, with 10 being the highest DCI and 1 being the lowest DCI. The DCI may be expressed in such a way that a method or system, such as one or more embodiments described herein, may use the DCI to perform one or more steps described herein.

상기 방법은 저장 매체 내에 DCI를 저장하는 단계를 추가로 포함한다. 또한, 그 저장 단계는 여기에 기술한 임의의 방법 실시예의 임의의 다른 결과에 부가하여 DCI를 저장하는 단계를 포함할 수 있다. DCI는 당업계에 알려진 임의의 방식으로 저장될 수 있다. 또한, 저장 매체는 여기에 기술한 임의의 저장 매체 또는 당업계에 알려진 임의의 적합한 저장 매체를 포함할 수 있다. DCI가 저장된 후에, DCI는 여기에 기술한 임의의 방법 또는 시스템에 의해 저장 매체 내에서 액세스되어 사용될 수 있다. 또한, DCI는 "영구적으로", "반-영구적으로", 또는 임의의 기간동안 일시적으로 저장될 수 있다. 또한, DCI를 저장하는 단계는 여기에 기술한 임의의 다른 방식으로 수행할 수 있다.The method further includes storing the DCI in a storage medium. In addition, the storing step may include storing the DCI in addition to any other results of any of the method embodiments described herein. DCI can be stored in any manner known in the art. In addition, the storage medium may include any storage medium described herein or any suitable storage medium known in the art. After the DCI is stored, the DCI can be accessed and used within the storage medium by any method or system described herein. In addition, DCI may be stored "permanently", "semi-permanently", or temporarily for any period of time. In addition, storing the DCI may be performed in any other manner described herein.

일 실시예에서, DCI가 결정되는 결함은 랜덤 결함을 포함한다. 다른 실시예에서, DCI가 결정된 결함은 체계적 결함을 포함한다. 이러한 방식에서, DCI는 랜덤 결함 및 체계적 결함의 양쪽에 대해서 결정될 수 있다. 결함은 여기에 추가로 기술하는 바와 같이 랜덤 결함 또는 체계적 결함으로서 결정할 수 있다. 또한, 본 방법의 실시예가 결함에 대한 DCI를 결정하는 단계를 포함하는 것으로 기술하였지만, 상기 방법은 웨이퍼 상에서 검출된 하나의 결함, 일부 결함, 또는 모든 결함에 대해 DCI를 결정하는 단계를 포함할 수 있다. 상기 방법으로 DCI가 결정된 결함은 유저에 의해 선택될 수 있다. 대안적으로, 상기 방법으로 DCI가 결정된 결함은 상기 방법에 의해 선택될 수 있다(예컨대, 결함의 1 이상의 속성, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성, 여기에 기술한 결함 및/또는 설계 데이터의 임의의 다른 정보, 또는 그것의 일부 조합에 기초하여).In one embodiment, the defect for which DCI is determined includes a random defect. In another embodiment, the defect for which DCI is determined includes a systematic defect. In this manner, DCI can be determined for both random and systematic defects. Defects can be determined as random defects or systematic defects, as further described herein. Furthermore, while an embodiment of the method has been described as including determining a DCI for a defect, the method may include determining a DCI for one defect, some defect, or all defects detected on a wafer. have. The defect whose DCI is determined in this way can be selected by the user. Alternatively, a defect whose DCI is determined in this manner may be selected by the method (eg, one or more attributes of the defect, one or more attributes of the design data close to the position of the defect in the design data space, the defect described herein). And / or based on any other information of the design data, or some combination thereof).

일부 실시예에서, 1 이상의 전기적 속성은 장치의 기능성을 포함한다. 이러한 방식에서, DCI는 결함이 장치가 고장나거나 기능하지 않게 야기할 수 있는 결함의 확률에 기초하여 결정될 수 있다. 다른 실시예에서, 장치의 1 이상의 전기적 속성은 장치의 1 이상의 전기적 매개변수를 포함한다. 이러한 방식에서, DCI는 결함이 장치의 1 이상의 전기적 매개변수를 변경할 확률에 기초하여 결정할 수 있다. 그와 같이, 그 확률은 결함이 전기적 매개변수 이슈를 야기할 수 있는 확률일 수 있다. 전기적 매개변수 이슈는 전기 테스트 내의 전기적 결함으로서 자격이 부여되지 않고, 결함이 장치의 전기 성능을 변경하고, 그 결함이 지속되는 경우 다른 웨이퍼 상에서의 시간에 걸친 전기적 결함을 야기하는 것을 개시할 수 있는 지시일 수 있다. 전기적 매개변수는 속도, 구동 전류, 신호 통합, 및 장치의 파워 분산과 같은 당업계에서 알려진 임의의 전기적 매개변수를 포함할 수 있다.In some embodiments, the one or more electrical properties include the functionality of the device. In this manner, the DCI can be determined based on the probability of a fault that can cause the device to fail or not function. In another embodiment, the one or more electrical properties of the device includes one or more electrical parameters of the device. In this manner, the DCI can be determined based on the probability that the defect will change one or more electrical parameters of the device. As such, the probability may be a probability that a defect may cause an electrical parameter issue. The electrical parameter issue is not qualified as an electrical defect in the electrical test and can initiate a defect that alters the electrical performance of the device and causes electrical defects over time on other wafers if the defect persists. It may be an instruction. The electrical parameters may include any electrical parameters known in the art such as speed, drive current, signal integration, and power dissipation of the device.

일 실시예에서, 상기 설계 데이터의 1 이상의 속성은 용장도, 네트 리스트, 또는 그것의 일부 조합을 포함한다. 다른 예에서, 설계 데이터의 1 이상의 속성은 설계 데이터 내의 특징의 치수, 설계 데이터 내의 특징의 밀도, 또는 그것의 일부 조합을 포함한다. 그러한 속성은 전술한 바와 같이 확률을 결정하는데 사용할 수 있다. 추가적인 실시예에서, 설계 데이터의 1 이상의 속성은 장치의 1 이상의 설계 층에 대한 설계 데이터의 1 이상의 속성을 포함한다. 이러한 방식에서, 확률은 결함에 대한 다중-층 콘텍스트 정보에 기초하여 결정할 수 있으며, 이는 결함이 장치를 통하여 전파됨으로써 그리고 웨이퍼 상에 형성된 장치가 통상적으로는 여러 층으로 형성되기 때문에, 1 이상의 설계 층에 영향을 미치는 경우 이롭다. 따라서, 결함은 장치의 1 이상의 층에 인쇄되는 설계 데이터를 변경할 수 있고, 임의의 층, 일부의 층, 또는 모든 층에 대한 변경은 장치의 1 이상의 속성을 변경할 수 있다. 그와 같이, 확률을 결정하기 위해 설계 데이터의 1 이상의 속성을 사용함으로써, 그 확률은 장치의 1 이상의 층에 어떻게 영향을 미치는지에 기초하여 결정될 수 있고, 이에 의해 가능하게는 상기 확률과 그것으로부터 결정된 DCI가 잠재적 매개변수 이슈를 더욱 지시하고 더욱 수율 관련적이 되게 할 수 있다.In one embodiment, the one or more attributes of the design data include redundancy, net list, or some combination thereof. In another example, the one or more attributes of the design data include the dimensions of the features in the design data, the density of the features in the design data, or some combination thereof. Such attributes can be used to determine probabilities as described above. In additional embodiments, the one or more attributes of the design data include one or more attributes of the design data for one or more design layers of the device. In this manner, the probability can be determined based on multi-layer context information about the defect, which is one or more design layers as the defect propagates through the device and because the device formed on the wafer is typically formed of multiple layers. It is beneficial if it affects. Thus, defects can change design data printed on one or more layers of the device, and changes to any layer, some layers, or all layers can change one or more attributes of the device. As such, by using one or more attributes of the design data to determine the probability, the probability can be determined based on how it affects one or more layers of the device, thereby possibly determining the probability and from it. DCI can further dictate potential parameter issues and make them more yield-related.

일부 실시예에서, 확률 결정 단계는 설계 데이터에 대한 전기 테스트 결과와, 설계 데이터의 1 이상의 속성 사이의 상관관계를 사용하여 확률을 결정하는 단계를 포함한다. 예컨대, 상기 방법은 설계 데이터의 1 이상의 속성와 전기적 테스트 결과 사이에 상관관계가 존재하는지를 결정하는 데이터 마이닝을 수행하는 단계를 포함할 수 있다. 특히, 웨이퍼 상에 인쇄된 라인 폭, 스페이싱 등과 같은 설계 데이터의 1 이상의 속성이 측정될 수 있고, 웨이퍼에 대한 전기 테스트 결과가 설계 데이터의 속성와 설계 데이터 테스트 결과 사이의 상관관계를 결정하는데 사용될 수 있다. 전기적 테스트 결과는 웨이퍼 상에 형성된 1 이상의 장치의 1 이상의 속성의 측정치를 포함할 수 있거나, 장치의 1 이상의 전기적 속성을 결정하는데 사용될 수 있다. 따라서, 그 상호연관은 설계 데이터의 1 이상의 속성와 1 이상의 전기적 속성 사이의 상호연관으로서 결정될 수 있다. 전기 테스트 결과는 당업계에 알려진 임의의 방법 또는 시스템을 사용하여 생성된 임의의 적합한 전기 테스트 결과를 사용할 수 있다. 결함은 여기에 기술한 임의의 실시예에 따라 랜덤 결함으로서 식별될 수 있다. 그러한 상호연관은 체계적 결함 및 랜덤 결함 양쪽에 대한 확률을 결정하는데 사용될 수 있다. 확률을 결정하기 위해 그러한 상관관계를 사용하는 것은 그 상관관계 및 설계 데이터 스페이스 내의 결함의 위치에 가깝게 위치한 설계 데이터의 1 이상의 속성이 확률을 비교적 신속히 결정하기 위해 사용될 수 있기 때문에 이롭다.In some embodiments, determining the probability comprises determining a probability using a correlation between electrical test results for the design data and one or more attributes of the design data. For example, the method may include performing data mining to determine whether a correlation exists between one or more attributes of the design data and the electrical test results. In particular, one or more attributes of the design data, such as line width, spacing, etc., printed on the wafer may be measured, and electrical test results for the wafer may be used to determine the correlation between the attributes of the design data and the design data test results. . The electrical test results may include measurements of one or more attributes of one or more devices formed on the wafer, or may be used to determine one or more electrical properties of the device. Thus, the correlation may be determined as an correlation between one or more attributes and one or more electrical attributes of the design data. The electrical test results may use any suitable electrical test results generated using any method or system known in the art. Defects may be identified as random defects in accordance with any of the embodiments described herein. Such correlation can be used to determine probabilities for both systematic and random defects. Using such a correlation to determine the probability is advantageous because one or more attributes of the design data located close to the correlation and the location of the defect in the design data space can be used to determine the probability relatively quickly.

다른 실시예에서, 확률을 결정하는 단계는 설계 데이터 스페이스 내의 결함의 위치의 확률, 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 정확도, 결함의 사이즈, 검사 시스템의 결함 사이즈 에러, 또는 그것의 일부 조합과 조합하여 설계 데이터의 1 이상의 속성에 기초하여 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 결함은 랜덤 결함을 포함한다. 이러한 방식에서, 결함 사이즈, 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 정확도는 랜덤 결함에 대한 DCI를 결정하는데 사용될 수 있다. 전술한 바와 같이 DCI를 결정하기 위해, 결함 사이즈, 결함 사이즈 에러, 보고된 결함 위치 및 좌표 정확도를 사용하는 것은, 랜덤 결함의 사이즈 및 위치가 비교적 예측 불가능하기 때문에 이롭다. 따라서, DCI를 결정하기 위해 그러한 정보를 사용하는 것은 DCI의 정확도를 증가시킬 수 있다.In another embodiment, determining the probabilities may include determining the probability of the location of the defect in the design data space, the location of the defect reported by the inspection system used to detect the defect, the coordinate accuracy of the inspection system, the size of the defect, the inspection system. Determining the probability based on one or more attributes of the design data in combination with a defect size error, or some combination thereof. In one such embodiment, the defect includes a random defect. In this manner, the defect size, the location of the defect reported by the inspection system, and the coordinate accuracy of the inspection system can be used to determine the DCI for the random defect. Using the defect size, defect size error, reported defect location and coordinate accuracy to determine the DCI as described above is beneficial because the size and location of the random defect are relatively unpredictable. Thus, using such information to determine the DCI may increase the accuracy of the DCI.

추가적인 실시예에서, 확률을 결정하는 단계는 결함의 1 이상의 속성와 조합하여 설계 데이터의 1 이상의 속성에 기초하여 확률을 결정하는 단계를 포함한다. 그러한 일 실시예에서, 결함은 체계적 결함을 포함한다. 이러한 방식에서, 체계적 결함 속성은 체계적 결함에 대한 DCI를 결정하는데 사용될 수 있다. 결함은 여기에 기술한 임의의 실시예에 따라 체계적 결함으로서 식별될 수 있다. 설계 데이터 내의 체계적 결함이 여기에 기술한 실시예에서 비교적 높은 정확도로 결정될 수 있기 때문에, 체계적 결함의 1 이상의 속성은 결함에 대한 DCI를 결정하는데 사용될 수 있다.In a further embodiment, determining the probability includes determining the probability based on one or more attributes of the design data in combination with one or more attributes of the defect. In one such embodiment, the defect includes a systematic defect. In this way, systematic defect attributes can be used to determine the DCI for systematic defects. Defects may be identified as systematic defects in accordance with any of the embodiments described herein. Since systematic defects in the design data can be determined with relatively high accuracy in the embodiments described herein, one or more attributes of the systematic defects can be used to determine the DCI for the defect.

일 실시예에서, DCI를 결정하는 단계는 결함에 할당된 범주와 조합하여 확률에 기초하여 결함에 대한 DCI를 결정하는 단계를 포함한다. 예컨대, DCI는 확률에 기초하여 결정될 수 있고, DCI는 그 DCI를 개선하기 위해 결함 범주에 기초하여 수정될 수 있다. 그러한 일 예에서, 결함이 브리징 결함인 것을 결함 범주가 지시하는 경우, 결함에 대한 DCI는 변경된 DCI가 원래 결정된 DCI보다는 결함에 대해서 더 높은 임계성을 지시하도록 변경될 수 있다. 다른 예에서, 그 결함이 부분적 브리징 결함인 것을 결함 범주가 지시하면, 결함에 대해 결정된 DCI는, 변경된 DCI가 원래 결정된 DCI보다 결함에 대해 더 낮은 임계성을 지시하도록 변경될 수 있다. 본 실시예에서 사용된 결함의 범주는 여기에 기술한 임의의 실시예에 따라 또는 당업계에서 알려진 결함을 분류하기 위한 임의의 다른 방법 또는 시스템을 사용하여 결정되거나 결함에 할당될 수 있다. 또한, DCI는 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과(예컨대, 결함에 대한 KP 값) 또는 임의의 다른 가용 정보(예컨대, 핫 스폿 정보)를 사용하여 수정될 수 있다.In one embodiment, determining the DCI includes determining a DCI for the defect based on the probability in combination with the category assigned to the defect. For example, the DCI may be determined based on the probability and the DCI may be modified based on the defect category to improve that DCI. In such an example, if the defect category indicates that the defect is a bridging defect, the DCI for the defect may be changed such that the changed DCI indicates a higher threshold for the defect than the originally determined DCI. In another example, if the defect category indicates that the defect is a partial bridging defect, the DCI determined for the defect may be changed such that the changed DCI indicates a lower threshold for the defect than the originally determined DCI. The category of defects used in this embodiment may be determined or assigned to the defects according to any of the embodiments described herein or using any other method or system for classifying defects known in the art. In addition, the DCI may be modified using any other result (eg, KP value for a defect) or any other available information (eg, hot spot information) of any step of any of the methods described herein.

일부 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하여 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 여기에 기술한 바와 같이 수행될 수 있는 결함 정렬에 의해 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 결함을 검출하기 위해 사용된 검사 시스템에 의해 보고된 결함의 위치, 검사 시스템의 좌표 정확도, 설계 데이터의 1 이상의 속성, 검사 시스템의 결함 사이즈 에러, 또는 그것의 일부 조합에 적어도 부분적으로 기초하여 결함의 위치에 가까운 설계 데이터를 결정하는 단계를 포함한다. 이러한 방식에서, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터는 결함의 보고된 위치와, 결함이 검사 시스템의 좌표 정확도 내에 위치할 수 있는 위치에 적어도 부분적으로 기초하여 결정될 수 있다. 결함이 위치할 수 있는 위치 너머의 설계 데이터는 유사한 방식으로 결정될 수 있다.In some embodiments, the method includes determining the location of inspection data in the design data space to determine design data close to the location of the defect in the design data space. In another embodiment, the method includes determining design data close to the location of the defect in the design data space by defect alignment, which may be performed as described herein. In a further embodiment, the method includes the location of a defect reported by the inspection system used to detect the defect, the coordinate accuracy of the inspection system, one or more attributes of the design data, a defect size error of the inspection system, or some combination thereof. And determining design data close to the location of the defect based at least in part on. In this manner, design data close to the location of the defect in the design data space may be determined based at least in part on the reported location of the defect and where the defect may be located within the coordinate accuracy of the inspection system. The design data beyond where the defect can be located can be determined in a similar manner.

일 실시예에서, 상기 방법은 결함에 대한 설계 데이터의 수율의 감지도에 기초하여 DCI를 수정하는 단계를 포함한다. 이러한 방식에서, DCI는 설계의 영역(예컨대, 셀 또는 기능 블록) 내의 수율 영향의 감지도에 기초하여 수정될 수 있다. 예컨대, 상기 방법은 여기에 기술한 바와 같이 수행될 수 있는 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함할 수 있고, 이러한 위치에 위치된 결함 및/또는 그 위치에 가까운 설계 데이터 내의 결함으로 인한 수율 감지도가 결정될 수 있다. 그러한 수율 감지도는 여기에 기술한 임의의 실시예를 사용하여 결정할 수 있다. 예컨대, 상기 방법은 1 이상의 속성이 결함에 의해 어떻게 변경될 수 있는지에 기초하여 선택될 수 있는, 설계 데이터의 1 이상의 상이한 값에 대한 설계 데이터 스페이스 내의 위치에 관한 설계 데이터를 사용하여, 제조되고 있는 장치의 전기 특성을 모델링하는 단계를 포함할 수 있다. 그러한 모델링은 여기에 기술한 바와 같이 수행될 수 있으며, 모델링된 전기적 특성은, 설계 데이터의 1 이상의 속성의 값이 변함에 따라, 수율이 어떻게 변하는지를 결정하는데 사용될 수 있으며, 이는 그 위치에 위치된 결함 및/또는 그 위치에 가까운 설계 데이터 내의 결함에 대한 설계 데이터의 수율 감지도를 결정하는데 사용할 수 있다. 이러한 방식에서, 설계 데이터 스페이스 내의 결함의 위치는 결함에 대한 설계 데이터의 수율 감지도를 결정하는데 사용될 수 있다. 결함에 대한 설계 데이터의 수율 감지도가 비교적 높으면, 결함에 대한 DCI는 수정된 DCI가 원래 결정된 DCI보다 더 높은 임계성을 지시하도록 수정될 수 있다. 유사하게, 결함에 대한 설계 데이터의 수율 감지도가 비교적 낮으면, 결함에 대한 DCI는 수정된 DCI가 원래 결정된 DCI보다 낮은 임계성을 지시하도록 수정될 수 있다.In one embodiment, the method includes modifying the DCI based on the sensitivity of the yield of design data for a defect. In this manner, the DCI can be modified based on the sensitivity of the yield impact within the area of the design (eg, cell or functional block). For example, the method may include determining a location of a defect in a design data space that may be performed as described herein, wherein the defect is located at a location and / or a defect in the design data close to that location. Yield sensitivity due to can be determined. Such yield sensitivity can be determined using any of the embodiments described herein. For example, the method may be manufactured using design data relating to a location in the design data space for one or more different values of design data, which may be selected based on how one or more attributes may be changed by the defect. Modeling electrical characteristics of the device. Such modeling can be performed as described herein, and the modeled electrical properties can be used to determine how the yield changes as the value of one or more attributes of the design data changes, which is located at that location. It can be used to determine the yield sensitivity of design data for defects and / or defects in design data close to that location. In this manner, the location of a defect in the design data space can be used to determine the yield sensitivity of the design data for the defect. If the yield sensitivity of the design data for a defect is relatively high, the DCI for the defect may be modified such that the modified DCI indicates a higher threshold than the originally determined DCI. Similarly, if the yield sensitivity of the design data for a defect is relatively low, the DCI for the defect may be modified such that the modified DCI indicates a lower threshold than the originally determined DCI.

전술한 바와 같이, DCI는 여기에 기술한 실시예에서 다수의 방식으로 사용될 수 있다. 예컨대, 일 실시예에서, 상기 방법은 결함에 대해 결정된 DCI에 기초하여 웨이퍼 상에 수행되는 프로세스를 변경하는 단계를 포함한다. 그러한 일 실시예에서, 프로세스는 계측 프로세스이거나 웨이퍼에 대한 1 이상의 측정을 포함할 수 있다. 이러한 방식에서, 상기 방법은 DCI에 적어도 부분적으로 기초하여 측정 프로세스를 채택하는 단계를 포함할 수 있다. 다른 예에서, 프로세스는 결함 리뷰 프로세스이다. 그와 같이, 상기 방법은 DCI에 적어도 부분적으로 기초하여 결함 리뷰 프로세스를 채택하는 단계를 포함할 수 있다. 전술한 바와 같이 프로세스를 변경하는 단계는 프로세스의 임의의 하나 또는 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 또한, 그러한 변경은 여기에 추가로 기술하는 바와 같이 수행할 수 있다.As mentioned above, DCI can be used in a number of ways in the embodiments described herein. For example, in one embodiment, the method includes changing the process performed on the wafer based on the DCI determined for the defect. In one such embodiment, the process may be a metrology process or may include one or more measurements on the wafer. In this manner, the method may include adopting a measurement process based at least in part on the DCI. In another example, the process is a defect review process. As such, the method may include adopting a defect review process based at least in part on the DCI. As described above, changing the process may include changing any one or more parameters of the process. In addition, such changes may be made as further described herein.

다른 실시예에서, 상기 방법은 결함에 대해 결정된 DCI에 기초하여 결함을 검출하기 위해 사용되는 프로세스를 변경하는 단계를 포함할 수 있다. 결함을 검출하는데 사용되는 프로세스를 변경하는 단계는 여기에 추가로 기술하는 바와 같은 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 또한, DCI에 기초하여 결함을 검출하는데 사용되는 프로세스를 변경하는 단계는 피드백 제어 기술을 사용하여 수행할 수 있다. 그러한 일 예에서, 결함에 대한 DCI가 그 결함이 비교적 중요한 것을 지시하는 경우, 결함을 검출하기 위해 사용된 프로세스는, DCI가 결정된 결함에 대응하는 결함이 잠재적으로 위치할 수 있는 웨이퍼 상의 1 이상의 위치가, 이들 위치를 검사하기 위해 이전에 사용된 감지도보다 더 높은 감지도로 검사될 수 있도록, 변경될 수 있다. 프로세스의 다른 매개변수가 유사한 방식으로 변경될 수 있다.In another embodiment, the method may include changing the process used to detect the defect based on the DCI determined for the defect. Changing the process used to detect the defect may include changing any one or more parameters of the process as described further herein. In addition, changing the process used to detect a defect based on the DCI can be performed using a feedback control technique. In one such example, if the DCI for a defect indicates that the defect is relatively important, the process used to detect the defect may include one or more locations on the wafer where the defect corresponding to the defect for which the DCI was determined could potentially be located. May be altered such that it can be checked with a higher sensitivity than previously used to check these positions. Other parameters of the process can be changed in a similar manner.

일부 실시예에서, 상기 방법은 결함에 대하여 결정된 DCI에 기초하여, 장치가 제조될 추가적인 웨이퍼의 검사를 위한 프로세스를 생성하는 단계를 포함한다. 이러한 방식에서, 결함이 검출되는 이전에 사용된 프로세스를 변경하는 대신에, 상기 방법은 전적으로 새로운 검사 프로세스를 생성할 수 있다. 새로운 검사 프로세스는 추가적인 웨이퍼의 임의의 1 이상의 층에 대해 생성될 수 있다. 예컨대, 프로세스는 DCI가 결정된 결함이 검출되는 층에 대해서 생성될 수 있다. 하지만, 그러한 검사 프로세스는 추가적인 웨이퍼의 1 이상의 다른 층에 대해서도 생성될 수 있다. 예컨대, 결함에 대한 DCI가 그 결함이 비교적 중요하다고 지시하는 경우, 웨이퍼 상에 후속적으로 형성되는 층을 검사하기 위한 프로세스는, DCI가 결정된 결함에 의해 야기될 수 있는 결함이 잠재적으로 위치할 수 있는 후속 형성된 층 상의 1 이상의 위치가 비교적 높은 감지도로 검사될 수 있도록, 검사 프로세스의 1 이상의 매개변수를 선택함으로써 생성될 수 있다. 프로세스의 다른 매개변수가 유사한 방식으로 선택될 수 있다. 추가적인 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계는 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In some embodiments, the method includes generating a process for inspection of an additional wafer on which the device is to be manufactured based on the DCI determined for the defect. In this way, instead of changing the previously used process in which a defect is detected, the method can create an entirely new inspection process. A new inspection process can be created for any one or more layers of additional wafers. For example, a process may be created for the layer in which a defect whose DCI is determined is detected. However, such inspection processes may be created for one or more other layers of additional wafers. For example, if the DCI for a defect indicates that the defect is relatively important, the process for inspecting a layer that is subsequently formed on the wafer may potentially locate a defect that may be caused by the defect in which the DCI was determined. It may be generated by selecting one or more parameters of the inspection process so that one or more locations on the subsequent formed layer in which they can be examined with a relatively high sensitivity. Other parameters of the process can be selected in a similar manner. Creating a process for inspecting additional wafers may be performed as described further herein.

일 실시예에서, DCI를 결정하기 위한 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템에 의해 수행된다. 이러한 방식에서, 상기 방법은 온-툴로 수행될 수 있다. 다른 실시예에서, DCI를 결정하기 위한 컴퓨터-구현 방법은 결함을 검출하기 위해 사용된 검사 시스템 이외의 다른 시스템에 의해서 수행된다. 그와 같이, 상기 방법은 오프-툴로 수행될 수 있다. 그 방법을 오프-툴로 수행하기 위해 사용된 시스템은 여기에 추가로 기술하는 바와 같이 구성될 수 있다.In one embodiment, the computer-implemented method for determining DCI is performed by an inspection system used to detect a defect. In this way, the method can be performed on-tool. In another embodiment, the computer-implemented method for determining DCI is performed by a system other than the inspection system used to detect the defect. As such, the method can be performed off-tool. The system used to perform the method off-tool may be configured as further described herein.

결함에 대한 DCI는 리뷰를 위한 결함이 선택되는 샘플링에서와 같이 여기에 기술한 실시예에서 다수의 방식으로 사용될 수 있다. 예컨대, 결함이 비닝되는 각 그룹에 대해, 그룹화된 결함의 랜덤 샘플링을 수행하는 대신에, DCI가 샘플링을 위해 사용될 수 있다. 또한, 각 결함에 대해 결정된 DCI는 어느 결함이 장치의 1 이상의 전기적 속성을 변경하는 높은 확률을 갖는지를 결정하는데 사용될 수 있고, 1 이상의 전기적 속성을 변경하는 높은 확률을 갖는 결함이 더 중점적으로 샘플링된다. DCI는 체계적 결함뿐만 아니라 랜덤 결함을 샘플링하는데 사용될 수 있다.DCI for defects can be used in a number of ways in the embodiments described herein, such as in sampling where defects for review are selected. For example, for each group of defects binned, DCI may be used for sampling instead of performing random sampling of grouped defects. In addition, the DCI determined for each defect can be used to determine which defect has a high probability of changing one or more electrical properties of the device, and defects with a high probability of changing one or more electrical properties are sampled more intensively. . DCI can be used to sample systematic defects as well as random defects.

전술한 DCI를 결정하기 위한 방법의 각 실시예는 여기에 기술한 임의의 방법의 다른 임의의 단계를 포함할 수 있다. 또한, 전술한 DCI를 결정하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each embodiment of the method for determining the aforementioned DCI may include any other step of any of the methods described herein. In addition, each embodiment of the method for determining the above-described DCI may be performed by any system embodiment described herein.

다른 실시예는 웨이퍼 상에 형성된 메모리 뱅크에 대한 MRI(memory repair index)를 결정하기 위한 컴퓨터-구현 방법에 관한 것이다. 메모리 다이는 메모리 뱅크(종종 여러 개의 메모리 뱅크)를 포함한다. 각각의 메모리 뱅크는 어레이 블록 영역(또는 미가공 영역) 및 용장 영역을 포함한다. 용장 영역은 다수의 열 및 다수의 행을 포함하고 메모리 뱅크를 수리하는데 사용된다. 메모리 뱅크에 포함되는 열 및 행의 수는 유저-규정적이다. 어레이 블록은 일반적으로 사각형 또는 직사각형 형상일 수 있다. 용장 열은 어레이 블록 영역의 일 측을 따라 형성될 수 있고, 용장 행은 다른 측을 따라 형성될 수 있다. 메모리 뱅크는 용장 열에 인접한 열 디코더와, 용장 행에 인접한 행 디코더와, 행 디코더에 인접한 센스 앰프(amp)를 또한 포함할 수 있다. 상기 방법은 용장 열 및 행, 센스 앰프, 및 각 어레이 블록 영역에 대한 디코더의 위치를 검출하는 단계를 또한 포함할 수 있다. 그러한 위치는 당업계에 알려진 임의의 방법 또는 시스템을 사용하여 결정될 수 있다.Another embodiment is directed to a computer-implemented method for determining a memory repair index (MRI) for a memory bank formed on a wafer. The memory die includes a memory bank (often several memory banks). Each memory bank includes an array block region (or raw region) and a redundant region. The redundant area includes a number of columns and a number of rows and is used to repair the memory bank. The number of columns and rows included in the memory bank is user-specific. Array blocks may generally be rectangular or rectangular in shape. The redundant column may be formed along one side of the array block region, and the redundant row may be formed along the other side. The memory bank may also include a column decoder adjacent to the redundant column, a row decoder adjacent to the redundant row, and a sense amplifier adjacent to the row decoder. The method may also include detecting positions of redundant columns and rows, sense amplifiers, and decoders for each array block region. Such location may be determined using any method or system known in the art.

상기 방법은 메모리 뱅크의 어레이 블록 영역에 위치한 결함에 기초하여 메모리 뱅크를 수리하는데 필요한 복수의 용장 열 및 복수의 용장 행을 결정하는 단계를 포함한다. 예컨대, 일부 실시예에서, 상기 방법은 어레이 블록 영역 내에 위치한 결함의 어느 것이 메모리 뱅크 내의 비트가 고장나게 야기할 수 있는지를 결정하는 단계와, 그 비트가 고장나게 야기할 수 있는 결함의 위치에 기초하여 고장날 비트의 위치를 결정하는 단계를 포함한다. 대안적으로, 상기 방법은 어레이 블록 영역 내의 어느 결함이 메모리 뱅크 내의 비트가 고장나게 야기할 수 있는지를 결정하는 단계와, 그 비트가 고장나게 야기할 수 있는 결함의 위치에 기초하여 고장날 수 있는 비트의 위치를 결정하는 단계를 포함한다. 어레이 블록 영역 내의 어느 결함이 비트가 고장나게 야기할지 또는 야기할 수 있는지를 결정하는 단계는 결함의 1 이상의 속성을 사용하여 수행될 수 있으며, 그 속성은 여기에 기술한 임의의 결함 속성, 및/또는 여기에 기술한 임의의 방법의 1 이상의 다른 단계의 결과를 포함할 수 있다. 예컨대, 가능하게는 여기에 기술한 바와 같이 결정될 수 있는 결함에 대한 DCI와 조합하여, 그리고 가능하게는 연관된 검사 및/또는 메모리 뱅크에 대한 전기 테스트 결과와 추가로 조합하여, 보고된 결함 위치, 결함을 검출하기 위해 사용된 검사 시스템의 좌표 정확도, 결함 사이즈, 검사 시스템의 결함 사이즈 부정확도는 결함이 비트 고장을 야기할지 또는 야기할 수 있는지를 결정하는데 사용할 수 있다.The method includes determining a plurality of redundant columns and a plurality of redundant rows needed to repair a memory bank based on a defect located in an array block area of the memory bank. For example, in some embodiments, the method determines which of the defects located within the array block area can cause a bit in the memory bank to fail, and based on the location of the defect that can cause the bit to fail. Determining the location of the bit to fail. Alternatively, the method may include determining which fault in the array block area may cause a bit in the memory bank to fail, and which bits may fail based on the location of the fault that may cause the bit to fail. Determining the position of the. Determining which fault in the array block area may or may cause the bit to fail may be performed using one or more attributes of the fault, which attribute may be any defect attribute described herein, and / Or the results of one or more other steps of any of the methods described herein. For example, a reported defect location, a defect, in combination with a DCI for a defect that can possibly be determined as described herein, and possibly further in combination with an electrical test result for an associated test and / or memory bank. The coordinate accuracy, defect size, and defect size inaccuracy of the inspection system used to detect the error can be used to determine whether or not the defect will cause a bit failure.

이와 같은 일 실시예에서, 메모리 뱅크를 수리하는데 필요한 용장 열의 수 및 용장 행의 수를 결정하는 단계는, 고장날 비트의 위치를 사용하여 수행된다. 이러한 단계는 고장날 수 있는 비트의 위치를 사용하여 대안적으로 수행될 수 있다. 예컨대, 개별 고장 비트는 용장 열 및 행과 일대일 기반으로 대체될 필요는 없다. 대신, 개별적인 고장 비트가 동일한 논리 열 또는 행을 따라 서로 "인접"한 경우, 전체 열 또는 행이 기용 용장 열 또는 행에 의한 대체에 대한 후보가 된다. 따라서, 고장나거나 고장날 수 있는 비트의 위치는 어느 고장 비트가 동일한 논리 열 또는 행을 따라 서로 "인접"한지를 결정하기 위해 사용될 수 있고, 메모리 뱅크를 수리하는데 필요한 용장 열 및 행의 수를 결정하는데 사용될 수 있다. 이러한 방식에서, 상기 방법은 예측적인 비트 고장 추정을 포함할 수 있고, 이는 고장난 비트에 의해 소모될 수 있는 용장도의 양을 결정 및/또는 감시하는데 사용될 수 있다.In one such embodiment, determining the number of redundant columns and redundant rows required to repair the memory bank is performed using the location of the bit to fail. This step may alternatively be performed using the location of the bits that may fail. For example, individual fault bits need not be replaced on a one-to-one basis with redundant columns and rows. Instead, if individual fault bits are "adjacent" to each other along the same logical column or row, the entire column or row becomes a candidate for replacement by the redundant column or row. Thus, the location of the faulty or faulty bits can be used to determine which faulty bits are "adjacent" to each other along the same logical column or row, and to determine the number of redundant columns and rows needed to repair the memory bank. Can be used. In this manner, the method may include predictive bit failure estimation, which may be used to determine and / or monitor the amount of redundancy that may be consumed by a failed bit.

또한, 2 개의 메모리 비트가 레이아웃 내에서 서로 물리적으로 인접할 수 있을지라도, 그것들은 상이한 논리 열 또는 행에 속할 수 있다. 즉, 물리적 인접성은 논리적 또는 전기적 인접성과 연관되지 않을 수 있다. 예컨대, 논리 열(1)이 256 비트를 포함하는 경우, 256 비트는 뱅크 또는 세그먼트의 물리적 레이아웃 내에서 서로 접할 필요는 없다. 그와 같이, 물리적(또는 토폴로지(topology)) 어드레스는, 각 장치에 대하여 상이할 수 있는 매핑 기능을 통하여 논리(또는 전기적) 어드레스로 변환될 수 있다. 그러한 매핑은 당업계에 알려진 임의의 적합한 방법 또는 시스템을 사용하여 수행될 수 있다. 예컨대, KLA-Tencor사로부터 상업적으로 입수가능한 클레리티 비트맵(Klarity Bitmap)은 토폴리지-전기적(topological-to-electrical) 매핑을 생성하는 그래픽적 또는 용이한 방식을 제공한다. 따라서, 본 방법에서 그러한 매핑 기능을 사용하는 것은 메모리 뱅크의 수선가능성을 반영하는 MRI의 결정을 허용할 수 있다.Also, although two memory bits may be physically adjacent to each other in the layout, they may belong to different logical columns or rows. That is, physical proximity may not be associated with logical or electrical proximity. For example, if logical column 1 contains 256 bits, the 256 bits do not need to touch each other within the physical layout of the bank or segment. As such, the physical (or topology) address may be translated into a logical (or electrical) address through a mapping function that may be different for each device. Such mapping can be performed using any suitable method or system known in the art. For example, Klarity Bitmap, commercially available from KLA-Tencor, provides a graphical or easy way to generate topological-to-electrical mapping. Thus, using such a mapping function in the present method may allow the determination of the MRI to reflect the repairability of the memory bank.

어레이 블록 영역 내에 위치한 결함은 메모리 뱅크의 위치로부터 식별될 수 있다. 예컨대, 검사는 어레이 블록 영역 및 용장 영역(또는 전체 메모리 뱅크에 걸쳐) 양쪽에서의 결함을 검출할 수 있고, 그 결함은 결함의 위치에 기초하여 어레이 블록 영역 내의 결함과 용장 영역 내의 결함으로 분리될 수 있으며, 이는 여기에 기술한 임의의 실시예에 따라 결정될 수 있다. 결함을 어레이 블록 영역, 용장 영역, 디코더 영역, 및 센스 앰프 영역으로 분리하는 것은, 그러한 분리가 비-수선가능한 결함으로부터 수선 가능한 결함을 분리하는데 사용될 수 있기 때문에, 검사 결과에 대한 향상된 값을 제공한다. 또한, 미가공, 용장도, 디코더, 및 센스 앰프 영역 내의 결함으로의 결함의 분리는 룰 기반 또는 영역 기반될 수 있다.Defects located within the array block area can be identified from the location of the memory bank. For example, the inspection can detect defects in both the array block area and the redundant area (or across the entire memory bank), which defects can be separated into defects in the array block area and defects in the redundant area based on the location of the defect. This may be determined in accordance with any of the embodiments described herein. Separating defects into array block regions, redundant regions, decoder regions, and sense amplifier regions provides improved values for inspection results because such separation can be used to separate repairable defects from non-repairable defects. . In addition, separation of defects into defects in the raw, redundant, decoder, and sense amplifier regions can be rule based or region based.

상기 방법은 메모리 뱅크를 수선하는데 필요한 용장 열의 수를 메모리 뱅크에 대한 가용 용장 열의 수에 비교하는 단계를 포함한다. 또한, 상기 방법은 메모리 뱅크를 수선하는데 필요한 용장 행의 수를 메모리 뱅크에 대한 가용 용장 행에 비교하는 단계를 포함한다. 일부 실시예에서, 용장 열의 수를 비교하는 단계는 메모리 다이의 각 뱅크에 대해 독립적으로 수행되고, 용장 행의 수를 비교하는 단계는 메모리 다이의 각 뱅크에 대해 독립적으로 수행된다. 용장 열의 수를 비교하는 단계 및 용장 행의 수를 비교하는 단계는 임의의 적합한 방식으로 수행할 수 있다.The method includes comparing the number of redundant rows needed to repair the memory banks to the number of available redundant columns for the memory banks. The method also includes comparing the number of redundant rows required to repair the memory banks to the available redundant rows for the memory banks. In some embodiments, comparing the number of redundant columns is performed independently for each bank of memory dies, and comparing the number of redundant rows is performed independently for each bank of memory dies. Comparing the number of redundant columns and comparing the number of redundant rows can be performed in any suitable manner.

다른 실시예에서, 상기 방법은 메모리 뱅크의 용장 열 및 용장 행 내에 위치한 결함에 기초하여 기용 용장 행의 양 및 가용 용장 열의 향을 결정하는 단계를 포함한다. 용장 열 및 행 내에 위치한 결함은 전술한 바와 같이 식별될 수 있다. 용장도가 충분히 결함적인 경우 메모리 뱅크 고장이 발생할 수 있기 때문에, 가용 용장도의 양을 전술한 바와 같이 결정하는 단계가 이로울 수 있다. 또한, 용장도가 공간적으로 결함적인 경우, 메모리 뱅크의 수리를 위해 이용가능한 용장도의 양은 감소하고, 고장 수가 비-결함적인 용장도를 초과하는 경우, 메모리 뱅크는 수리 불가능하다. 전수한 바와 같이, 각 뱅크가 자기 자신의 용장 열 및 행 세트를 갖고, 각 뱅크 내의 고장 비트는 동일한 뱅크 내의 가용 용장 열 또는 행에 의해서만 대체될 수 있기 때문에, 가용 용장도의 양은 다이 내의 개별 메모리 뱅크에 대하여 결정될 수 있다.In another embodiment, the method includes determining the amount of reserved redundant rows and aromas of the available redundant columns based on defects located within the redundant rows and redundant rows of the memory bank. Defects located within redundant columns and rows can be identified as described above. Since a memory bank failure may occur if the redundancy is sufficiently defective, it may be beneficial to determine the amount of available redundancy as described above. In addition, when the redundancy is spatially defective, the amount of redundancy available for repair of the memory bank is reduced, and when the number of failures exceeds the non-defective redundancy, the memory bank is not repairable. As noted, the amount of available redundancy is determined by the individual memory banks within the die, because each bank has its own set of redundant columns and rows, and the fault bits in each bank can only be replaced by the available redundant columns or rows in the same bank. Can be determined for.

가용 용장도의 양은 용장 영역 내에 위치한 결함 및 용장 영역에 위치한 결함의 1 이상의 속성에 기초하여 결정할 수 있다. 이 단계에 사용된 1 이상의 속성은 여기에 기술한 1 이상의 속성을 포함할 수 있다. 가용 용장도의 결정 단계는 여기에 기술한 임의의 방법의 임의의 단계의 임의의 결과를 사용하여 또한(또는 대안적으로) 수행될 수 있다. 예컨대, 용장 영역 내의 결함의 보고된 결함 사이즈, 결함을 검출하기 위해 사용된 검사 시스템의 좌표 정확도, 및 결함에 할당된 범주가, 결함이 용장 영역 내의 고장을 야기할 수 있는지를 판정하기 위해 사용될 수 있으며, 이는 가용 용장도의 양을 결정하기 위해 사용될 수 있다.The amount of available redundancy can be determined based on one or more attributes of a defect located in the redundant area and a defect located in the redundant area. One or more attributes used in this step may include one or more attributes described herein. Determining the available redundancy can also be performed (or alternatively) using any result of any of the steps of any of the methods described herein. For example, the reported defect size of a defect in the redundant area, the coordinate accuracy of the inspection system used to detect the defect, and the category assigned to the defect can be used to determine if the defect can cause a failure in the redundant area. It can be used to determine the amount of available redundancy.

상기 방법은 용장 열의 수를 비교하는 단계 및 용장 행의 수를 비교하는 단계의 결과에 기초하여 메모리 뱅크에 대한 MRI를 결정하는 단계를 더 포함한다. MRI는 메모리 뱅크가 수리가능한지를 지시한다. 예컨대, 고장 비트를 수리하는데 필요한 용장 열 및/또는 행의 수가 가용 용장 열 및/또는 행의 수보다 큰 경우, 메모리 뱅크는 수리 불가능하고, 다이는 수리 불가능하다. MRI는 비교한 비교에 기초하여 결정될 수 있으며, 메모리 뱅크가 수리 가능한지의 여부를 지시하는 값이 할당될 수 있다. 예컨대, 메모리 뱅크가 수리 가능한 경우, MRI에는 제 1 값이 할당될 수 있고, 메모리 뱅크가 수리 불가능한 경우, MRI에는 제 2 값이 할당될 수 있다. MRI에 대한 상이한 값은 임의의 적합한 포맷으로 표현될 수 있다(예컨대, 그 값이 유저에 의해 용이하게 이해되고, 및/또는 그 값이 여기에 기술한 방법 실시예에 의해 사용될 수 있도록). 적합한 포맷은 수, 알파벳, 문자열 등을 비한정적으로 포함할 수 있다.The method further includes determining an MRI for the memory bank based on the results of comparing the number of redundant columns and comparing the number of redundant rows. The MRI indicates whether the memory bank is repairable. For example, if the number of redundant columns and / or rows required to repair the fault bit is greater than the number of redundant redundant columns and / or rows, the memory bank is not repairable and the die is not repairable. The MRI may be determined based on the compared comparison and may be assigned a value indicating whether the memory bank is repairable. For example, if the memory bank is repairable, the MRI may be assigned a first value, and if the memory bank is not repairable, the MRI may be assigned a second value. Different values for the MRI may be represented in any suitable format (eg, such values are readily understood by the user and / or the values may be used by the method embodiments described herein). Suitable formats can include, but are not limited to numbers, alphabets, strings, and the like.

상기 방법은 저장 매체 내에 MRI를 저장하는 단계를 또한 포함한다. 저장 단계는 여기에 기술한 임의의 방법 실시예의 임의의 다른 결과에 부가하여 MRI를 저장하는 단계를 포함할 수 있다. 또한, 저장 매체는 여기에 기술한 임의의 저장 매체, 또는 당업계에 공지된 임의의 다른 적합한 저장 매체를 포함할 수 있다. MRI가 저장된 후, MRI는 여기에 기술한 임의의 방법 또는 시스템 실시예에 의해 저장 매체 내에서 액세스 되어 사용될 수 있다. 또한, MRI는 "영구적으로", "반-영구적으로", 또는 임의의 기간 동안 일시적으로 저장될 수 있다. 또한(또는 대안적으로) MRI를 저장하는 단계는 여기에 기술하는 바와 같이 수행될 수 있다.The method also includes storing the MRI in a storage medium. The storing step may include storing the MRI in addition to any other results of any of the method embodiments described herein. In addition, the storage medium may include any storage medium described herein, or any other suitable storage medium known in the art. After the MRI is stored, the MRI can be accessed and used within the storage medium by any of the method or system embodiments described herein. In addition, the MRI may be stored "permanently", "semi-permanently", or temporarily for any period of time. Also (or alternatively) storing the MRI may be performed as described herein.

따라서, 전수한 방법 실시예는 MRI를 사용하여 메모리 손실의 초기 검출을 위해 사용될 수 있으며, 이는 다수의 이유에 있어서 유리하고, 다수의 방식으로 사용될 수 있다. 예컨대, 일 실시예에서, 상기 방법은 다이 내에 형성된 1 이상의 메모리 뱅크에 대해 MRI를 결정하는 단계와, 1 이상의 메모리 뱅크에 대하여 MRI에 기초하여 다이에 대한 수리 수율을 예측하는 단계를 포함한다. 다이의 각각의 뱅크 또는 세그먼트가 수리에 이용할 수 있는 대응하는 세트의 용장 열 및 행을 갖기 때문에, 다이 내의 메모리 뱅크에 대해 결정된 MRI에 기초하여 다이에 대한 수리 수율을 예측하는 단계는 이롭다. 특정 뱅크 또는 세그먼트 내의 고장 비트만이 가용적인 대응하는 용장 열 또는 행에 의해 대체될 수 있다. 따라서, 다이 내의 다른 뱅크가 가용 용장도를 갖는 동안에, 하나의 뱅크에 대해 용장도를 "런 아웃"하는 것이 가능하다. 이 경우, 적어도 하나의 뱅크 또는 세그먼트가 수리 불가능하기 때문에, 다이는 더 이상 완전히 수리 가능하지 않다. 그와 같이, 다이 내의 메모리 뱅크에 대한 MRI에 기초하여, 상기 방법은 다이 상에서 수행되는 수리 프로세스의 수율을 결정할 수 있다. 또한, 다이가 수리 가능한지를 지시하는 MRI는 다이 내의 메모리 뱅크에 대하여 결정된 MRI에 기초하여 다이에 대하여 결정될 수 있다. 예컨대, 메모리 뱅크에 대한 MRI가 임의의 메모리 뱅크가 수리 불가능한 것을 지시하는 경우, MRI는 메모리 다이가 수리 불가능한 것을 지시하는 값이 되도록 결정될 수 있다.Thus, a handful of method embodiments can be used for the initial detection of memory loss using MRI, which is beneficial for a number of reasons and can be used in a number of ways. For example, in one embodiment, the method includes determining an MRI for one or more memory banks formed in the die and predicting a repair yield for the die based on the MRI for the one or more memory banks. Since each bank or segment of the die has a corresponding set of redundant columns and rows available for repair, estimating repair yield for the die is advantageous based on the MRI determined for the memory banks within the die. Only fault bits in a particular bank or segment may be replaced by the corresponding redundant redundant column or row. Thus, it is possible to "run out" redundancy for one bank while another bank in the die has available redundancy. In this case, since at least one bank or segment is not repairable, the die is no longer fully repairable. As such, based on the MRI for memory banks in the die, the method may determine the yield of a repair process performed on the die. In addition, an MRI indicating whether the die is repairable may be determined for the die based on the MRI determined for the memory bank in the die. For example, if the MRI for a memory bank indicates that any memory bank is not repairable, the MRI may be determined to be a value indicating that the memory die is not repairable.

다른 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각각의 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수율을 결정하는 단계를 포함한다. 이들 단계는 전술한 바와 같이 수행할 수 있다. 상기 방법의 이러한 실시예는 다이-다이 메모리 수율을 결정하는데 사용될 수 있다. 또한, 1 이상의 다이에 대한 메모리 수율은 웨이퍼에 대한 메모리 수율을 결정하는데 사용될 수 있다.In another embodiment, the method includes determining an MRI for each memory bank in at least one die on a wafer, and determining a memory yield for at least one die based on the MRI for each memory bank. do. These steps can be performed as described above. This embodiment of the method can be used to determine die-die memory yield. In addition, memory yield for one or more dies may be used to determine memory yield for a wafer.

다른 실시예에서, 상기 방법은 전체 수율 예측을 결정하기 위해서 메모리 수율 예측과, 메모리 밖의 수율 외측을 결합하는 단계를 포함한다.In another embodiment, the method includes combining the memory yield prediction with the out of memory yield to determine the overall yield prediction.

추가의 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 1 이상의 메모리 수율에 적어도 부분적으로 기초하여 웨이퍼 배치를 수행하는 단계를 포함한다. 예컨대, 여기에 기술한 방법은 웨이퍼의 인-라인 배치를 수행하는데 사용될 수 있고, 이에 의해 더 낳은(예컨대, 더 효과적인) WIP 계획 및 제조비의 감소를 허용한다. 예컨대, 웨이퍼에 대해 수리가 수행되어야 하는지, 웨이퍼가 재작성되어야 하는지, 웨이퍼가 스크랩되어야 하는지 등을 결정하기 위해서, 일부 소정의 임계치 이하의 메모리 수율을 갖는 다이의 수가 결정되어 사용될 수 있다. 그러한 예에서, 소정의 임계치 이하의 메모리 수율을 갖는 다이의 수는 다른 소정의 임계치외 비교될 수 있고, 양쪽의 임계치는 수리가 웨이퍼에 대해 수행되어야 하는지를 결정하기 위해서 필요한 최소 웨이퍼 기반 수율을 나타내도록 선택될 수 있다. 예컨대, 임계치는 웨이퍼의 추정 값이 웨이퍼를 완성하는 비용을 초과하지 않는 최소 메모리 수율에 대응하도록 선택된다(예컨대, 유저에 의해 또는 여기에 기술한 1 이상의 실시예에 의해). 다른 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 메모리 수율에 기초하여 웨이퍼에 대한 메모리 수율을 결정하는 단계를 포함할 수 있다. 따라서, 메모리 수리 프로세스가 웨이퍼 상의 1 이상의 다이에 대해 수행되는 경우, 메모리 수율은 그 메모리 수리 프로세스 이후의 수율일 수 있다. 웨이퍼에 대한 메모리 수율은 전술한 바와 같이 웨이퍼를 배치하는데 사용될 수 있다. 예컨대, 메모리 수리 프로세스 이후의 웨이퍼의 값은 메모리 수율에 적어도 부분적으로 기초하여 결정될 수 있고, 그 값은 웨이퍼가 스크랩되어야 하는지를 결정하기 위해 웨이퍼를 완성하는 비용에 비교될 수 있다.In a further embodiment, the method includes performing a wafer placement based at least in part on one or more memory yields for one or more die on the wafer. For example, the methods described herein can be used to perform in-line placement of wafers, thereby allowing for better (eg, more effective) WIP planning and reduction of manufacturing costs. For example, the number of dies having a memory yield below some predetermined threshold may be determined and used to determine whether repair should be performed on the wafer, whether the wafer should be rewritten, the wafer should be scraped, and the like. In such an example, the number of dies having a memory yield below a predetermined threshold can be compared to another predetermined threshold, with both thresholds representing the minimum wafer based yield required to determine whether repair should be performed on the wafer. Can be selected. For example, the threshold is selected such that the estimated value of the wafer corresponds to a minimum memory yield that does not exceed the cost of completing the wafer (eg, by the user or by one or more embodiments described herein). In another embodiment, the method may include determining a memory yield for the wafer based on the memory yield for one or more dies on the wafer. Thus, if a memory repair process is performed for one or more dies on a wafer, the memory yield may be the yield after that memory repair process. Memory yield for the wafer may be used to place the wafer as described above. For example, the value of the wafer after the memory repair process can be determined based at least in part on the memory yield, and the value can be compared to the cost of completing the wafer to determine if the wafer should be scrapped.

일 실시예에서, 용장 열의 수를 비교하는 단계는 메모리 뱅크를 수리하는데 필요한 용장 열의 분율을 결정하는 단계를 포함하고, 용장 행의 수를 비교하는 단계는 메모리 뱅크를 수리하는데 필요한 용장 행의 분율을 결정하는 단계를 포함하며, 메모리 뱅크에 대한 MRI를 결정하는 단계는 용장 열의 분율 및 용장 행의 분율에 기초하여 MRI를 결정하는 단계를 포함한다.In one embodiment, comparing the number of redundant rows includes determining a fraction of redundant rows needed to repair the memory banks, and comparing the number of redundant rows comprises determining the fraction of redundant rows required to repair the memory banks. Determining the MRI for the memory bank, and determining the MRI based on the fraction of redundant columns and the fraction of redundant rows.

전술한 바와 같은 분율에 기초하여 MRI를 결정하는 단계를 포함하는 방법은 여기에 기술한 임의의 다른 단계를 포함할 수 있다. 예컨대, 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수율을 결정하는 단계를 포함한다. 본 실시예의 단계는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 다른 예에서, 그러한 다른 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 각각의 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 메모리 수율을 결정하는 단계와, 각각의 1 이상의 다이에 대한 메모리 수율에 기초하여 웨이퍼에 대한 메모리 수율을 결정하는 단계를 포함한다. 본 실시예의 단계는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 이러한 방식에서, 상기 방법은 웨이퍼 대 웨이퍼 기반으로 메모리 수율을 예측하기 위해 MRI를 사용하는 단계를 포함할 수 있다. 유사한 방식에서, MRI는 웨이퍼 상의 각각의 다이에 대하여 결정될 수 있으며, 각 다이에 대한 MRI는 웨이퍼-기반 메모리 수율을 결정하기 위해 사용될 수 있다. 예컨대, 웨이퍼-기반 메모리 수율은 웨이퍼 상의 각 다이에 대한 MRI의 총합을 웨이퍼 상의 다이의 수로 나누어서 메모리에 대한 양호하거나 수리가능한 웨이퍼 상의 다이의 분율을 결정할 수 있다. 양호하거나 수리가능한 웨이퍼 상의 다이의 분율은 가능하게는 이력 수율 또는 성공률과 같은 수리 프로세스에 대한 정보와 조합하여 사용될 수 있다.The method comprising determining the MRI based on the fraction as described above may include any of the other steps described herein. For example, in one such embodiment, the method includes determining an MRI for each memory bank in at least one die on a wafer, and determining a memory yield for at least one die based on the MRI for each memory bank. Include. The steps of this embodiment may be performed as further described herein. In another example, in such another embodiment, the method includes determining an MRI for each memory bank in at least one die on a wafer, and determining memory yield for at least one die based on the MRI for each memory bank. Determining and determining the memory yield for the wafer based on the memory yield for each one or more dies. The steps of this embodiment may be performed as further described herein. In this manner, the method may include using MRI to predict memory yield on a wafer to wafer basis. In a similar manner, MRI can be determined for each die on a wafer, and MRI for each die can be used to determine wafer-based memory yield. For example, wafer-based memory yield can be divided by the sum of the MRI for each die on the wafer by the number of die on the wafer to determine the fraction of die on the wafer that is good or repairable for the memory. The fraction of die on a good or repairable wafer can possibly be used in combination with information about the repair process, such as historical yield or success rate.

일부 실시예에서, MRI는 메모리 뱅크가 수리될 수 없는 확률을 또한 지시할 수 있다. 이러한 방식에서, MRI는 메모리 뱅크가 수리가능한지의 여부 및 그 메모리 뱅크가 어떻게 수리 불가능한지를 지시할 수 있다. 메모리 뱅크가 수리 불가능한 확률은, 전술한 바와 같이 수행될 수 있는, 가용 용장 열의 수를 수리에 필요한 용장 열의 수에 비교하는 단계와, 가용 용장 행의 수를 수리에 필요한 용장 열의 수에 비교하는 단계에 기초하여, 가능하게는 결함의 1 이상의 속성, 메모리 설계의 1 이상의 속성, 및 수리 프로세스의 1 이상의 속성와 조합하여 수행될 수 있다. 그러한 속성은 예컨대, 확률이 결정되고 있는 메모리 뱅크에 대하여 설계가 적어도 유사한 다른 메모리 뱅크에서 수행된 수리 프로세스의 이력 성공률을 포함할 수 있다. MRI는 두 개의 값으로, 즉, 메모리 뱅크가 수리 가능하지의 여부를 지시하는 하나와, 그 메모리 뱅크가 수리 불가능한 확률을 지시하는 다른 하나로 표현될 수 있다. 대안적으로, MRI는 메모리 뱅크가 수리 가능한지와, 그 메모리 뱅크가 수리 불가능한지를 지시하는 단일 값으로 표현될 수 있다. 상기 두 가지의 값과 단일 값은 여기에 기술한 임의의 포맷으로 표현될 수 있다. 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 1 이상의 다이 내의 각각의 메모리 뱅크에 대한 MRI에 기초하여 1 이상의 다이에 대한 MRI를 결정하는 단계를 포함한다. 이들 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 그러한 일 실시예에서, 1 이상의 다이에 대한 MRI는 1 이상의 다이가 수리 불가능할 수 있는 확률을 지시한다(각각의 메모리 뱅크에 대한 MRI가 그 메모리 뱅크가 수리 불가능할 수 있는 확률을 지시하고, 다이의 수리 가능성이 여기에 추가로 기술하는 바와 같이 메모리 뱅크의 수리 가능성에 관련되기 때문에). 그러한 일 실시예에서, 상기 방법은 웨이퍼 상의 1 이상의 다이에 대한 MRI의 임계에 기초하여 웨이퍼 기반 메모리 수율을 결정하는 단계를 포함한다. 웨이퍼 기반 메모리 수율 예측은 전술한 바와 같이 수행될 수 있지만, 웨이퍼의 그 수율은 전술한 바와 같은 수리 프로세스의 수율은 아닐 수 있다.In some embodiments, the MRI may also indicate the probability that the memory bank cannot be repaired. In this manner, the MRI may indicate whether a memory bank is repairable and how that memory bank is not repairable. The probability that a memory bank is unrepairable is determined by comparing the number of available redundant columns to the number of redundant columns required for repair and the number of available redundant rows to repair, which may be performed as described above. Based on one or more attributes of the defect, one or more attributes of the memory design, and possibly one or more attributes of the repair process. Such an attribute may include, for example, the historical success rate of a repair process performed in another memory bank whose design is at least similar for the memory bank whose probability is being determined. The MRI can be expressed in two values: one indicating whether or not the memory bank is repairable and the other indicating the probability that the memory bank is not repairable. Alternatively, the MRI may be represented by a single value that indicates whether the memory bank is repairable and whether the memory bank is repairable. The two values and the single value can be expressed in any of the formats described herein. In one such embodiment, the method includes determining an MRI for each memory bank in at least one die on a wafer, and determining an MRI for at least one die based on the MRI for each memory bank in at least one die. It includes a step. These steps can be performed as described herein. In one such embodiment, the MRI for one or more dies indicates the probability that one or more dies may not be repairable (the MRI for each memory bank indicates the probability that the memory banks may not be repairable, and the repair of the dies). Since the possibility relates to the repairability of the memory bank as described further here). In one such embodiment, the method includes determining wafer based memory yield based on a threshold of MRI for one or more dies on a wafer. Wafer based memory yield prediction may be performed as described above, but the yield of the wafer may not be the yield of a repair process as described above.

일부 실시예에서, 상기 방법은 메모리 뱅크의 디코더 영역 내에 위치한 1 이상의 결함, 메모리 뱅크의 앰프 영역 내에 위치한 1 이상의 결함, 또는 그것의 일부 조합에 기초하여 메모리 뱅크 내의 수리 불가능한 결함을 식별하는 단계를 포함한다. 예컨대, 메모리 뱅크의 검사는 메모리 뱅크 내의 모든 영역(로직 주변, 디코더 영역, 및 센스 앰프 영역을 포함하여) 내의 결함을 검출하기 위해 수행될 수 있으며, 여기에 기술한 임의의 실시예에 따라 결정될 수 있는 메모리 뱅크 내의 결함의 위치는 메모리 뱅크의 어느 영역에 각각 또는 1 이상의 결함이 위치되는지를 결정하는데 사용될 수 있다. 메모리 뱅크 내의 수리 불가능한 결함의 수는, 디코더 영역 및 센스 앰프 영역 내에서 검출되고 위치하는 결함의 수에 적어도 부분적으로 결정될 수 있다. 상기 방법은 메모리 뱅크 내의 수리 불가능한 결함에 적어도 부분적으로 기초하여 메모리 수율을 추정하는 단계를 또한 포함할 수 있으며, 이는 수리 불가능한 결함이 다이를 손상시킬 수 있기 때문에 이롭다.In some embodiments, the method includes identifying an uncorrectable defect in a memory bank based on one or more defects located within a decoder region of a memory bank, one or more defects located within an amplifier region of a memory bank, or some combination thereof. do. For example, inspection of the memory bank may be performed to detect defects in all regions (including logic periphery, decoder region, and sense amplifier region) within the memory bank, and may be determined according to any of the embodiments described herein. The location of a defect in a memory bank in which it is located may be used to determine in which region of the memory bank each or more than one defect is located. The number of non-repairable defects in the memory bank may be determined at least in part by the number of defects detected and located in the decoder region and the sense amplifier region. The method may also include estimating a memory yield based at least in part on an unrepairable defect in the memory bank, which is advantageous because the unrepairable defect can damage the die.

일 실시예에서, 상기 방법은 피드 포워드 제어 기술을 사용하여 MRI에 기초하여 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 메모리 뱅크가 수리 불가능한 경우, 메모리 뱅크가 위치하는 다이가 전기 테스트 프로세스 동안에 테스트되지 않도록, 피드 포워드 기술을 사용하여 MRI에 기초하여 전기 테스트 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 예컨대, 메모리 테스트는 비교적 긴 시간이 걸린다. 따라서, 전술한 바와 같이 결정될 수 있는, 메모리 뱅크 또는 메모리 다이가 수리 불가능하다는 예측에 기초하여, 그 정보는 영향을 받은 수리 불가능한 다이가 메모리 테스트 동안에 스킵되도록, 프로브(probe) 또는 다른 메모리 테스트 시스템에 공급될 수 있다. 이러한 방식에서, 테스트량이 감소할 수 있고, 이에 의해 메모리 테스트의 비용을 저감한다. 또한, 메모리 테스트는 오픈(open)/쇼트(short) 테스트, 기능 테스트, 및 전기 매개변수 테스트를 포함할 수 있다. 어느 다이가 수리될 수 있는지를 결정하기 위하여 여기에 기술한 방법을 사용하여 그러한 테스트가 제거될 수 있는 경우, 메모리 테스트 프로세스는 훨씬 짧은 기간 내에 수행할 수 있다. 대안적으로, 전기 테스트 프로세스는 수리될 수 없는 다이 상의 추가의 FA에 대해 더욱 관련적인 테스트 데이터를 수집하기 위해 변경될 수 있고, 그 테스트는 각종의 가능한 오류 메커니즘의 예측된 영향에 기초하여 특정 위치에 집중될 수 있다. 또한, 메모리 수리는 퓨즈(fuse)를 블로우(blow) 하기 위한 레이저 또는 전기적 수단을 포함할 수 있고, 이에 의해 디코더를 용장 열 및/또는 행에 재-라우팅(routing)한다. 메모리 테스트는 수리를 입증하고, 응력 테스트와 같은 추가의 테스트를 실행하기 위해 메모리 수리 이후에 수행할 수 있다. 따라서, 여기에 기술한 바와 같이 어느 다이가 수리될 수 있는지를 결정함으로써, 메모리 수리 및 추가의 메모리 테스트는 수리가능한 다이에만 수행될 수 있고, 따라서 시간이 훨씬 단축된다.In one embodiment, the method includes changing one or more parameters of the electrical test process based on MRI using a feed forward control technique. In another embodiment, the method uses feed forward technology to change one or more parameters of the electrical test process based on MRI such that if the memory bank is unrepairable, the die on which the memory bank is located is not tested during the electrical test process. It includes a step. For example, the memory test takes a relatively long time. Thus, based on the prediction that the memory bank or memory die is unrepairable, which can be determined as described above, the information is sent to a probe or other memory test system such that the affected unrepairable die is skipped during the memory test. Can be supplied. In this way, the test amount can be reduced, thereby reducing the cost of the memory test. Memory tests may also include open / short tests, functional tests, and electrical parameter tests. If such a test can be eliminated using the method described herein to determine which die can be repaired, the memory test process can be performed in a much shorter period of time. Alternatively, the electrical test process can be modified to collect more relevant test data for additional FAs on the die that cannot be repaired, and the test can be based on specific locations based on the predicted impact of various possible failure mechanisms. Can be focused on. In addition, memory repair may include laser or electrical means to blow a fuse, thereby re-routing the decoder to redundant columns and / or rows. The memory test can be performed after the memory repair to verify the repair and run additional tests such as stress tests. Thus, by determining which die can be repaired as described herein, memory repair and additional memory tests can only be performed on the repairable die, thus saving much time.

일부 실시예에서, 상기 방법은 메모리 뱅크의 어레이 블록 내에 위치한 결함의 1 이상의 속성, MRI, 또는 그것의 일부 조합에 기초하여 수리 프로세스의 1 이상의 매개변수를 변경하는 단계를 포함한다. 예컨대, 수리 불가능하다고 판정된 메모리를 포함하는 메모리 다이에 대해서는 수리가 시도되지 않도록, 메모리 수리 프로세스가 변경될 수 있다. 또한, 메모리 수리 프로세스는 수리가 성공적일 확률을 증가시키도록 변경될 수 있다. 본 실시예에서 변경된 수리 프로세스의 1 이상의 매개변수는 수리 프로세스의 임의의 매개변수를 포함할 수 있다.In some embodiments, the method includes changing one or more parameters of the repair process based on one or more attributes of the defect, MRI, or some combination thereof located within the array block of the memory bank. For example, the memory repair process may be modified such that repair is not attempted for a memory die that includes memory determined to be unrepairable. In addition, the memory repair process can be modified to increase the probability that the repair will be successful. In one embodiment, one or more parameters of the repair process modified may include any of the parameters of the repair process.

일부 실시예에서, 결함은 메모리 뱅크의 게이트 층에서 검출된 결함을 포함한다. 다른 실시예에서, 결함은 메모리 뱅크의 금속 층에서 검출된 결함을 포함한다. 예컨대, 메모리 제조시, 검사는 게이트 층 및 금속 층에서 수행될 수 있다. 여기에 기술한 방법은 1 이상의 이들 층에서 검출된 결함에 대해서 수행될 수 있다. 또한, 대부분의 메모리 제조가 게이트 및 금속 층에서의 검사를 포함하고, 게이트 및 금속 층에서 생성된 검사 결과는 수율을 예측하는데 충분할지라도, 검사는 비트 수리를 위해 커패시터 층에서 또한 수행될 수 있다. 따라서, 게이트, 금속, 및 커패시터에서 생성된 검사 결과는 수율을 예측하는데 역시 사용될 수 있다. 또한, 여기에 기술한 실시예는 커패시터 층에서 검출되는 결함에 대해서 수행될 수 있다.In some embodiments, the defect includes a defect detected in the gate layer of the memory bank. In another embodiment, the defect includes a defect detected in the metal layer of the memory bank. For example, in memory fabrication, the inspection can be performed on the gate layer and the metal layer. The method described herein can be performed on defects detected in one or more of these layers. In addition, although most memory fabrication involves inspection in the gate and metal layers, and inspection results generated in the gate and metal layers are sufficient to predict yield, the inspection can also be performed in the capacitor layer for bit repair. Thus, the test results generated at the gates, metals, and capacitors can also be used to predict the yield. In addition, the embodiments described herein may be performed for defects detected in the capacitor layer.

일 실시예에서, 상기 방법은 메모리 뱅크 내의 결함의 위치에 기초하여 결함의 비트 오류 모드를 예측하는 단계를 포함한다. 이러한 방식에서, 결함의 위치는 비트 오류 모드를 예측하기 위해 사용될 수 있다. 그러한 정보는 메모리 뱅크를 수리하기 위해 필요한 용장도 양을 결정하는데 유효할 수 있다. 예컨대, 메모리 뱅크의 n-MOS 영역 내의 결함은 센스 앰프 오류를 야기할 수 있고, 이에 의해 n-MOS 영역 내의 결함보다 더 많은 용장도를 소모한다. 결함에 가까운 설계 데이터의 1 이상의 속성 및/또는 결함의 1 이상의 속성(예컨대, 사이즈)는 비트 오류 모드의 예측을 향상하기 위해 사용될 수 있다. 수리를 위해 필요한 용장도의 예측을 지원하는 것에 부가하여, 오류 모드의 예측은 비트 오류를 야기하는 결함의 신속하거나 더 낳은 식별을 가져올 것이다. 모든 예측은 DOI가 식별되고 리뷰되게 허용할 수 있고, 이는 테스트에서 비트 오류가 탐색되지 않은 경우 FA없이는 불가능하다. 장치의 잠재적 오류에 책임이 있는 결함을 식별 및 리뷰하는 것이 가능하며, 잠재적인 오류율을 줄이기 위해 가용 용장도를 사용할 수 있다. 이러한 방식에서, 결함은 메모리의 영역(예컨대, 센스 앰프)으로 매핑될 수 있고, 결함 및/또는 설계 속성은 비트 오류 모드를 예측하기 위한 룰과 조합하여 사용될 수 있다In one embodiment, the method includes predicting the bit error mode of the defect based on the location of the defect in the memory bank. In this way, the location of the defect can be used to predict the bit error mode. Such information may be valid for determining the amount of redundancy needed to repair the memory bank. For example, a defect in the n-MOS region of the memory bank can cause a sense amplifier error, thereby consuming more redundancy than a defect in the n-MOS region. One or more attributes of the design data close to the defect and / or one or more attributes (eg, size) of the defect may be used to improve prediction of the bit error mode. In addition to supporting the prediction of redundancy needed for repair, the prediction of error mode will result in a faster or better identification of the fault causing the bit error. All predictions can allow the DOI to be identified and reviewed, which would be impossible without FA if no bit error was detected in the test. It is possible to identify and review the defects responsible for the potential failure of the device and use available redundancy to reduce the potential error rate. In this manner, defects may be mapped to regions of memory (eg, sense amplifiers), and defects and / or design attributes may be used in combination with rules for predicting bit error modes.

일부 실시예에서, 상기 방법은 MRI에 기초하여, 메모리 뱅크 내의 가용 용장 행의 양, 가용 용장 열의 양, 또는 그것의 일부 조합이 메모리 뱅크 설계자에 의해 평가되여야 하는지를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은, 용장 영역 내에 더 많은 열 또는 행을 부가하는 단계가 특정 메모리 뱅크에서 반드시 수행되어야 하는지를 설계자에게 제안하기 위해서 "용장도 분석"을 수행하는 단계를 포함할 수 있다. 여기에 기술한 상기 방법은 다이의 설계에 관한 피드백을 제공하는데 특히 이로운데, 이는 여기에 기술한 방법이 치명적 웨이퍼의 초기 검출에 사용될 수 있고, 신속한 수율 학습을 허용할 수 있기 때문이다.In some embodiments, the method includes determining, based on the MRI, whether the amount of available redundant rows in the memory bank, the amount of available redundant columns, or some combination thereof is to be evaluated by the memory bank designer. In this manner, the method may include performing a "redundancy analysis" to suggest to the designer whether adding more columns or rows in the redundant area must be performed in a particular memory bank. The method described herein is particularly beneficial for providing feedback regarding the design of the die, since the method described herein can be used for early detection of critical wafers and allow for rapid yield learning.

다른 실시예에서, 상기 방법은 어레이 블록 영역 내에 위치한 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 1 이상의 결함에 대한 DCI는 여기에 기술하는 바와 같이 결정할 수 있다. 그러한 일 실시예에서, 메모리 뱅크의 수리를 위해 필요한 용장 열의 수를 결정하는 단계와, 용장 행의 수를 결정하는 단계는 1 이상의 결함에 대한 DCI를 사용하여 수행된다. 다른 실시예에서, 메모리 뱅크의 수리를 위해 필요한 용장 행의 수를 결정하는 단계와, 용장 열의 수를 결정하는 단계는, 메모리 뱅크의 어레이 블록 영역 내에 위치한 각각의 결함에 대한 DCI를 결정하는 단계와, 그 DCI를 소정의 임계치와 비교하는 단계와, 소정의 임계치 이상의 DCI를 갖는 모든 결함을 수리하는데 필요한 용장 열의 수 및 용장 행의 수를 결정하는 단계를 포함한다. 예컨대, DCI는 어레이 블록 영역 내에 위치한 모든 결함에 대해 결정될 수 있다. DCI는 여기에 추가로 기술하는 바와 같이 어레이 블록 내에 위치한 결함에 대해 수행할 수 있다. 또한, 상기 방법은 DCI를 사용하여 결함에 의해 야기된 열 또는 행 오류 수를 예측하는 단계를 포함할 수 있다. 예컨대, 유저 규정될 수 있는 소정의 값보다 큰 DCI를 갖는 결함의 수가 용장 영역 내의 열 또는 행의 수보다 큰 경우, MRI(본 예에서 가용 용장 열 또는 행에 대한 수리에 필요한 용장 열 또는 행의 비율로 규정된)는 1보다 큰 것으로 판정될 수 있다(고장). 대조적으로, 유저 규정될 수 있으며 제 1 소정 값과 상이할 수 있는 제 2 소정 값보다 작은 DCI를 갖는 결함의 수가, 용장 영역 내의 열 또는 행의 수보다 작으면, MRI는 1보다 작은 것으로 판정된다(통과, 아마도 일부 수리와 함께). 또한, 상기 방법은 임계치 이상의 DCI를 갖는 모든 결함이 수리를 필요로 하는 경우 메모리 뱅크를 수리하는데 필요할 수 있는 가용 용장 열 및/또는 행의 최대 카운트 또는 퍼센트를 결정하는 단계를 포함할 수 있다.In another embodiment, the method includes determining a DCI for one or more defects located within the array block area. DCI for one or more defects can be determined as described herein. In one such embodiment, determining the number of redundant columns required for repair of the memory bank and determining the number of redundant rows are performed using DCI for one or more defects. In another embodiment, determining the number of redundant rows required for repair of the memory bank, and determining the number of redundant columns, determining the DCI for each defect located within the array block area of the memory bank; Comparing the DCI with a predetermined threshold and determining the number of redundant columns and redundant rows required to repair all defects having a DCI above the predetermined threshold. For example, DCI may be determined for all defects located within the array block area. DCI may perform on defects located within the array block as described further herein. The method may also include predicting the number of column or row errors caused by the defect using DCI. For example, if the number of defects with a DCI greater than a predetermined value that can be user specified is greater than the number of columns or rows in the redundant area, the MRI (in this example, Defined as a ratio) can be determined to be greater than one (failure). In contrast, if the number of defects having a DCI smaller than the second predetermined value that can be user defined and different from the first predetermined value is less than the number of columns or rows in the redundant area, the MRI is determined to be less than one. (Passed, perhaps with some repairs). The method may also include determining a maximum count or percentage of available redundant columns and / or rows that may be needed to repair the memory bank if all defects with a DCI above a threshold require repair.

개별 결함의 실제 수율 영향이 그 결함에 의해 야기된 패턴 오류, 결함의 위치(예컨대, 층의 상부, 층 내에 매립, 등), 결함 사이즈와 같은 1 이상의 속성 등에 따라 변할 수 있기 때문에, 다이 내의 메모리가 수리 가능한지를 결정하기 위해 DCI를 사용하는 것이 이롭다. DCI는 여기에 기술하는 바와 같이 결함 내의 그러한 변동에 기초하여 결정될 수 있고, 이에 의해 상이한 결함이 실제 수율에 어떻게 영향을 미치는지를 반영한다. 또한, 체계적 결함은 더 많은 실제 수율 영향을 가지므로, 여기에 기술한 방법은 메모리 뱅크 내에서 검출된 어느 결함이 체계적 결함인지를 결정하는 단계와, 체계적 결함의 임계성에 기초하여 여기에 기술한 바와 같이 MRI를 결정하는 단계를 포함할 수 있다. 체계적 결함은 여기에 기술한 임의의 실시예에 따라 식별될 수 있다.Since the actual yield impact of an individual defect may vary depending on the pattern error caused by the defect, the location of the defect (e.g., the top of the layer, buried in the layer, etc.), one or more attributes such as the defect size, etc. It is beneficial to use DCI to determine if it is repairable. DCI can be determined based on such variations in defects as described herein, thereby reflecting how different defects affect the actual yield. In addition, since systematic defects have more actual yield impact, the method described herein includes determining which defects detected within a memory bank are systematic defects, and based on the criticality of the systematic defects as described herein. As well as determining the MRI. Systematic defects may be identified in accordance with any of the embodiments described herein.

일부 실시예에서, 상기 방법은 메모리 뱅크의 어레이 블록 영역 내에 위치한 결함으로 인한 메모리 뱅크의 오류에 대한 MRI를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 메모리 뱅크의 비-용장 영역 내에서 검출된 결함으로 인한 세그먼트 오류에 대한 인덱스(index)를 결정하는 단계를 포함할 수 있다. 유사한 방식에서, 상기 방법은 메모리 뱅크의 용장 영역 내에서 검출된 결함으로 인한 세그먼트 오류에 대한 인덱스를 결정하는 단계를 포함할 수 있다.In some embodiments, the method includes determining an MRI for an error in the memory bank due to a defect located within the array block area of the memory bank. In this manner, the method may include determining an index for segment errors due to defects detected within the non-redundant region of the memory bank. In a similar manner, the method may include determining an index for segment error due to a defect detected within a redundant area of the memory bank.

다른 실시예에서, 상기 방법은 메모리 뱅크의 용장 열 및 용장 행에 위치한 결함으로 인한 메모리 뱅크의 오류에 대한 MRI를 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 논리 열 및/또는 행 오류에 대한 인덱스를 결정하는 단계를 포함할 수 있다. 그러한 인덱스는 전술한 바와 같은 테스트 프로세스의 1 이상의 매개변수를 변경하는데 사용될 수 있다.In another embodiment, the method includes determining an MRI for an error in the memory bank due to a defect located in the redundant column and redundant row of the memory bank. In this manner, the method may include determining an index for logical columns and / or row errors. Such an index can be used to change one or more parameters of the test process as described above.

일부 실시예에서, 상기 방법은 메모리 뱅크 내에서 검출된 결함 사이의 공간적 상관관계를 나타내는 유사 메모리 뱅크 설계의 적층 맵을 생성하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 공간적 상관관계를 나타내는 적층 맵을 생성하는 단계를 포함할 수 있다. 그러한 적층 맵은 당업계에 알려진 임의의 적합한 방식으로 생성할 수 있다.In some embodiments, the method includes generating a stacked map of the pseudo memory bank design indicative of the spatial correlation between defects detected within the memory bank. In this manner, the method may include generating a stacked map representing spatial correlation. Such a stacked map can be generated in any suitable manner known in the art.

일 실시예에서, 상기 방법은 다이 기반으로 MRI를 결정하는 단계를 포함한다. 유사한 방식에서, 상기 방법은 웨이퍼 기판 및/또는 로트 기반으로 MRI를 결정하는 단계를 포함할 수 있다. 다이 기반, 웨이퍼 기반, 및/또는 로트 기반으로 MRI를 결정하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다.In one embodiment, the method includes determining an MRI on a die basis. In a similar manner, the method may include determining an MRI based on a wafer substrate and / or lot. Determining the MRI on a die basis, wafer basis, and / or lot basis may be performed as described herein.

다른 실시예에서, 상기 방법은 웨이퍼 상의 다이가 어레이 블록 영역에 위치한 결함으로 인하여 고장이 날지를 지시하는 인덱스 또는 메모리 수율 예측을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 나쁜 메모리 뱅크로 인하여 다이가 고장이 날 인덱스 또는 확률을 결정하는 단계를 포함할 수 있다. 이러한 인덱스는 여기에 추가로 기술하는 바와 같이 결정될 수 있다.In another embodiment, the method includes determining an index or memory yield prediction that indicates whether a die on the wafer will fail due to a defect located in an array block area. In this manner, the method may include determining an index or probability that the die will fail due to a bad memory bank. This index can be determined as described further herein.

추가적인 실시예에서, 상기 방법은 웨이퍼 상의 다이 내의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 수리 불가능한 것으로 MRI에 의해 지시된 2 이상의 메모리 뱅크 사이의 공간적 상관관계를 나타내는 다이의 적층 맵을 생성하는 단계를 포함한다. 다이 내의 메모리 뱅크에 대하여 MRI를 결정하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 또한, 적층 맵은 당업계에 알려진 임의의 적합한 방식으로 생성될 수 있다.In a further embodiment, the method includes determining an MRI for a memory bank in a die on a wafer, and generating a stacked map of the die representing a spatial correlation between two or more memory banks indicated by the MRI as non-repairable. It includes. Determining the MRI for a memory bank in the die may be performed as described herein. In addition, the stacked map can be generated in any suitable manner known in the art.

추가의 실시예에서, 상기 방법은 웨이퍼 상의 다이 내의 메모리 뱅크에 대한 MRI를 결정하는 단계와, 수리 불가능한 것으로 MIR에 의해 지시된 2 이상의 메모리 뱅크 사이의 공간적 상관관계를 나타내는 웨이퍼 상의 메모리 뱅크를 형성하는데 사용된 레티클의 적층 맵을 생성하는 단계를 포함한다. 또한, 적층 맵은 당업계에 알려진 임의의 적합한 방식으로 생성될 수 있다.In a further embodiment, the method includes determining an MRI for a memory bank in a die on the wafer and forming a memory bank on the wafer that exhibits a spatial correlation between two or more memory banks indicated by the MIR as non-repairable. Generating a stack map of used reticles. In addition, the stacked map can be generated in any suitable manner known in the art.

일부 실시예에서, 상기 방법은 다이 내에서 검출된 결함에 의해 영향을 받은 다이의 메모리 뱅크를 식별하는 단계와, 메모리 뱅크에 대한 결함의 영향에 기초하여 메모리 뱅크의 등급을 매기는 단계를 포함한다. 이러한 방식에서, 상기 방법은 영향 받은 메모리 뱅크 리스트의 등급을 매기는 단계를 포함할 수 있다. 메모리 뱅크에 대한 결함의 영향은 여기에 기술한 임의의 정보(예컨대, 결함의 1 이상의 속성, 메모리 뱅크에 대한 설계 데이터의 1 이상의 속성 등)에 기초하여 결정될 수 있다. 메모리 뱅크의 등급을 매기기 위해 사용된, 메모리 뱅크에 대한 결함의 영향은 메모리 뱅크에 대하여 결함이 미치는 임의의 영향(예컨대, 임의의 역 효과)를 포함할 수 있다. 메모리 뱅크는 결함에 의해 가장 영향을 받은 메모리 뱅크에 최고 등급이 할당되고, 결함에 의해 가장 적게 영향을 받은 메모리 뱅크에는 최저 등급이 할당되는 방식으로 등급이 매겨진다. 메모리 뱅크의 그러한 등급은 예컨대, 다이 내의 메모리 뱅크의 위치와, 결함이 메모리 뱅크에 영향을 미치는 정도 사이의 관계를 결정하는데 사용될 수 있다. 또한, 그러한 관계는 결함의 적어도 일부의 원인을 예측하는데 사용될 수 있으며, 이는 추가적인 웨이퍼 상에서의 결함을 저감하고, 및/또는 메모리 뱅크에 대한 영향이 작은 결함을 감소시키기 이전에(예컨대, 전술한 1 이상의 변경 단계를 사용하여), 먼저 메모리 뱅크에 대해 영향이 가장 큰 결함의 수를 줄이는데(예컨대, 결함의 검출 이전에 메모리 뱅크에 수행된 프로세스를 변경하는 것과 같이 여기에 기술한 1 이상의 변경 단계를 사용하여) 사용될 수 있다.In some embodiments, the method includes identifying a memory bank of a die affected by a defect detected in the die, and ranking the memory bank based on the impact of the defect on the memory bank. . In this manner, the method may include ranking the affected memory bank list. The impact of a defect on a memory bank can be determined based on any of the information described herein (eg, one or more attributes of the defect, one or more attributes of the design data for the memory bank, etc.). The effect of the defect on the memory bank, used to rank the memory bank, may include any effect that the defect has on the memory bank (eg, any adverse effect). Memory banks are ranked in such a way that the highest rank is assigned to the memory bank most affected by the fault and the lowest rank is assigned to the memory bank least affected by the fault. Such a class of memory bank can be used, for example, to determine the relationship between the location of the memory bank within the die and the extent to which the defect affects the memory bank. In addition, such a relationship can be used to predict the cause of at least some of the defects, which can be used to reduce defects on additional wafers and / or reduce defects with less impact on the memory bank (e.g., 1 above). By using the above change steps, one can first reduce the number of defects that have the most impact on the memory bank (e.g., change the process performed on the memory bank prior to detection of the fault). Can be used).

다른 실시예에서, 상기 방법은 메모리 뱅크의 수리 불가능한 영역 내의 결함에 의해 영향받은 웨이퍼 상에 형성된 메모리 뱅크의 퍼센티지를 결정하는 단계를 포함한다. 메모리 뱅크의 수리 불가능한 영역 내의 결함에 의해 영향받은 메모리 뱅크는 여기에 기술하는 바와 같이 결정할 수 있다. 퍼센티지는 그러한 메모리 뱅크의 수와, 웨이퍼 상에 형성된 전체 메모리의 수에 기초하여 결정할 수 있다. 또한, 상기 방법은 가능한 용장도 오류에 의해 영향받은 및/또는 수리 불가능한 오류에 의해 영향받은 다이의 퍼센트를 결정하는 단계를 포함할 수 있다. 가능한 용장도 오류 및 수리 불가능한 오류는 여기에 기술하는 바와 같이 식별할 수 있다. 또한, 가능한 용장도 오류 및/또는 수리 불가능한 오류에 의해 영향받은 다이는 여기에 기술한 바와 같이 식별될 수 있다. 영향받은 다이의 수 및 웨이퍼상에 형성된 다이의 전체 수는 가능한 용장도 오류 및/또는 수리 불가능한 오류에 의해 영향받은 다이의 퍼센트를 결정하는데 사용할 수 있다.In another embodiment, the method includes determining a percentage of the memory bank formed on the wafer affected by a defect in the non-repairable area of the memory bank. The memory banks affected by the defects in the unrepairable areas of the memory banks can be determined as described herein. The percentage can be determined based on the number of such memory banks and the total number of memories formed on the wafer. The method may also include determining the percentage of die affected by possible redundancy errors and / or affected by unrepairable errors. Possible redundancy errors and uncorrectable errors can be identified as described herein. In addition, die affected by possible redundancy errors and / or unrepairable errors may be identified as described herein. The number of dies affected and the total number of dies formed on the wafer can be used to determine the percentage of dies affected by possible redundancy errors and / or unrepairable errors.

일부 실시예에서, 상기 방법은 가능한 오류 사이의 공간적 상관관계를 나타내는 웨이퍼 상에 형성된 메모리 뱅크 내의 가능한 오류의 적층 웨이퍼 맵을 생성하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 비닝된 익섹스(공간적 상관관계에 대한) 또는 가능한 오류의 적층 웨이퍼 맵을 생성하는 단계를 포함할 수 있다. 가능한 오류는 여기에 기술하는 바와 같이 식별될 수 있고, 적층 웨이퍼 맵은 임의의 적합한 방식으로 생성할 수 있다. 적층 맵은 컬러 코딩 확률 빈(color coding probability bin)과 같은 방법에 의해 다이가 메모리 오류를 가질 확률을 대안적으로 표시 또는 오버레이할 수 있다.In some embodiments, the method includes generating a stacked wafer map of possible errors in a memory bank formed on a wafer that exhibits a spatial correlation between possible errors. In this manner, the method may include generating a stacked wafer map of binned exsex (for spatial correlation) or possible errors. Possible errors can be identified as described herein, and the stacked wafer map can be generated in any suitable manner. The stacked map may alternatively indicate or overlay the probability that the die will have a memory error by a method such as a color coding probability bin.

다른 실시예에서, 상기 방법은 웨이퍼 상에 형성된 1 이상의 다이에 대한 MRI를 결정하는 단계와, 그 MRI에 기초하여 1 이상의 다이의 등급을 매기는 단계를 포함한다. 이러한 방식에서, 상기 방법은 웨이퍼 상의 영향받은 다이의 등급이 매겨진 리스트를 생성하는 단계를 포함할 수 있다. 1 이상의 다이에 대한 MRI는 여기에 기술한 바와 같이 결정할 수 있다. 또한, 그 MRI에 기초하여 1 이상의 다이의 등급을 매기는 단계는 여기에 기술한 바와 같이 수행할 수 있으며, 그러한 등급은 여기에 기술한 바와 같이 사용할 수 있다.In another embodiment, the method includes determining an MRI for at least one die formed on the wafer and ranking the at least one die based on the MRI. In this manner, the method may include generating a ranked list of affected die on the wafer. MRI for one or more dies can be determined as described herein. In addition, grading one or more dies based on the MRI may be performed as described herein, and such ratings may be used as described herein.

전술한 MRI를 결정하는 방법은 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 MRI를 결정하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.The method of determining the MRI described above may include any other step of any of the methods described herein. In addition, each embodiment of the method for determining the MRI described above may be performed by any of the system embodiments described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함을 비닝하는 다른 방법에 관한 것이다. 이러한 방법은 설계 데이터 내의 결함의 위치를 설계 데이터의 핫 스폿의 위치와 비교하는 단계를 포함한다. 적어도 유사한 설계 데이터에 가깝게 위치한 핫 스폿은 서로 상호 연관지어진다. 핫 스폿은 임의의 다른 방법 또는 시스템에 의해 상호 연관지어진다. 대안적으로, 핫 스폿은 상기 방법의 일 실시예에 의해 서로 상호 연관지어질 수 있다. 예컨대, 일 실시예에서, 상기 방법은 체계적 결함과 연관된 설계 데이터 내의 POI의 위치를 식별하여 핫 스폿을 상호 연관짓는 단계와, 설계 데이터 내의 유사한 패턴을 갖는 POI를 상호 연관짓는 단계와, 상호 연관지어진 핫 스폿의 위치로서 설계 데이터 내의 유사한 패턴의 위치와 POI의 위치를 상호 연관짓는 단계를 포함한다. 그러한 일 실시예에서, 체계적 결함은 리스트, 데이터베이스, 또는 설계 데이터에 대한 체계적 결함의 파일과 같은 데이터 구조에 포함될 수 있으며, 이는 다른 방법 또는 시스템에 의해 생성될 수 있다. 그러한 다른 실시예에서, 상기 방법은 체계적 결함을 식별하는 단계 및/또는 체계적 결함에 대한 설계 데이터 내의 POI를 결정하는 단계를 포함한다. 예컨대, 체계적 결함은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분에 기초하여 웨이퍼 상에서 검출된 결함을 비닝하여 식별될 수 있으며, 이는 전술한 바와 같이 수행될 수 있다. POI는 비닝된 결함의 그룹에 대응하는 설계 데이터 부분 내의 패턴을 추출함으로써 결정될 수 있으며, 핫 스폿은 설계 백그라운드 그룹화를 사용하여 서로 상호 연관지어질 수 있으며, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 또한, 핫 스폿은 핫 스폿을 비닝하여 서로 상호 연관지어질 수 있고, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 핫 스폿을 서로 연관짓는 단계는 온-툴로 수행될 수 있다. 상호 연관지어진 핫 스폿의 위치는 "핫 스폿 리스트" 또는 임의의 다른 적합한 데이터 구조에 저장될 수 있으며, 그 데이터 구조는 어느 핫 스폿이 서로 연관지어진 지의 일부 지시, 리스트 내의 핫 스폿에 대한 아이덴티티, 및 리스트 내의 핫 스폿의 위치를 포함한다. 이러한 리스트는 비닝 방법에서 본질적으로 기준 데이터로서 사용될 수 있다.Another embodiment relates to another method of binning detected defects on a wafer. This method includes comparing a location of a defect in the design data with a location of a hot spot of the design data. Hot spots located at least close to similar design data are correlated with each other. Hot spots are correlated by any other method or system. Alternatively, hot spots may be correlated with one another by one embodiment of the method. For example, in one embodiment, the method includes correlating hot spots by identifying locations of POIs in design data associated with systematic defects, and correlating POIs with similar patterns in design data. Correlating the position of the POI with the position of a similar pattern in the design data as the position of the hot spot. In one such embodiment, systematic defects may be included in a data structure such as a list, a database, or a file of systematic defects for design data, which may be generated by other methods or systems. In another such embodiment, the method includes identifying a systematic defect and / or determining a POI in the design data for the systematic defect. For example, systematic defects may be identified by binning the detected defects on the wafer based on the portion of the design data close to the position of the defect in the design data space, which may be performed as described above. POIs can be determined by extracting a pattern in a portion of the design data corresponding to a group of binned defects, and hot spots can be correlated with each other using design background grouping, which will be performed as further described herein. Can be. In addition, hot spots may be correlated with one another by binning the hot spots, which may be performed as further described herein. Correlating the hot spots together may be performed on-tool. The location of the correlated hot spots can be stored in a "hot spot list" or any other suitable data structure, where the data structure provides some indication of which hot spots are associated with each other, the identity of the hot spots in the list, and Contains the location of the hot spot in the list. This list can be used essentially as reference data in the binning method.

상기 방법은 적어도 유사한 위치를 갖는 핫 스폿과 결함을 관련시키는 단계를 또한 포함한다. 특히, 설계 데이터 스페이스 내의 적어도 유사한 위치를 갖는 핫 스폿 및 결함은 전술한 비교 단계의 결과에 기초하여 결정될 수 있다. 설계 데이터 스페이스 내의 위치를 갖는 핫 스폿 및 결함은 서로 임의의 적합한 방식으로 관련된다. 또한, 상기 방법은 각 그룹 내의 결함이 서로 연관지어진 핫 스폿과만 관련되도록 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 결함의 각 그룹은 상호 연관지어진 그룹에 대응할 수 있다.The method also includes associating the defect with a hot spot having at least a similar location. In particular, hot spots and defects having at least similar locations in the design data space can be determined based on the results of the foregoing comparison step. Hot spots and defects with locations in the design data space are related to each other in any suitable manner. The method also includes binning the defects into groups such that the defects in each group are only associated with hot spots associated with each other. In this way, each group of defects may correspond to a correlated group.

상기 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 더 포함한다. 그 저장 단계는 여기에 기술한 임의의 방법 실시예의 임의의 다른 결과에 부가하여 그 비닝 단계의 결과를 저장하는 단계를 포함할 수 있다. 비닝 단계의 결과는 당업계에 알려진 임의의 방식으로 저장될 수 있다. 또한, 저장 매체는 여기에 기술한 임의의 저장 매체 또는 당업계에 알려진 임의의 다른 적합한 매체를 포함할 수 있다. 비닝 단계의 결과가 저장된 후, 비닝 단계의 결과는 여기에 기술한 바와 같은 임의의 방법 또는 시스템에 의해 액세스 및 사용될 수 있다. 또한, 비닝 단계의 결과는 "영구적으로", "반-영구적으로", 또는 임의의 기간동안 일시적으로 저장될 수 있다. 비닝 단계의 결과를 저장하는 단계는 여기에 기술한 임의의 다른 실시예에 따라 추가로 수행될 수 있다.The method further includes storing the result of the binning step on a storage medium. The storing step may include storing the results of the binning step in addition to any other results of any of the method embodiments described herein. The result of the binning step can be stored in any manner known in the art. In addition, the storage medium may include any storage medium described herein or any other suitable medium known in the art. After the results of the binning step have been stored, the results of the binning step can be accessed and used by any method or system as described herein. In addition, the results of the binning step may be stored "permanently", "semi-permanently", or temporarily for any period of time. Storing the results of the binning step may be further performed in accordance with any other embodiment described herein.

일 실시예에서, 상기 방법은 DBC를 1 이상의 그룹에 할당하는 단계를 포함한다. DBC를 1 이상의 그룹에 할당하는 단계는 여기에 기술한 임의의 실시예에 따라 수행할 수 있다. 다른 실시예에서, 상기 방법은 1 이상의 결함에 대한 DCI를 결정하는 단계를 포함한다. 본 실시예에서 1 이상의 결함에 대한 DCI를 결정하는 단계는 여기에 기술한 임의의 실시예에 따라서 수행할 수 있다.In one embodiment, the method includes assigning a DBC to at least one group. Assigning a DBC to one or more groups may be performed according to any of the embodiments described herein. In another embodiment, the method includes determining a DCI for one or more defects. Determining the DCI for one or more defects in this embodiment may be performed according to any of the embodiments described herein.

다른 실시예에서, 컴퓨터-구현 방법은 웨이퍼 상의 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 이러한 방식에서, 컴퓨터-구현 방법은 온-툴로 수행될 수 있다. 또한, 상기 방법은 핫 스폿 관리를 온-툴로 수행하는 단계를 포함할 수 있다. 핫 스폿 관리는 예컨대, 핫 스폿 탐색, 핫 스폿 감시, 핫 스폿 리비젼(revision), 또는 그것의 일부 조합을 포함할 수 있고, 그것의 각각은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 예컨대, 일부 실시예에서, 핫 스폿은 웨이퍼 상의 결함을 검출하는데 사용된 검사 시스템에 의해 식별된다. 이러한 방식에서, 핫 스폿은 온-툴로 식별 또는 탐색될 수 있다. 핫 스폿의 그러한 식별 또는 탐색은 여기에 기술하는 바와 같이 수행될 수 있다(예컨대, 웨이퍼 상에서 검출된 결함의 설계 백그라운드 기반 그룹화를 수행함으로써).In another embodiment, the computer-implemented method is performed by an inspection system used to detect defects on the wafer. In this manner, computer-implemented methods can be performed on-tool. The method may also include performing hot spot management on-tool. Hot spot management may include, for example, hot spot search, hot spot monitoring, hot spot revision, or some combination thereof, each of which may be performed as further described herein. For example, in some embodiments, hot spots are identified by the inspection system used to detect defects on the wafer. In this manner, hot spots can be identified or searched on-tool. Such identification or searching of hot spots may be performed as described herein (eg, by performing design background based grouping of detected defects on the wafer).

다른 실시예에서, 상기 방법은 설계 데이터가 인쇄되는 1 이상의 웨이퍼의 검사 결과를 사용하여 핫 스폿을 감시하는 단계를 포함한다. 검사 결과에 기초하여 핫 스폿을 감시하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 핫 스폿의 그러한 감시는 온-툴로 수행될 수 있다. 핫 스폿의 감시는 전술한 검사 결과, 여기에 기술한 1 이상의 비닝 방법의 결과, 여기에 기술하는 바와 같이 수행할 수 있는, 1 이상의 DBC를 1 이상의 결함에 할당하는 결과, 여기에 기술한 임의의 방법의 임의의 다른 결과, 또는 그것의 일부 조합을 사용하여 또한(또는 대안적으로) 수행될 수 있다.In another embodiment, the method includes monitoring hot spots using inspection results of one or more wafers on which design data is printed. Monitoring the hot spots based on the test results may be performed as described herein. Such monitoring of hot spots can be performed on-tool. Monitoring of hot spots may be performed as a result of the above-described inspection, as a result of one or more binning methods described herein, or as a result of assigning one or more DBCs to one or more defects, which may be performed as described herein, It may also be performed (or alternatively) using any other result of the method, or some combination thereof.

다른 실시예에서, 상기 방법은 핫 스폿 사이의 상관관계에 기초하여 웨이퍼를 검사하는 단계를 포함한다. 예컨대, 상호 연관지어진 핫 스폿의 상이한 그룹에 대응하는 웨이퍼 상의 위치는 상이하게 검사할 수 있다. 핫 스폿 사이의 상관관계에 기초한 웨이퍼 검사는 상호 연관지어진 핫 스폿의 그룹에 대응하는 설계 데이터의 1 이상의 속성 및 상관관계에 기초하여 또한 수행할 수 있다. 예컨대, 결함에 대한 특히 높은 수율 감지도를 갖는 설계 데이터에 대응하는 상호 연관지어진 핫 스폿의 그룹의 위치는 통상적인 것보다 높은 감지도로 검사될 웨이퍼 상의 위치를 결정하는데 사용할 수 있다. 본 실시예에 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 1 이상의 설계 데이터 속성을 포함할 수 있다. 또한, 검사 프로세스의 1 이상의 매개변수는, 상호 연관지어진 핫 스폿의 다른 그룹에 대응하는 웨이퍼 상의 위치가 서로 다르게 검사될 수 있도록 변경될 수 있다. 검사의 1 이상의 매개변수는 여기에 기술한 1 이상의 매개변수를 포함할 수 있다.In another embodiment, the method includes inspecting the wafer based on the correlation between hot spots. For example, the locations on the wafer corresponding to different groups of correlated hot spots can be examined differently. Wafer inspection based on correlation between hot spots may also be performed based on one or more attributes and correlations of design data corresponding to a group of correlated hot spots. For example, the location of a group of correlated hot spots corresponding to design data with particularly high yield sensitivity to defects can be used to determine the location on the wafer to be inspected with a higher sensitivity than conventional. One or more attributes of the design data used in this embodiment may include one or more design data attributes described herein. In addition, one or more parameters of the inspection process may be modified such that the locations on the wafer corresponding to different groups of correlated hot spots can be inspected differently. One or more parameters of the test may include one or more parameters described herein.

일부 실시예에서, 상기 방법은 체계적 결함, 잠재적인 체계적 결함, 또는 그것의 일부 조합을 비닝 단계의 결과를 사용하여 시간에 걸쳐 감시하는 단계를 포함하며, 이는 여기에 기술한 임의의 실시예에 따라 수행될 수 있다. 다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 설계 데이터 내의 체계적 결함 및 잠재적인 체계적 결함을 식별하는 단계와, 시간에 걸친 체계적 결함 및 잠재적인 체계적 결함의 발생을 감시하는 단계를 포함한다. 이러한 방법 실시예의 단계는 여기에 기술하는 바와 같이 수행할 수 있다.In some embodiments, the method includes monitoring systemic defects, potential systematic defects, or some combination thereof over time using the results of the binning step, in accordance with any of the embodiments described herein. Can be performed. In another embodiment, the method includes identifying systematic and potential systematic defects in the design data based on the results of the binning step, and monitoring the occurrence of systematic and potential systematic defects over time. . The steps of this method embodiment can be performed as described herein.

다른 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 결함의 리뷰를 수행하는 단계를 포함한다. 예컨대, 결함의 리뷰는 상호 연관지어진 핫 스폿의 상이한 그룹에 대응하는 결함 그룹이 상이하게 리뷰되도록 수행될 수 있다(예컨대, 리뷰 프로세스의 1 이상의 매개변수의 적어도 하나의 상이한 값을 사용하여). 비닝 단계의 결과에 기초한 웨이퍼 리뷰는 비닝 결과와, 상호 연관지어진 핫 스폿의 그룹에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 수행할 수 있다. 이러한 방식에서, 비닝 단계의 결과에 기초한 결함의 리뷰는 핫 스폿 사이의 상관관계에 기초하여 웨이퍼를 검사하는 단계에 대하여 전술한 바와 같이 수행할 수 있다.In another embodiment, the method includes performing a review of the defect based on the result of the binning step. For example, the review of the defects may be performed such that the defect groups corresponding to different groups of correlated hot spots are reviewed differently (eg, using at least one different value of one or more parameters of the review process). Wafer review based on the results of the binning step may be performed based on the binning results and one or more attributes of the design data corresponding to the group of hot spots correlated with each other. In this manner, review of defects based on the results of the binning step can be performed as described above for inspecting the wafer based on the correlation between hot spots.

추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 리뷰를 위한 결함을 선택하는 프로세스를 생성하는 단계를 포함한다. 본 실시예에서 리뷰를 위한 결함을 선택하기 위한 프로세스를 생성하는 단계는 여기에 기술한 임의의 실시예에 따라서 수행할 수 있다. 또한, 리뷰를 위한 결함을 선택하기 위한 프로세스는 결함의 그룹과 관련된 상호 연관지어진 핫 스폿에 대한 정보와 조합하여, 가능하게는 여기에 기술한 임의의 방법의 임의의 다른 단계의 결과 및 여기에 기술한 임의의 다른 정보(예컨대, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성 등)과 조합하여 비닝 단계의 결과에 기초하여 생성될 수 있다. 또한, 결함을 선택하기 위한 프로세스를 생성하는 단계는 결함을 선택하는데 사용되는 프로세스의 임의의 1 이상의 매개변수에 대한 값을 선택하는 단계를 포함할 수 있다.In a further embodiment, the method includes creating a process for selecting a defect for review based on the results of the binning step. Creating a process for selecting a defect for review in this embodiment may be performed according to any of the embodiments described herein. In addition, the process for selecting defects for review may be combined with information about correlated hot spots associated with a group of defects, possibly resulting from any other step of any method described herein and described herein. It may be generated based on the results of the binning step in combination with any other information (eg, one or more attributes of the design data, one or more attributes of the defect, etc.). In addition, creating a process for selecting a defect may include selecting a value for any one or more parameters of the process used to select the defect.

다른 실시예에서, 상기 방법은 비트맵 단계의 결과에 기초하여 설계 데이터가 인쇄된 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계를 포함한다. 본 실시예에서 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계는 여기에 기술한 임의의 실시예에 따라서 수행할 수 있다. 또한, 웨이퍼를 검사하기 위한 프로세스는 결함의 그룹과 관련된 상호 연관지어진 핫 스폿에 대한 정보와 조합하여, 가능하게는 여기에 기술한 임의의 방법의 임의의 다른 단계의 결과(예컨대, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성 등)와 조합하여, 비닝 단계의 결과에 기초하여 생성될 수 있다. 또한, 웨이퍼를 검사하기 위한 프로세스를 생성하는 단계는 웨이퍼를 검사하기 위해 사용되는 프로세스의 임의의 1 이상의 매개변수에 대한 값을 선택하는 단계를 포함할 수 있다.In another embodiment, the method includes creating a process for inspecting a wafer on which design data is printed based on the results of the bitmap step. Creating a process for inspecting the wafer in this embodiment may be performed according to any of the embodiments described herein. In addition, the process for inspecting a wafer may be combined with information about correlated hot spots associated with a group of defects, possibly resulting in any other step of any method described herein (eg, 1 of design data). In combination with the above attributes, one or more attributes of the defects, etc.). In addition, creating a process for inspecting the wafer may include selecting a value for any one or more parameters of the process used to inspect the wafer.

추가의 실시예에서, 상기 방법은 비닝 단계의 결과에 기초하여 설계 데이터가 인쇄된 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계를 포함한다. 본 실시예에서 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계는 여기에 기술한 임의의 실시예에 따라 수행될 수 있다. 또한, 웨이퍼를 검사하기 위한 프로세스는, 결함의 그룹과 관련된 상호 연관지어진 핫 스폿에 관한 정보와 조합하여, 가능하게는 여기에 기술한 임의의 방법의 임의의 다른 단계의 결과(예컨대, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성 등)와 조합하여, 비닝 단계의 결과에 기초하여 변경될 수 있다. 또한, 웨이퍼를 검사하기 위한 프로세스를 변경하는 단계는 웨이퍼를 검사하기 위해 사용되는 변경 프로세스의 1 이상의 매개변수에 대한 값을 선택하는 단계를 포함할 수 있다.In a further embodiment, the method includes changing a process for inspecting a wafer on which design data has been printed based on the results of the binning step. Changing the process for inspecting the wafer in this embodiment may be performed according to any of the embodiments described herein. In addition, the process for inspecting a wafer may, in combination with information about correlated hot spots associated with a group of defects, possibly result from any other step of any of the methods described herein (eg, design data). In combination with one or more attributes, one or more attributes of the defect, etc.). In addition, changing the process for inspecting the wafer may include selecting a value for one or more parameters of the change process used to inspect the wafer.

일부 실시예에서, 상기 방법은 1 이상 그룹의 결함에 의해 영향을 받은 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 이러한 실시예에서, 다이의 퍼센티지는 여기에 기술한 임의의 실시예에 따라 결정될 수 있다.In some embodiments, the method includes determining a percentage of the die formed on the wafer affected by the at least one group of defects. In such embodiments, the percentage of die may be determined in accordance with any of the embodiments described herein.

다른 실시예에서, 상기 방법은 적어도 하나의 그룹으로 비닝된 결함이 위치되는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 적어도 하나의 그룹에 우선순위를 할당하는 단계를 포함한다. 우선순위의 결정 및 할당은 여기에 기술한 임의의 실시예에 따라 수행될 수 있다.In another embodiment, the method includes determining a percentage of the die formed on the wafer where the defects binned into the at least one group are located, and assigning a priority to the at least one group based on the percentage. do. Determination and assignment of priorities may be performed in accordance with any of the embodiments described herein.

추가적인 실시예에서, 상기 방법은 1 이상의 그룹 내의 결함과 관련된 핫 스폿과 상호 연관지어진 전체 핫 스폿의 수와, 1 이상의 그룹 내의 결함의 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 예컨대, 상호 연관지어진 핫 스폿 그룹 내의 핫 스폿의 수는 핫 스폿 그룹에 대응하는 그룹 내의 결함의 수에 비교될 수 있다. 그와 같이, 상호 연관지어진 핫 스폿 그룹의 결함도가 결정될 수 있다(예컨대, 결함이 검출된 상호 연관지어진 핫 스폿의 분율의 결정 및/또는 결함이 검출된 상호 연관지어진 핫 스폿의 퍼센티지의 결정에 의해). 따라서, 결함의 그룹은 상호 연관지어진 핫 스폿의 결함도에 의해 우선순위화될 수 있다. 예컨대, 다수로 검출된 일 그룹 내의 결함, 큰 분율, 또는 대응하는 핫 스폿의 큰 퍼센티지에는 소수로 검출된 결함의 그룹, 작은 분율, 또는 대응하는 핫 스폿의 작은 퍼센티지보다 높은 우선순위가 할당될 수 있다. 따라서, 결함의 그룹은 웨이퍼에 걸친 핫 스폿 결함도에 따라 우선순위화될 수 있다.In a further embodiment, the method includes prioritizing the one or more groups by the total number of hot spots correlated with the hot spots associated with the defects in the one or more groups and the number of defects in the one or more groups. For example, the number of hot spots in a correlated hot spot group may be compared to the number of defects in the group corresponding to the hot spot group. As such, a defect degree of a group of correlated hot spots may be determined (eg, in determining the fraction of correlated hot spots in which a defect is detected and / or in determining the percentage of correlated hot spots in which a defect is detected. due to). Thus, groups of defects can be prioritized by the degree of defects of the correlated hot spots. For example, a large percentage of defects, large fractions, or corresponding hot spots in a large number of detected groups may be assigned a higher priority than a small group of small number of detected defects, or a small percentage of corresponding hot spots. have. Thus, groups of defects can be prioritized according to the hot spot defect degree across the wafer.

추가의 실시예에서, 상기 방법은 1 이상 그룹 내의 결함이 적어도 한번 검출되는 웨이퍼 상에 설계 데이터를 인쇄하는데 사용된 레티클 위의 핫 스폿 위치에 대응하는 수에 의해 1 이상의 그룹을 우선순위화하는 단계를 포함한다. 예컨대, 레티클 상의 다수의 핫 스폿 위치에 대응하는 결함 그룹에는 레티클 상의 소수의 핫 스폿 위치에 대응한 결함 그룹보다 더 높은 우선순위가 할당될 수 있다. 따라서, 결함의 그룹은 웨이퍼에 걸친 잠재적 결함도에 기초하여 우선순위화될 수 있다. 또한, 레티클이 웨이퍼 상에 인쇄될 회수가 알려지거나 결정되는 경우, 레티클에 걸친 그룹의 잠재적 결함도는 웨이퍼에 걸친 1 이상 그룹의 잠재적 결함도를 결정하거나 추정하는데 사용될 수 있다. 우선순위화 단계의 결과는 여기에 기술한 1 이상의 단계를 수행하는데 사용할 수 있다.In a further embodiment, the method prioritizes one or more groups by a number corresponding to a hot spot location on the reticle used to print design data on a wafer where defects in one or more groups are detected at least once. It includes. For example, a defect group corresponding to a plurality of hot spot locations on the reticle may be assigned a higher priority than a defect group corresponding to a few hot spot locations on the reticle. Thus, groups of defects can be prioritized based on the potential degree of defects across the wafer. In addition, when the number of times a reticle is to be printed on a wafer is known or determined, the potential defect degree of the group across the reticle can be used to determine or estimate the potential defect degree of one or more groups across the wafer. The result of the prioritization step can be used to perform one or more of the steps described herein.

일부 실시예에서, 상기 방법은 1 이상의 그룹으로 비닝된 결함이 검출된 레티클 상의 위치의 수와, 1 이상 그룹 내의 결함과 관련된 핫 스폿과 상호 연관지어진 레티클 상의 핫 스폿 위치의 전체 수에 기초하여, 1 이상의 그룹에 대한 레티클-기반 마진을 결정하는 단계를 포함한다. 예컨대, 레티클 상의 상호 연관지어진 핫 스폿의 그룹 내의 결함이 검출되는 위치의 수는, 상호 연관지어진 핫 스폿의 그룹에 대응하는 그룹 내의 결함이 검출되는 위치의 수에 비교될 수 있다. 따라서, 레티클 기반 마진은 그러한 비교에 기초할 수 있고, 레티클에 걸친 상호 연관지어진 핫 스폿의 위치에 걸친 결함도의 측정치일 수 있다. 그러한 레티클 기반 마진은 여기에 기술하는 바와 같은 1 이상의 단계에 사용될 수 있다.In some embodiments, the method is based on the number of locations on the reticle where defects binned into one or more groups were detected, and the total number of hot spot locations on the reticle correlated with hot spots associated with defects in one or more groups, Determining a reticle-based margin for one or more groups. For example, the number of locations in which a defect in a group of correlated hot spots on a reticle is detected may be compared to the number of locations in which a defect in a group corresponding to a group of correlated hot spots is detected. Thus, the reticle based margin may be based on such a comparison and may be a measure of defect degree over the location of correlated hot spots across the reticle. Such reticle-based margins can be used in one or more steps as described herein.

전술한 바와 같은 결함을 비닝하기 위한 방법 실시예의 각각은 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 바와 같은 결함을 비닝하기 위한 방법 실시예의 각각은 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each of the method embodiments for binning defects as described above may include any other step of any of the methods described herein. In addition, each of the method embodiments for binning defects as described above may be performed by any of the system embodiments described herein.

다른 실시예는 웨이퍼 상에서 검출된 결함을 비닝하기 위한 다른 방법에 관한 것이다. 이러한 실시예에서, 상기 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성을 비교하는 단계를 포함한다. 일 실시예에서, 1 이상의 속성은 패턴 밀도를 포함한다. 다른 실시예에서, 1 이상의 속성은 특징 스페이스 내의 1 이상의 속성을 포함한다. 특징 스페이스는 설계 데이터로부터 도출된 하나 또는 다수의 특징을 포함할 수 있다. 설계 스페이스와 달리, 특징 스페이스는 감독 방식(예컨대, 최인접 근방 비닝 기술) 또는 비-감독 방식(예컨대, 자연적인 그룹화 기술)으로 결함의 그룹을 결정하는데 유용할 수 있는 여러 속성을 효과적으로 고려하는 능력을 갖는다. 이러한 단계에 사용된 설계 데이터의 1 이상의 속성은 여기에 기술한 설계 데이터, 결함 데이터, 핫 스폿 또는 POI의 임의의 다른 속성을 또한(또는 대안적으로) 포함할 수 있다.Another embodiment relates to another method for binning detected defects on a wafer. In this embodiment, the method includes comparing one or more attributes of the design data close to the location of the defect in the design data space. In one embodiment, the one or more attributes include pattern density. In another embodiment, the one or more attributes include one or more attributes in the feature space. The feature space may include one or more features derived from design data. Unlike design spaces, feature spaces have the ability to effectively account for multiple attributes that can be useful for grouping defects in a supervised manner (e.g., closest binning technique) or non-supervised (e.g., natural grouping technique). Has One or more attributes of the design data used in this step may also include (or alternatively) any other attributes of the design data, defect data, hot spots, or POIs described herein.

상기 방법은 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 적어도 유사한지를 비교 단계의 결과에 기초하여 결정하는 단계를 또한 포함한다. 1 이상의 속성이 적어도 유사한지의 결정은 여기에 기술한 유사도를 결정하기 위한 다른 단계와 유사한 방식으로 수행할 수 있다. 또한, 상기 방법은 각 그룹 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 적어도 유사하도록 결함을 그룹으로 비닝하는 단계를 포함한다. 비닝 단계는 여기에 기술한 다른 비닝 단계에 유사한 방식으로 수행될 수 있다. 상기 방법은 비닝 단계의 결과를 저장 매체에 저장하는 단계를 추가로 포함하며, 이는 여기에 기술한 바와 같이 수행할 수 있다.The method also includes determining based on the results of the comparing step whether one or more attributes of the design data close to the location of the defect are at least similar. Determination of whether at least one attribute is at least similar may be performed in a similar manner to other steps for determining similarity described herein. The method also includes binning the defects into groups such that at least one attribute of the design data close to the location of the defects in each group is at least similar. The binning step can be performed in a similar manner to the other binning steps described herein. The method further includes storing the results of the binning step in a storage medium, which may be performed as described herein.

일부 실시예에서, 상기 방법은 결함이 랜덤 또는 체계적 결함인지를 속성을 사용하여 결정하는 단계를 포함한다. 또한, 속성은 랜덤 또는 체계적 결함에 대해 직접적으로 사용할 수 있다. 1 이상의 속성은 비닝된 결함 및/또는 비닝되지 않은 결함이 랜덤 또는 체계적 결함인지를 결정하는데 사용될 수 있다. 결함이 랜덤 결함인지 체계적 결함인지를 판정하기 위해, 설계 데이터의 1 이상의 속성이 여기에 기술한 임의의 다른 결과 및/또는 여기에 기술한 임의의 다른 정보(예컨대, 핫 스폿 정보 및 결함의 1 이상의 속성)와 조합하여 사용될 수 있다. 전술한 실시예의 일 예에서, 결함이 체계적인지 또는 랜덤한지를 판정하는데 사용된 설계 데이터의 1 이상의 속성은 특징에 대한 결함의 위치에서의 설계 데이터의 특징의 1 이상의 속성을 포함할 수 있다. 예컨대, 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 1 이상의 속성이 비교적 높은 패턴 밀도와, 비교적 작은 특징 치수를 갖고, 그러한 속성을 갖는 설계 데이터가 체계적 결함이 되기 쉬운 것으로 알려지면(이는 실험적으로, 시뮬레이션 또는 임의의 다른 적합한 방법 또는 시스템에 의해 결정될 수 있다), 그 결함은 체계적 결함으로 판정된다.In some embodiments, the method includes using an attribute to determine whether the defect is a random or systematic defect. In addition, attributes can be used directly for random or systematic defects. One or more attributes may be used to determine whether binned and / or non binned defects are random or systematic defects. In order to determine whether a defect is a random defect or a systematic defect, one or more attributes of the design data may include any other result described herein and / or any other information described herein (eg, hot spot information and one or more of the defects). Property). In one example of the foregoing embodiments, one or more attributes of the design data used to determine whether the defect is systematic or random may include one or more attributes of the feature of the design data at the location of the defect relative to the feature. For example, if one or more attributes of the design data close to the location of the defects in the design data space have a relatively high pattern density, relatively small feature dimensions, and the design data having such attributes is susceptible to systematic defects (which is experimentally , Simulation, or any other suitable method or system), the defect is determined to be a systematic defect.

다른 실시예에서, 상기 방법은 속성을 사용하여 1 이상의 그룹의 등급을 매기는 단계를 포함한다. 비닝된 1 이상 그룹의 결함의 등급을 매기는데 사용되는 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 일 예에서, 설계의 높은 패턴 밀도 영역에 위치한 결함이 수율에 더 큰 해로운 영향을 가질 수 있기 때문에, 비닝된 결함의 그룹은 높은 패턴 밀도와 관련된 결함의 그룹이 낮은 패턴 밀도와 관련된 결함의 그룹보다 높은 등급이 매겨지도록 패턴 밀도에 기초하여 등급이 매겨질 수 있다. 그러한 등급 결과는 여기에 기술한 바와 같이 사용될 수 있다(예컨대, 그 결과는 우선순위화 결과를 포함하는 단계에서 우선순위화 결과 대신에 사용될 수 있다).In another embodiment, the method includes ranking one or more groups using the attribute. The one or more attributes used to grade the defects in the binned one or more groups may include any of the attributes described herein. In one example, a group of binned defects is more likely to have a group of defects associated with a higher pattern density than a group of defects associated with a lower pattern density because defects located in the high pattern density region of the design may have a greater detrimental effect on yield. It can be graded based on the pattern density so that it is graded high. Such ranking results may be used as described herein (eg, the results may be used in place of prioritization results at the step of including the prioritization results).

속성은 그룹 내의 결함의 등급을 매기는데 또한 사용될 수 있다. 예컨대, 추가적인 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 결함을 적어도 하나의 그룹으로 등급을 매기는 단계를 포함한다. 결함을 그룹으로 등급을 매기는데 사용된 설계 데이터의 속성은 여기에 기술한 임의의 속성일 수 있다. 또한, 결함을 비닝하는데 사용된 속성은 그룹 내의 결함의 등급을 매기는데 사용된 속성와 동일하거나 동일하지 않을 수 있다. 본 실시예에서의 비닝 및 등급화 단계는 그룹 및 등급으로의 결함의 세밀한 분리를 이롭게 제공할 수 있고, 이는 수율에 대한 결함의 영향에 관한 더 많은 정보를 제공할 수 있다. 그룹 내 결함의 등급을 매기는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 또한, 1 이상 그룹 내의 결함은 그것의 그룹 내에서 개별적으로 등급이 매겨질 수 있다. 상기 그룹 내의 결함의 등급을 매긴 결과는 여기에 기술한 1 이상의 단계에서 사용될 수 있다.Attributes can also be used to grade defects within a group. For example, in a further embodiment, the method includes ranking defects into at least one group using one or more attributes. The attributes of the design data used to grade the defects in groups may be any of those described herein. In addition, the attributes used to bin the defects may or may not be the same as the attributes used to rank the defects in the group. The binning and grading steps in this embodiment can advantageously provide for fine separation of defects into groups and grades, which can provide more information about the effect of defects on yield. Grading the defects in the group can be performed as described herein. In addition, defects in one or more groups can be graded individually within its group. The grading of the defects in the group can be used in one or more of the steps described herein.

속성은 일 그룹 내의 결함을 비닝 하기 위해 또한 사용될 수 있다. 예컨대, 추가의 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹 내의 결함을 서브-그룹으로 비닝하는 단계를 포함한다. 일 그룹 내의 결함을 서브-그룹으로 비닝 하는데 사용된 설계 데이터의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 또한, 결함을 그룹으로 비닝 하는데 사용된 속성은 그 결함을 서브-그룹으로 비닝하는데 사용된 속성와 동일하거나 동일하지 않을 수 있다. 본 실시예에서 결함을 그룹과 서브-그룹으로 비닝하는 단계는 그룹 및 서브-그룹으로의 결함의 더 세밀한 분리를 이롭게 제공할 수 있고, 이는 수율에 대한 결함의 영향에 관한 더 많은 정보를 제공할 수 있다. 일 그룹 내의 결함을 서브-그룹으로 비닝하는 단계는 여기에 기술하는 바와 같이 수행할 수 있다. 또한, 1 이상 그룹 내의 결함은 개별적으로 1 이상의 서브 그룹으로 비닝될 수 있다. 결함을 상기 그룹 및 서브-그룹으로 비닝한 결과는 여기에 기술한 1 이상의 단계에서 사용될 수 있다.Attributes can also be used to bin defects within a group. For example, in a further embodiment, the method includes binning the defects in the at least one group into sub-groups using one or more attributes. The attributes of the design data used to bin the defects within a group into sub-groups may include any of the attributes described herein. Also, the attribute used to bin defects into groups may or may not be the same as the attribute used to bin defects into sub-groups. In this embodiment binning the defects into groups and sub-groups can advantageously provide a finer separation of the defects into groups and sub-groups, which can provide more information about the effect of the defects on yield. Can be. Binning the defects in one group into sub-groups may be performed as described herein. In addition, defects in one or more groups may be binned individually into one or more subgroups. The results of binning defects into the above groups and sub-groups can be used in one or more steps described herein.

일부 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 적어도 하나의 그룹 내의 결함을 분석하는 단계를 포함한다. 이러한 방식에서, 속성은 일 그룹 내의 결함을 분석하기 위해 사용될 수 있다. DCI 결정은 이러한 유형 분석의 일 예이다. 예컨대, 추가의 실시예에서, 상기 방법은 속성을 사용하여 DCI를 1 이상의 결함에 할당하는 단계를 포함한다. 결함을 분석하는데 사용된 설계 데이터의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 분석은 여기에 기술한 임의의 다른 분석을 또한(또는 대안적으로) 포함할 수 있다.In some embodiments, the method comprises analyzing defects in at least one group using one or more attributes. In this way, attributes can be used to analyze defects within a group. DCI determination is an example of this type analysis. For example, in a further embodiment, the method includes assigning DCI to one or more defects using attributes. The attributes of the design data used to analyze the defect may include any of the attributes described herein. The analysis may also include (or alternatively) any other analysis described herein.

다른 실시예에서, 상기 방법은 1 이상의 속성을 사용하여 1 이상의 결함의 수율 관련성을 결정하는 단계를 포함한다. 이러한 방식에서, 속성은 개별 결함의 수율 관련성을 추정하기 위해 사용될 수 있다. 수율 관련성을 결정하는데 사용되는 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 그러한 일 예에서, 비교적 높은 패턴 밀도를 갖는 설계 데이터에 가까이 위치한 결함은 비교적 낮은 패턴 밀도를 갖는 설계 데이터에 가까이 위치한 결함보다 더욱 수율 관련적인 것으로 결정될 수 있다. 또한, 수율 관련성은 설계 데이터의 1 이상의 속성와, 결함이 그러한 1 이상의 속성에 기초하여 수율에 어떻게 영향을 미치는지에 기초하여 결정될 수 있다. 수율 관련성이 결정된 결함은 비닝된 결함을 포함하거나 포함하지 않을 수 있다.In another embodiment, the method includes determining yield relevance of one or more defects using one or more attributes. In this way, attributes can be used to estimate the yield relevance of individual defects. One or more attributes used to determine yield relevance may include any of the attributes described herein. In one such example, a defect located closer to design data with a relatively high pattern density may be determined to be more yield related than a defect located closer to design data with a relatively low pattern density. In addition, yield relevance may be determined based on one or more attributes of the design data and how defects affect yield based on such one or more attributes. The defects for which yield relevance has been determined may or may not include binned defects.

추가적인 실시예에서, 상기 방법은 속성을 사용하여 1 이상 그룹의 전체적인 수율 관련성을 결정하는 단계를 포함한다. 따라서, 속성은 전체 수율 관련성을 추정하는데 사용될 수 있다. 전체 수율 관련성은 전술한 바와 같이 결정할 수 있다.In a further embodiment, the method includes using the attribute to determine the overall yield relevance of one or more groups. Thus, the attribute can be used to estimate the overall yield relevance. The overall yield relevance can be determined as described above.

일부 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터를 결함 주위 영역 내의 설계 데이터와, 결함이 위치한 영역 내의 설계 데이터로 분리하는 단계를 포함하며, 이는 여기에 기술한 바와 같이 수행될 수 있다. 또한, 속성은 결함이 위치할 영역으로부터 결합 주위 근방을 구분하는데 사용할 수 있다.In some embodiments, the method includes separating design data close to the location of the defect into design data in the area around the defect and design data in the area in which the defect is located, which may be performed as described herein. . The attribute can also be used to distinguish near the bond from the area where the defect will be located.

다른 실시예에서, 상기 방법은 룰 및 속성을 사용하여 비닝 또는 필터링하기 위한 설계 데이터 내의 구조를 식별하는 단계를 포함한다. 예컨대, 상기 방법은 설계 데이터의 1 이상의 속성 및 룰을 사용하여 LES에 민감한 구조, 큰 폴리(poly) 블록 등과 같은 구조를 식별하는 단계를 포함할 수 있고, 그러한 구조에 가까이 위치된 결함은 그룹으로 비닝될 수 있고 및/또는 그 결과로부터 필터링될 수 있다. 룰은 실험 결과 및/또는 시뮬레이션 결과 또는 임의의 적합한 방법을 사용하여 여기에 기술한 방법에 의해 생성될 수 있다.In another embodiment, the method includes identifying a structure in the design data for binning or filtering using rules and attributes. For example, the method may include identifying a structure such as a LES-sensitive structure, a large poly block, etc. using one or more attributes and rules of the design data, where defects located close to the structure are grouped. It can be binned and / or filtered from the results. The rules may be generated by the methods described herein using experimental results and / or simulation results or any suitable method.

다른 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과와, 체계적 결함으로 식별된 결함에 기초하여, 리뷰, 측정, 테스트 또는 그것의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함하며, 이는 여기에 기술한 임의의 실시예에 따라 수행할 수 있다. 일부 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과, 체계적 결함으로 식별된 결함, 및 결함의 수율 관련성에 기초하여, 리뷰, 측정, 테스트 또는 그것의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함하며, 이는 여기에 기술하는 바와 같이 수행할 수 있다. 추가적인 실시예에서, 상기 방법은 결함의 검출 동안 생성된 검사 결과, 체계적 결함으로 식별된 결함, 및 프로세스 창 매핑에 기초하여, 리뷰, 측정, 테스트 또는 그것의 일부 조합이 수행될 웨이퍼 상의 위치를 결정하는 단계를 포함하며, 이는 여기에 기술하는 바와 같이 수행할 수 있다.In another embodiment, the method includes determining a location on a wafer on which a review, measurement, test, or some combination thereof is to be performed, based on a test result generated during detection of a defect and a defect identified as a systematic defect. This can be done according to any of the embodiments described herein. In some embodiments, the method determines the location on the wafer where a review, measurement, test, or some combination thereof is to be performed, based on inspection results generated during detection of the defect, defects identified as systematic defects, and yield relevance of the defects. Determining, which may be performed as described herein. In a further embodiment, the method determines a location on the wafer on which a review, measurement, test, or some combination thereof is to be performed, based on inspection results generated during detection of the defect, defects identified as systematic defects, and process window mapping. Which may be performed as described herein.

일부 실시예에서, 상기 방법은 비닝 단계 및 유저-보조 리뷰의 결과를 사용하여 체계적 탐색을 수행하는 단계를 포함한다. 예컨대, 비닝 단계의 결과는 리뷰에서 유저를 보조하기 위해 사용될 수 있다(예컨대, 리뷰할 개소, 리뷰 방법 등을 결정하기 위해). 리뷰는 1 이상의 그룹 내의 적어도 하나의 결함에 대한 리뷰 결과(예컨대, 고 배율 이미지)를 생성하는 단계와, 유저가 1 이상의 결함 또는 1 이상 그룹의 결함을 체계적 결함으로서 식별할 수 있도록 그 결과를 유저에게 표시하는 단계를 포함할 수 있다.In some embodiments, the method includes performing a systematic search using the results of the binning step and the user-assisted review. For example, the results of the binning step can be used to assist the user in the review (eg, to determine where to review, review method, and the like). The review may comprise generating a review result (eg, a high magnification image) for at least one defect in one or more groups, and reviewing the result so that the user can identify one or more defects or defects in one or more groups as systematic defects. It may include the step of displaying to.

다른 실시예에서, 상기 방법은 비교 단계 이전에, 비닝 단계의 결과에서의 S/N을 향상시키기 위해, 결함이 위치하는 기능 블록에 기초하여 결함을 분리하는 단계를 포함한다. 결함이 위치되는 기능 블록은 여기에 기술하는 바와 같이 결정될 수 있다. 비교 단계 이전에 기능 블록에 의해 결함을 분리함으로써, 일부(예컨대, 비-수율 관련적) 기능 블록 내의 결함은 상기 방법의 다른 단계에서의 사용으로부터 제거될 수 있고, 이는 비닝 결과에서의 S/N을 증가시킬 것이다. 또한, 비닝은, 결함이 위치하는 기능 블록과 조합하여, 설계 데이터의 1 이상의 속성에 기초하여 수행할 수 있고, 이에 의해 비닝 결과에서의 더 낳은 분리 및 더 높은 S/N을 제공한다. 또한, 비닝은 각각의 기능 블록에 대해 또는 1 이상의 상이한 기능 블록에 대해 개별적으로 수행할 수 있고, 이에 의해 비닝 결과에 대한 S/N을 증가시킨다.In another embodiment, the method includes, prior to the comparing step, separating the defects based on the functional blocks in which the defects are located to improve S / N at the result of the binning step. The functional block in which the defect is located can be determined as described herein. By separating the defects by the functional block prior to the comparing step, the defects in some (eg non-yield related) functional blocks can be removed from use at other stages of the method, which results in S / N in binning results. Will increase. In addition, binning can be performed based on one or more attributes of the design data, in combination with the functional block in which the defect is located, thereby providing better separation and higher S / N in the binning results. In addition, binning can be performed individually for each functional block or for one or more different functional blocks, thereby increasing the S / N for the binning result.

다른 실시예에서, 설계 데이터는 계층 셀로 조직화되고, 상기 방법은, 비교 단계 이전에, 비닝 단계의 결과에서의 S/N을 향상시키기 위해, 결함이 위치되는 계층 셀에 기초하여 결함을 분리하는 단계를 포함한다. 설계 데이터는 여기에 추가로 기술하는 바와 같이 계층 셀로 조직화될 수 있다. 결함을 계층 셀에 기초하여 분리하는 단계는 기능 블록 기반 분리에 대하여 전술한 바와 같이 수행할 수 있다. 계층 셀에 기초로 결함을 분리하는 단계는 전술한 바와 같이 비닝 단계의 결과의 S/N을 향상하는데 사용될 수 있다.In another embodiment, the design data is organized into hierarchical cells, and the method further comprises, prior to the comparing step, separating the defects based on the hierarchical cell in which the defects are located to improve S / N at the result of the binning step. It includes. Design data may be organized into hierarchical cells as further described herein. Separating the defect based on the layer cell may be performed as described above for functional block based separation. Separating the defect based on the layer cell can be used to improve the S / N of the result of the binning step as described above.

추가적인 실시예에서, 설계 데이터는 설계에 의해 계층 셀로 조직화되고, 결함이 1 이상의 계층 셀 내에 위치될 수 있는 경우, 상기 방법은 계층 셀의 영역, 결함 위치 확률, 또는 그것의 일부 조합에 기초하여 결함이 각각의 계층 셀 내에 위치하는 확률에 기초하여, 결함을 각각의 계층 셀에 상호 연관짓는 단계를 포함한다. 이러한 방식에서, 결함이 다중 셀 내에 위치될 수 있는 경우, 결함은 그 결함이 상이한 셀 내에 위치하는 확률에 기초하여 그 셀에 상호 연관지어지고, 이는 결함 위치 확률의 영역에 기초하여 결정될 수 있다. 그 확률은 당업계에 알려진 임의의 방식으로 결정할 수 있다.In a further embodiment, the design data is organized into hierarchical cells by design, and if the defects can be located in one or more hierarchical cells, the method may be based on a region of the hierarchical cell, a defect location probability, or some combination thereof. Correlating defects to each layer cell based on the probability of being located within each layer cell. In this manner, if a defect can be located in multiple cells, the defect is correlated to that cell based on the probability that the defect is located in a different cell, which can be determined based on the area of the defect location probability. The probability can be determined in any manner known in the art.

일부 실시예에서, 결함은 검사 프로세스에 의해 검출되며, 그 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 결함이 1 이상의 POI의 위치에서 검출되었어야 하는지를 리뷰 단계의 결과에 기초하여 결정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함하며, 이는 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In some embodiments, a defect is detected by an inspection process, the method comprising reviewing a location on a wafer where one or more POIs in the design data are printed, and reviewing whether a defect should have been detected at a location of one or more POIs. Determining based on the results and altering the inspection process to improve one or more defect capture rates, which may be performed as further described herein.

상술한 결함을 비닝하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 상술한 결함을 비닝하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each embodiment of the method for binning the above-described defects may include any other step of any of the methods described herein. In addition, each embodiment of the method for binning the above-described defects may be performed by any system embodiment described herein.

전술한 바와 같이, 결함의 위치에 가까운 설계 데이터의 위치는 라이브러리 또는 다른 데이터 구조로 저장된 상이한 DBC(예컨대, DBC 빈 규정)에 대응하는 설계 데이터(예컨대, POI 설계 예)에 비교될 수 있다. 그러한 라이브러리 또는 데이터 구조를 사용할 수 있는 일 실시예는 웨이퍼 상에서 검출된 결함에 범주를 할당하기 위한 컴퓨터-구현 방법이다. 이러한 방법은 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 데이터의 부분을 상이한 DBC에 대응하는 설계 데이터에 비교하는 단계를 포함한다. 설계 데이터의 부분(또는 설계 데이터의 "소스 부분")을 상이한 DBC에 대응하는 설계 데이터(또는 설계 데이터의 "타깃 부분" 또는 "기준 패턴")에 비교하는 단계는 여기에 기술하는 바와 같이 수행될 수 있다. 일부 실시예에서, 상기 방법은 설계 데이터의 부분의 1 이상의 속성을 상이한 DBC에 대응하는 설계 데이터의 1 이상의 속성에 비교하는 단계를 포함한다. 그 부분 내의 설계 데이터의 1 이상의 속성, 및 본 단계에서 비교되는 상이한 DBC에 대응하는 설계 데이터의 1 이상의 속성을 비교하는 단계는 여기에 기술한 임의의 속성을 포함할 수 있다. 또한, 상기 비교 단계에 사용되는 1 이상의 속성은 특징 스페이스 내의 1 이상의 속성을 포함할 수 있다. 또한, 상기 비교 단계는, 소스 및 기준 패턴 사이에 정확한 매치 또는 유사성이 존재하는지를 판정하기 위해, 상기 설계 데이터의 부분을 기준 패턴에 비교하는 단계를 포함할 수 있다. 또한, 상기 비교 단계는, 여기에 여기에서 기술한 임의의 룰 또는 여기에 기술한 비교 단계를 수행하기 위한 임의의 방법에 기초한 룰을 사용하는 단계를 포함할 수 있다. 또한, 상기 비교 단계는 설계 데이터 스페이스 내의 결함의 위치를 설계 데이터 스페이스 내의 핫 스폿의 위치에 비교하는 단계를 포함할 수 있고, 이는 여기에 기술하는 바와 같이 수행할 수 있다.As noted above, the location of the design data close to the location of the defect may be compared to the design data (eg, POI design example) corresponding to different DBCs (eg, DBC bin definitions) stored in a library or other data structure. One embodiment in which such a library or data structure can be used is a computer-implemented method for assigning categories to defects detected on a wafer. This method includes comparing a portion of design data close to the location of a defect in the design data space to design data corresponding to different DBCs. Comparing portions of design data (or “source portions” of design data) to design data (or “target portions” or “reference patterns” of design data) corresponding to different DBCs may be performed as described herein. Can be. In some embodiments, the method includes comparing one or more attributes of the portion of the design data to one or more attributes of the design data corresponding to different DBCs. Comparing one or more attributes of the design data within that portion, and one or more attributes of the design data corresponding to the different DBCs being compared in this step, may include any of the attributes described herein. In addition, the one or more attributes used in the comparing step may include one or more attributes in the feature space. The comparing step may also include comparing a portion of the design data to a reference pattern to determine if there is an exact match or similarity between the source and the reference pattern. Further, the comparing step may include using any of the rules described herein or a rule based on any method for performing the comparing steps described herein. Further, the comparing step may include comparing the location of the defect in the design data space to the location of the hot spot in the design data space, which may be performed as described herein.

상기 부분의 적어도 일부의 치수는 일부 실시예에서 상이하며, 그 치수는 여기에 추가로 기술하는 바와 같이 선택 및/또는 결정될 수 있다. 다른 실시예에서, 그 부분 내의 설계 데이터는 1 이상의 설계 층에 대한 설계 데이터를 포함한다. 설계 데이터의 그러한 부분은 여기에 추가로 기술하는 바와 같은 방법에서 구성 및 사용될 수 있다. 그 부분 내의 설계 데이터는 여기에 기술한 임의의 다른 설계 데이터를 포함할 수 있다. 예컨대, 결함의 위치에 가까운 설계 데이터는 일 실시예에서 결함이 위치하는 설계 데이터를 포함한다. 이러한 방식에서, 본 방법에 사용된 설계 데이터는 결함의 아래 또는 뒤의 설계 데이터, 또는 결함이 위치할 설계 데이터를 포함할 수 있다. 다른 실시예에서, 설계 데이터의 위치에 가까운 설계 데이터는 결함의 위치 주위의 설계 데이터를 포함한다.The dimensions of at least some of the portions are different in some embodiments, and the dimensions can be selected and / or determined as further described herein. In another embodiment, the design data in that portion includes design data for one or more design layers. Such portions of design data may be constructed and used in a method as further described herein. The design data in that portion may include any other design data described herein. For example, design data close to the location of the defect includes design data in which the defect is located in one embodiment. In this manner, the design data used in the method may include design data below or behind the defect, or design data where the defect will be located. In another embodiment, the design data close to the location of the design data includes design data around the location of the defect.

추가적인 실시예에서, 상기 방법은, 상기 비교 단계 이전에, 여기에 기술하는 바와 같이 수행될 수 있는, 결함의 위치에 가까운 설계 데이터의 부분을 제 1 비트맵으로 변환하는 단계와, 상기 비교 단계 이전에, 여기에 기술하는 바와 같이 수행할 수 있는, DBC에 대응하는 설계 데이터를 제 2 비트맵으로 변환하는 단계를 포함한다. 그러한 일 실시예에서, 상기 비교 단계는 제 1 비트맵과 제 2 비트맵을 비교하는 단계를 포함한다. 그러한 비교 단계는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 결함에 범주를 할당하는 방법의 실시예는 여기에 기술한 임의의 실시예에 따라 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계를 포함할 수 있다.In a further embodiment, the method further comprises, prior to the comparing step, converting a portion of the design data close to the location of the defect into a first bitmap, which may be performed as described herein, and before the comparing step. And converting the design data corresponding to the DBC into a second bitmap, which may be performed as described herein. In one such embodiment, the comparing step includes comparing the first bitmap and the second bitmap. Such comparison step can be performed as further described herein. Embodiments of a method of assigning a category to a defect may include determining a location of the defect within a design data space in accordance with any of the embodiments described herein.

일 실시예에서, DBC는 결함이 위치하거나 결함이 근처에 위치하는 설계 데이터 내의 1 이상의 다각형을 식별한다. 이러한 방식에서, 결함이 위치하는 1 이상의 다각형 또는 결함 근처에 위치하는 1 이상의 다각형은 결함에 할당된 DBC에 의해 식별될 수 있다. 그와 같이, 결함에 의해 영향을 받거나 받을 수 있는 1 이상의 다각형을 결정할 수 있다. 또한, 결함이 위치된 1 이상의 다각형 또는 그 결함 근처에 위치된 1 이상의 다각형이 식별될 수 있고, 이들 다각형에 대한 정보는 설계 데이터 내의 다각형에 대한 결함의 위치를 결정하기 위해 사용할 수 있다. 일부 실시예에서, DBC는 설계 데이터 내의 1 이상의 다각형 내의 결함의 위치를 식별한다. 따라서, 상기 방법은 결함에 할당된 DBC에 기초하여 다각형 내의 결함이 위치하는 개소 또는 그 근처를 결정하는 단계를 포함할 수 있다.In one embodiment, the DBC identifies one or more polygons in the design data where the defect is located or near the defect. In this manner, one or more polygons in which the defect is located or one or more polygons located near the defect can be identified by the DBC assigned to the defect. As such, one or more polygons may be determined that may or may not be affected by the defect. In addition, one or more polygons in which the defect is located or one or more polygons located near the defect can be identified and information about these polygons can be used to determine the location of the defect with respect to the polygon in the design data. In some embodiments, the DBC identifies the location of a defect in one or more polygons in the design data. Thus, the method may include determining a location at or near the defect in the polygon based on the DBC assigned to the defect.

다른 실시예에서, 상기 방법은 결함의 부분에 가까운 설계 데이터를 결함 주변 영역 내의 설계 데이터와, 결함이 위치하는 영역 내의 설계 데이터로 분리하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함이 위치할 영역으로부터 결함 둘레의 주변을 구별하는 단계를 포함할 수 있다. 그러한 분리는 여기에 추가로 기술하는 바와 같이 수행될 수 있다. 또한, 그러한 분리는 여기에 추가로 기술하는 바와 같이 결함에 범주를 할당하기 위한 컴퓨터 구현 방법에서 사용될 수 있다.In another embodiment, the method includes separating design data near the portion of the defect into design data in the region around the defect and design data in the region in which the defect is located. In this manner, the method may include distinguishing the perimeter around the defect from the area where the defect will be located. Such separation can be performed as further described herein. Such separation may also be used in a computer implemented method for assigning a category to a defect as described further herein.

상이한 DBC에 대응하는 설계 데이터 및 그 상이한 DBC는 데이터 구조에 저장된다. 또한, 상이한 DBC에 대응하는 설계 데이터 및 그 상이한 DBC는 전술한 바와 같은 데이터 구조에 저장될 수 있다. 특히, 상이한 DBC에 대응하는 설계 데이터 및 그 상이한 DBC는 데이터 구조 내에 DBC 라이브러리 파일로서 저장될 수 있다. 또한, 일 실시예에서, 데이터 구조는 기술, 프로세스 또는 그것의 일부 조합에 의해 조직화되는 설계 데이터의 예를 포함하는 라이브러리일 수 있다. 이러한 방식에서, 데이터 구조는 결함을 온-툴로 분류하는데 사용될 수 있는 POI 설계 예의 세트를 포함할 수 있고, POI 설계 예는 기술, 프로세스 스텝 또는 임의의 다른 적합한 정보에 의해 조직화될 수 있다. 데이터 구조는 당업계에 알려진 임의의 적합한 데이터 구조를 포함할 수 있고, 여기에 기술한 일 저장 매체 또는 당업계에서 알려진 임의의 다른 적합한 저장 매체와 같은 저장 매체에 저장될 수 있다.Design data corresponding to different DBCs and their different DBCs are stored in a data structure. In addition, design data corresponding to different DBCs and their different DBCs may be stored in a data structure as described above. In particular, design data corresponding to different DBCs and their different DBCs may be stored as DBC library files within the data structure. In addition, in one embodiment, the data structure may be a library containing examples of design data organized by description, process, or some combination thereof. In this manner, the data structure can include a set of POI design examples that can be used to classify defects on-tool, and the POI design examples can be organized by description, process steps, or any other suitable information. The data structure may include any suitable data structure known in the art, and may be stored in a storage medium such as one storage medium described herein or any other suitable storage medium known in the art.

상기 방법은 상기 비교 단계의 결과에 기초하여, 부분 내의 설계 데이터가 상이한 DBC에 대응하는 설계 데이터와 적어도 유사한지를 판정하는 단계를 또한 포함한다. 이러한 판정 단계는 여기에 기술한 임의의 실시예에 따라 수행할 수 있다. 일부 실시예에서, 이러한 판정 단계는 부분 내의 설계 데이터가 상이한 DBC에 대응하는 설계 데이터에 적어도 유사한지를 판정하는 단계와, 상기 비교 단계에 기초하여 그 부분 내의 설계 데이터가 상이한 DBC에 대응하는 설계 데이터의 1 이상의 속성와 적어도 유사한지를 판정하는 단계를 포함한다. 1 이상의 속성은 여기에 기술한 임의의 속성을 포함할 수 있다. 예컨대, 1 이상의 속성은 결함을 검출하는데 사용된 검사 시스템에 관한 정보(예컨대, 검사 시스템 유형, 결함이 검출되는 시간에 검사 시스템이 작동하는 그 검사 시스템의 1 이상의 매개변수 등) 및/또는 결함에 대한 속성(예컨대, 사이즈, 러프(rough) 빈, 극성 등)를 포함할 수 있다.The method also includes determining, based on the result of the comparing step, whether the design data in the portion is at least similar to the design data corresponding to the different DBCs. This determination step may be performed in accordance with any of the embodiments described herein. In some embodiments, the determining step includes determining whether the design data in the portion is at least similar to the design data corresponding to the different DBC, and based on the comparing step, the design data in the portion corresponding to the DBC in which the design data in the portion corresponds to the different DBC. Determining whether it is at least similar to at least one attribute. One or more attributes may include any of the attributes described herein. For example, one or more attributes may be associated with defects and / or information about the inspection system used to detect the defect (e.g., inspection system type, one or more parameters of the inspection system operating at the time the defect is detected, etc.) and / or defects. For attributes (eg, size, rough bin, polarity, etc.).

또한, 상기 방법은 부분 내의 설계 데이터에 적어도 유사한 설계 데이터에 대응하는 DBC를 결함에 할당하는 단계를 포함한다. 그 할당 단계는 임의의 적합한 방식으로 수행할 수 있다. 일부 실시예에서, 상기 할당 단계는, 부분 내의 설계 데이터에 적어도 유사하며 그 부분 내의 설계 데이터의 1 이상의 속성에 적어도 유사한 1 이상의 속성을 갖는 설계 데이터에 대응하는 DBC를 결함에 할당하는 단계를 포함한다. 일 실시예에서, 1 이상의 속성은 결함이 검출된 검사 결과의 1 이상의 속성와, 검사의 1 이상의 속성와, 그것의 일부 조합을 포함할 수 있다. 1 이상의 속성은 여기에 기술한 임의의 다른 속성을 또한(또는 대안적으로) 포함할 수 있다.The method also includes assigning a defect to the DBC corresponding to the design data at least similar to the design data in the portion. The assignment step can be performed in any suitable manner. In some embodiments, the assigning step comprises assigning a defect to the DBC corresponding to the design data having at least one attribute that is at least similar to the design data in the portion and at least similar to the at least one attribute of the design data in the portion. . In one embodiment, the one or more attributes may include one or more attributes of the inspection result for which a defect was detected, one or more attributes of the inspection, and some combination thereof. One or more attributes may also include (or alternatively) any other attribute described herein.

상기 방법은 그 할당 단계의 결과를 저장 매체에 저장하는 단계를 더 포함한다. 그 결과는 임의의 적합한 방식 또는 여기에 기술한 바와 같이 저장 매체에 저장될 수 있다. 저장 매체는 여기에 기술한 임의의 저장 매체 또는 당업계에 알려진 임의의 다른 적합한 저장 매체를 포함할 수 있다.The method further includes storing the result of the assigning step in a storage medium. The result can be stored in a storage medium in any suitable manner or as described herein. The storage medium may include any storage medium described herein or any other suitable storage medium known in the art.

전술한 컴퓨터-구현 방법은 일 실시예에서 결함을 검출하는데 사용된 검사 시스템에 의해 수행된다. 이러한 방식에서, 여기에 기술하는 바와 같이 결함에 범주를 할당하는 단계는 온-툴로 수행될 수 있다. 다른 실시예에서, 컴퓨터-구현 방법은 결함을 검출하는데 사용된 검사 시스템 이외의 다른 시스템에 의해 수행된다. 이러한 방식에서, 여기에 기술하는 바와 같이 결함에 범주를 할당하는 단계는 오프-툴로 수행할 수 있다.The computer-implemented method described above is performed by an inspection system used to detect a defect in one embodiment. In this manner, assigning a category to a defect as described herein may be performed on-tool. In another embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect the defect. In this manner, assigning a category to a defect as described herein can be performed off-tool.

일 실시예에서, 상기 방법은 결함의 위치에 가까운 설계 데이터의 부분 내의 다각형에 대한 각 그룹 내의 결함의 위치가 적어도 유사하도록, 1 이상의 DBC가 할당된 결함을 그룹으로 비닝하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 DBC 및 부분 내의 결함의 위치에 기초하여 결함을 그룹으로 분리하는 단계를 포함할 수 있다. 다각형에 대한 결함의 위치는 여기에 기술하는 바와 같이 결정될 수 있다. 또한, 그러한 비닝은 여기에 기술하는 바와 같이 추가로 수행될 수 있다.In one embodiment, the method includes binning the defects assigned to one or more DBCs into groups such that the position of the defects in each group relative to the polygon in the portion of the design data close to the position of the defects is at least similar. In this manner, the method may include separating the defects into groups based on the location of the defects within the DBC and the portion. The location of the defect relative to the polygon can be determined as described herein. In addition, such binning can be further performed as described herein.

일부 실시예에서, 상기 방법은 상기 할당 단계에 기초하여 설계 데이터 내의 핫 스폿을 감시하는 단계를 포함한다. 예컨대, DBC 또는 상이한 DBC에 대응하는 설계 데이터는 설계 데이터 내의 핫 스폿과 관련될 수 있다. 핫 스폿은 여기에 기술한 바와 같이 설계 데이터 내에서 식별될 수 있다. 전술한 바와 같이 설계 데이터 내의 핫 스폿을 감시하는 단계는 핫 스폿과 관련된 DBC 또는 상이한 DBC에 대응하고 핫 스폿과 관련된 설계 데이터에 할당된 결함의 수가 시간에 걸쳐 변하는지를 판정하는 단계를 포함할 수 있다. 또한, 할당 단계의 결과에 기초하여 설계 데이터 내의 핫 스폿을 감시하는 단계는, 상이한 DBC가 할당된 결함의 1 이상의 속성와 같이 여기에 기술한 임의의 다른 데이터와 조합하여, 상기 할당 단계의 결과에 기초하여 수행될 수 있다. 또한, 상기 방법은 위치(예컨대, 가까운 위치)에 기초하여 핫 스폿을 감시하는 단계를 포함할 수 있다. 다른 실시예에서, 상기 방법은 DBC에 대응하는 설계 데이터에 기초하여 핫 스폿을 비닝하는 단계를 포함할 수 있다. 핫 스폿의 그러한 비닝은 여기에 기술한 바와 같이 수행될 수 있다. 핫 스폿을 비닝하는 단계는, 핫 스폿의 위치를 포함하고 어느 핫 스폿이 적어도 유사한지를 지시하는 핫 스폿의 1 이상의 데이터 구조(예컨대, 리스트, 데이터베이스, 파일 등)를 생성하는 단계를 포함할 수 있다. 핫 스폿의 그러한 비닝 단계는 온-툴로 수행될 수 있다.In some embodiments, the method includes monitoring hot spots in design data based on the assigning step. For example, design data corresponding to a DBC or different DBCs may be associated with hot spots in the design data. Hot spots can be identified in the design data as described herein. As described above, monitoring the hot spot in the design data may include determining whether the number of defects corresponding to the DBC associated with the hot spot or a different DBC and assigned to the design data associated with the hot spot changes over time. . In addition, monitoring hot spots in the design data based on the results of the assigning step is based on the results of the assigning step, in combination with any other data described herein, such as one or more attributes of the defects to which different DBCs are assigned. Can be performed. The method may also include monitoring hot spots based on location (eg, near location). In another embodiment, the method may include binning the hot spots based on design data corresponding to the DBC. Such binning of hot spots can be performed as described herein. Binning the hot spots may include generating one or more data structures (eg, lists, databases, files, etc.) of the hot spots that include the location of the hot spots and indicate which hot spots are at least similar. . Such binning steps of hot spots can be performed on-tool.

다른 실시예에서, 상기 방법은 할당 단계의 결과를 사용하여 체계적 결함, 잠재적인 체계적 결함, 또는 그것의 일부 조합을 시간에 걸쳐 감시하는 단계를 포함한다. 예컨대, 할당 단계의 결과는 설계 데이터 내의 체계적 이슈를 식별하기 위해 사용될 수 있고, 그 식별된 체계적 이슈는 웨이퍼에 걸쳐 및/또는 시간에 걸쳐 감시될 수 있다. 체계적 이슈는 여기에 추가로 기술하는 바와 같은 할당 단계의 결과에 기초하여 결정될 수 있다. 또한, 체계적 결함, 잠재적인 체계적 결함, 또는 그것의 일부 조합은 여기에 기술하는 바와 같이 추가로 수행될 수 있다.In another embodiment, the method includes monitoring, over time, a systematic fault, a potential systematic fault, or some combination thereof using the results of the allocation step. For example, the results of the allocation step can be used to identify systematic issues in the design data, and the identified systematic issues can be monitored across the wafer and / or over time. Systematic issues may be determined based on the results of the allocation steps as further described herein. In addition, systematic defects, potential systematic defects, or some combination thereof may be further performed as described herein.

일 실시예에서, 상이한 DBC에 대응하는 설계 데이터는 설계 데이터 스페이스 내의 1 이상의 다른 웨이퍼에서 검출된 결함의 위치에 가까운 설계 데이터의 부분에 기초하여 1 이상의 다른 웨이퍼 상에서 검출된 결함을 그룹화함으로써 식별된다. 결함의 그러한 그룹화는 여기에 기술하는 바와 같이 수행될 수 있다. 그룹화의 결과는 상이한 DBC에 대응하는 설계 데이터를 식별하는데 사용될 수 있다. 예컨대, 결함의 각 그룹에 대응하는 설계 데이터는 상이한 DBC에 대응하는 설계 데이터로서 식별될 수 있다. 또한, 설계 데이터에 대응하는 상이한 DBC는 여기에 기술하는 바와 같이 수행될 수 있는 그룹으로의 결함의 분류, 설계 데이터의 1 이상의 속성, 결함의 1 이상의 속성, 여기에 기술한 임의의 다른 정보, 또는 그것의 일부 조합에 의해 결정될 수 있다.In one embodiment, design data corresponding to different DBCs is identified by grouping the detected defects on one or more other wafers based on the portion of the design data close to the location of the detected defects on one or more other wafers in the design data space. Such grouping of defects can be performed as described herein. The result of the grouping can be used to identify design data corresponding to different DBCs. For example, design data corresponding to each group of defects may be identified as design data corresponding to different DBCs. In addition, different DBCs corresponding to the design data may include classification of defects into groups that may be performed as described herein, one or more attributes of the design data, one or more attributes of the defects, any other information described herein, or May be determined by some combination thereof.

다른 실시예에서, 상기 방법은 결함에 할당된 DBC에 기초하여 그 결함이 뉴슨스 결함인지를 판정하는 단계와, 검사 프로세스 결과의 S/N을 증가시키기 위해 결함이 검출된 검사 프로세스의 결과로부터 뉴슨스 결함을 제거하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 뉴슨스 필터링을 포함할 수 있다. 뉴슨스 결함으로서 판정된 결함은 뉴슨스 DBC(예컨대, LES의 DBC)가 할당된 결함, DBC가 할당되지 않은 결함, 또는 결함이 수율 관련적 결함이 아니거나 결함이 관심없는 결함임을 지시하는 DBC가 할당된 결함일 수 있다. 검사 결과의 S/N을 증가시키기는 것은, 특히 그 검사 결과가 1 이상의 다른 단계를 수행하는데 사용되어서 그 다른 단계 결과의 S/N을 증가시키는 경우 특히 이롭다.In another embodiment, the method includes determining whether the defect is a Nuson's defect based on a DBC assigned to the defect and from the result of the inspection process in which the defect was detected to increase the S / N of the inspection process result. Removing the slack defect. In this manner, the method may include Newsons filtering. Defects that are determined to be Newson's defects are those assigned to them by a Newsons DBC (eg, LES's DBC); It may be an assigned defect. Increasing the S / N of a test result is particularly advantageous when the test result is used to perform one or more other steps to increase the S / N of that other step result.

일부 실시예에서, 상기 방법은 패턴 의존적 결함을 지시하는 설계 데이터 내의 1 이상의 특징을 식별함으로써 설계 데이터 내의 1 이상의 POI를 판정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 설계 데이터 내의 POI를 식별하는 단계를 포함할 수 있다. 패턴 의존적 결함을 지시하는 설게 데이터 내의 1 이상의 특징은 실험 결과, 시뮬레이션 결과, 비닝 결과, 여기에 기술한 다른 결과, 또는 그것의 일부 조합에 기초하여 결정된다. 그러한 결과는 여기에 기술하는 바와 같이 생성될 수 있다. 1 이상의 POI는 설계 데이터의 임의의 패턴 검색을 수행하기 위해, 식별된 특징을 사용하여 판정될 수 있다. 식별된 특징에 적어도 유사한, 임의의 패턴 검색에 의해 판정된 설계 데이터 내의 패턴은 POI로서 식별될 수 있다. 1 이상의 POI는 1 이상의 패턴 의존적 결함에 대해 이러한 방식으로 판정될 수 있다.In some embodiments, the method includes determining one or more POIs in the design data by identifying one or more features in the design data indicating pattern dependent defects. In this manner, the method may include identifying a POI in the design data. One or more features in the design data indicating pattern dependent defects are determined based on experimental results, simulation results, binning results, other results described herein, or some combination thereof. Such results can be generated as described herein. One or more POIs may be determined using the identified features to perform any pattern search of the design data. Patterns in the design data determined by any pattern search, at least similar to the identified features, may be identified as POIs. One or more POIs may be determined in this manner for one or more pattern dependent defects.

여기에 기술한 방식에서 DBC가 할당된 결함은 검사 프로세스 내에서 검출된다. 일 실시예에서, 상기 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 위치를 리뷰하는 단계와, 그 리뷰 단계의 결과에 기초하여, 결함이 1 이상의 POI의 위치에서 검출되었어야 하는지를 판정하는 단계와, 1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다. 본 실시예의 각각의 단계는 여기에 기술하는 바와 같이 수행될 수 있다.In the manner described here, defects assigned a DBC are detected within the inspection process. In one embodiment, the method includes the steps of reviewing a location on a wafer on which one or more POIs in the design data are printed, and determining, based on the results of the reviewing step, whether a defect should have been detected at the location of one or more POIs. And modifying the inspection process to improve one or more defect capture rates. Each step of this embodiment may be performed as described herein.

다른 실시예에서, 상기 방법은 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 추가적인 실시예에서, 상기 방법은 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 DBC에 대한 KP 값을 결정하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 1 이상의 결함에 할당된 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 결함에 대한 KP 값을 결정하는 단계를 포함한다. 이들 단계의 각각은 여기에 기술하는 바와 같이 수행할 수 있다. 일부 실시예에서, 상기 방법은 1 이상의 DBC에 대한 KP 값을 감시하는 단계와, 그 결함에 할당된 DBC에 대한 KP 값을 그 결함에 할당하는 단계를 포함한다. 1 이상의 DBC에 대한 KP 값은 여기에 기술하는 바와 같이 감시될 수 있다. 이러한 방식에서, 1 이상의 DBC에 대한 KP 값은 시간에 걸쳐 수정될 수 있고, 및/또는 결함이 검출된 시간에서, 결함에 할당된 DBC에 대한 KP 값은 비교적 높은 정확도로 결함에 할당될 수 있다. 결함에 할당된 DBC에 기초하여 KP 값을 결함에 할당하는 단계는 여기에 기술하는 바와 같이 추가로 수행할 수 있다.In another embodiment, the method includes determining a KP value for one or more defects. In a further embodiment, the method includes determining a KP value for at least one DBC based on at least one attribute of the design data corresponding to the DBC. In a further embodiment, the method includes determining a KP value for one or more defects based on one or more attributes of the design data corresponding to the DBC assigned to the one or more defects. Each of these steps can be performed as described herein. In some embodiments, the method includes monitoring KP values for one or more DBCs and assigning the KP values for the DBCs assigned to the defects to the defects. KP values for one or more DBCs can be monitored as described herein. In this manner, the KP value for one or more DBCs may be modified over time, and / or at the time the defect is detected, the KP value for the DBC assigned to the defect may be assigned to the defect with relatively high accuracy. . The step of assigning the KP value to the defect based on the DBC assigned to the defect may be further performed as described herein.

일부 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 리뷰를 위한 적어도 일부의 결함을 선택하는 단계를 포함한다. 예컨대, 할당 단계의 결과는 어느 결함이 여기에 기술한 바와 같이 가장 중요한지를 결정하기 위해 사용될 수 있고(예컨대, 결함에 할당된 DBC의 1 이상의 속성에 기초하여), 가장 중요한 결함이 리뷰를 위해 선택될 수 있다.In some embodiments, the method includes selecting at least some defects for review based on the results of the assigning step. For example, the results of the allocation step can be used to determine which defects are most important as described herein (eg, based on one or more attributes of the DBC assigned to the defects) and the most important defect is selected for review. Can be.

다른 예에서, 그 할당 결과는 여기에 기술하는 바와 같이 어느 결함이 체계적 결함인지를 결정하는데 사용될 수 있다. 이러한 방식에서, 상기 방법은 DOI가 발생할 경향이 있는 설계 데이터 내의 영역으로부터의 리뷰 샘플링을 포함할 수 있다.In another example, the assignment result can be used to determine which fault is a systematic fault as described herein. In this manner, the method may include review sampling from an area within the design data where DOI tends to occur.

일 실시예에서, 상기 방법은 결함에 할당된 DBC가 리뷰 시스템에 가시적인 체계적 결함에 대응하는지를 판정하는 단계와, 리뷰 시스템에 가시적인 결함만을 리뷰를 위해 선택하여 리뷰를 위한 결함을 샘플링하는 단계를 포함한다. 리뷰 시스템에 가시적이거나 가시적이지 않은 체계적 결함에 대응하는 DBC는 당업계에 알려진 임의의 방식으로 결정할 수 있다. 리뷰 시스템에 가시적인 체계적 결함에 대응하는 DBC는 상기 방법 이전에 결정될 수 있고, DBC에는 그 DBC가 가시적이거나 가시적이지 않은 결함에 대응하는지를 지시하는 일부 아이덴티티가 할당될 수 있다. 이러한 방식에서, 결함은 이러한 아이덴티티에 기초하여 리뷰를 위해 선택될 수 있다. 리뷰 시스템에 가시적인 결함만을 선택하는 단계는, SEM과 같은 리뷰 시스템에 가시적이지 않은 결함이 리뷰를 위해 선택되지 않도록 수행될 수 있다. 리뷰 동안에 결함의 재-위치화가 비교적 어렵고, 특히 리뷰 시스템이 그 리뷰 시스템에 실제 비가시적인 결함을 찾는데 많은 시간을 소비하는 경우 비교적 시간 소모적일 수 있기 때문에, 그러한 방식에서의 결함 선택이 특히 이롭다. 리뷰를 위한 결함 선택 결과는 웨이퍼 상의 리뷰를 위한 선택 결함의 위치와, 여기에 기술한 방법의 임의의 단계의 다른 결과를 포함할 수 있다.In one embodiment, the method includes determining whether a DBC assigned to a defect corresponds to a systematic defect visible to the review system, and selecting only defects visible to the review system for review to sample the defect for review. Include. DBCs corresponding to systematic defects that are visible or invisible to the review system may be determined in any manner known in the art. The DBC corresponding to a systematic defect visible to the review system may be determined prior to the method, and the DBC may be assigned some identity indicating whether the DBC corresponds to a visible or non-visible defect. In this way, defects can be selected for review based on this identity. Selecting only defects visible to the review system may be performed such that defects not visible to the review system, such as the SEM, are not selected for review. Defect selection in this manner is particularly advantageous because re-location of the defects during the review is relatively difficult and can be relatively time consuming, especially if the review system spends a lot of time looking for actual invisible defects in the review system. The defect selection result for review may include the location of the selection defect for review on the wafer and other results of any step of the method described herein.

상기 방법은 할당 단계의 결과에 기초하여 프로세스, 측정 또는 테스트를 채택하는 단계를 포함할 수 있다. 예컨대, 다른 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 리뷰를 위한 결함을 샘플링하는 프로세스를 생성하는 단계를 포함한다. 따라서, 리뷰를 위한 결함의 선택 대신에 또는 그것에 부가하여, 상기 방법은 리뷰를 위한 결함을 샘플링하기 위하여 그 방법, 다른 방법, 그 방법을 수행하도록 구성된 시스템, 또는 다른 시스템에 의해 사용될 수 있는 프로세스를 생성하는 단계를 포함할 수 있다. 그러한 프로세스는 리뷰를 위해 복수의 웨이퍼 상에서 검출된 결함의 샘플링 및/또는 복수의 리뷰 시스템에 의해 수행되는 리뷰를 위한 결함의 샘플링에 사용할 수 있다. 샘플링을 위한 프로세스는 동일한 DBC가 할당된 비교적 큰 수의 결함이 동일한 DBC가 할당된 비교적 작은 수의 결함보다 더 중점적으로 샘플링될 수 있도록, 할당 단계의 결과에 기초하여 생성될 수 있다. 리뷰를 위한 결함을 샘플링을 위한 프로세스는 결함에 대한 DCI, 결함에 대한 KP 값 등과 같이 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과와 조합하여 상기 할당 단계의 결과에 기초하여 생성할 수 있다.The method may include adopting a process, measurement or test based on the results of the assigning step. For example, in another embodiment, the method includes generating a process of sampling a defect for review based on the results of the assigning step. Thus, instead of or in addition to the selection of a defect for review, the method may employ a method, another method, a system configured to perform the method, or a process that may be used by another system to sample the defect for review. It may comprise the step of generating. Such a process may be used for sampling of defects detected on a plurality of wafers for review and / or sampling of defects for review performed by the plurality of review systems. The process for sampling may be generated based on the result of the allocation step such that a relatively large number of defects assigned the same DBC can be sampled more intensively than a relatively small number of defects assigned the same DBC. The process for sampling the defect for review is generated based on the result of the assignment step in combination with any other result of any step of any method described herein, such as the DCI for the defect, the KP value for the defect, and the like. can do.

추가적인 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 웨이퍼 검사용 프로세스를 변경하는 단계를 포함한다. 웨이퍼 검사용 프로세스의 임의의 매개변수는 본 실시예에서 변경될 수 있다. 예컨대, 할당 단계의 결과에 기초하여 변경될 수 있는 웨이퍼 검사용 프로세스의 1 이상의 매개변수는 주의 영역(또는 대안적으로는 비-주의 영역), 감시도, 인-라인 비닝 프로세스, 검사 영역, 어느 웨이퍼가 검사될지, 또는 그것의 일부 조합을 비한정적으로 포함할 수 있다. 일 특정 예에서, 할당 단계의 결과는 상이한 DBC가 할당된 결함의 수를 지시할 수 있고, 주의 영역은, 비교적 많은 수의 결함이 할당되는 DBC에 대응하는 설계 데이터를 또한 포함하는 설계 데이터 스페이스 내의 추가적인 위치에 대응하는 웨이퍼 상의 위치를 포함하도록 변경될 수 있다. 다른 예에서, 웨이퍼 검사용 프로세스는 할당 단계의 결과에 기초하여 더 또는 상이하게 검사하기 위하여 변경될 수 있다. 웨이퍼 검사용 프로세스는 여기에 기술한 방법의 임의의 단계의 임의의 결과에 기초하여 변경될 수 있다.In a further embodiment, the method includes changing the process for wafer inspection based on the results of the allocation step. Any parameter of the wafer inspection process can be changed in this embodiment. For example, one or more parameters of the process for wafer inspection, which may be changed based on the result of the allocation step, include: attention region (or alternatively, non-attention region), surveillance, in-line binning process, inspection region, The wafer may be inspected, or may include without limitation some combination thereof. In one particular example, the result of the allocation step may indicate the number of defects to which different DBCs have been assigned, and the attention area may also include design data corresponding to the DBCs to which a relatively large number of defects are assigned. It can be changed to include a location on the wafer that corresponds to the additional location. In another example, the wafer inspection process may be modified to inspect more or differently based on the results of the allocation step. The process for wafer inspection can be modified based on any result of any step of the method described herein.

일부 실시예에서, 상기 방법은 그 검사 결과에 기초하여 검사 동안 웨이퍼의 검사용 프로세스를 변경하는 단계를 포함한다. 본 실시예에서 검사용 프로세스를 변경하는 단계는 여기에 추가로 기술하는 바와 같이 수행할 수 있다.In some embodiments, the method includes changing a process for inspecting a wafer during inspection based on the inspection results. Changing the inspection process in this embodiment may be performed as further described herein.

추가의 실시예에서, 상기 방법은 상기 할당 단계의 결과에 기초하여 웨이퍼에 대한 계측 프로세스를 변경하는 단계를 포함한다. 예컨대, 계측 프로세스는 할당 단계의 결과로부터 결정되는 바와 같은 가장 중요한 결함이 계측 프로세스 동안에 측정되도록 변경될 수 있다. 따라서, 계측 프로세스를 변경하는 단계는 계측 프로세스 동안에 측정이 수행되는 웨이퍼 상의 위치를 변경하는 단계를 포함할 수 있다. 또한, 측정에 대해 선택된 BF 이미지 및/또는 SEM 이미지와 같은 검사 및/또는 리뷰의 결과는 계측 프로세스에 공급되어, 그 결과는 측정이 수행될 개소를 결정하는데 사용될 수 있다. 예컨대, 계측 프로세스는 웨이퍼 상의 결함의 가까운 위치의 이미지를 생성하는 단계를 포함할 수 있고, 필요한 경우, 계측이 정확한 웨이퍼 위치에서 따라서 정확한 결함에 대하여 수행되도록, 계측 시스템이 웨이퍼의 위치를 보정할 수 있도록, 그러한 이미지는 결함에 대한 검사 및/또는 리뷰의 결과에 비교될 수 있다. 이러한 방식에서, 상기 측정은 웨이퍼 상의 실질적으로 정확한 위치에서 수행될 수 있다. 계측 프로세스를 변경하는 단계는 수행된 측정의 유형, 측정이 수행되는 파장, 측정이 수행되는 각도 등, 또는 그것의 일부 조합과 같은 계측 프로세스의 임의의 다른 1 이상의 매개변수를 변경하는 단계를 또한 포함할 수 있다. 계측 프로세스는 CD 측정 계측 프로세스와 같이 당업계에 알려진 임의의 적합한 계측 프로세스를 포함할 수 있다.In a further embodiment, the method includes changing the metrology process for the wafer based on the result of the assigning step. For example, the metrology process may be modified such that the most significant defect as determined from the result of the assignment step is measured during the metrology process. Thus, changing the metrology process may include changing the position on the wafer where the measurement is performed during the metrology process. In addition, the results of the inspection and / or review, such as the BF image and / or SEM image selected for the measurement, are supplied to the metrology process, and the result can be used to determine where the measurement will be performed. For example, the metrology process may include generating an image of a near position of the defect on the wafer, and if necessary, the metrology system may correct the position of the wafer so that the metrology is performed at the correct wafer position and therefore for the correct defect. Such an image may be compared to the results of inspection and / or review for defects. In this way, the measurement can be performed at a substantially accurate position on the wafer. Changing the metrology process also includes changing any other one or more parameters of the metrology process, such as the type of measurement performed, the wavelength at which the measurement is performed, the angle at which the measurement is performed, or some combination thereof. can do. The metrology process may include any suitable metrology process known in the art, such as a CD metrology metrology process.

일부 실시예에서, 상기 방법은 할당 단계의 결과에 기초하여 계측 프로세스에 대한 샘플링 플랜을 변경하는 단계를 포함한다. 따라서, 상기 방법은 적응형 샘플링을 포함할 수 있다. 예컨대, 계측 프로세스에 대한 샘플링 플랜은 비닝 스텝의 결과로부터 결정된 바와 같은 가장 중요한 결함의 많은 수가 계측 프로세스 동안에 측정되도록 변경될 수 있다. 이러한 방식에서, 가장 중요한 결함은 계측 프로세스 동안에 더욱 중점적으로 샘플링될 수 있고, 이에 의해 가장 중요한 결함에 대한 다량의 정보를 이롭게 생성할 수 있다. 계측 프로세스는 당업계에 알려진 임의의 계측 프로세스를 포함할 수 있다. 또한, 계측 프로세스는 SEM과 같이 당업계에서 알려진 임의의 적합한 계측 시스템에 의해 수행할 수 있다. 또한, 계측 프로세스는 프로파일, 두께, CD 등과 같이 웨이퍼 상에 형성된 특징 또는 결함의 임의의 적합한 속성의 당업계에 알려진 임의의 적합한 측정을 수행하는 단계를 포함할 수 있다.In some embodiments, the method includes changing the sampling plan for the metrology process based on the result of the assigning step. Thus, the method may include adaptive sampling. For example, the sampling plan for the metrology process can be modified so that the majority of the most significant defects as determined from the results of the binning step are measured during the metrology process. In this way, the most important defects can be sampled more intensively during the metrology process, thereby advantageously generating large amounts of information about the most important defects. The metrology process can include any metrology process known in the art. The metrology process may also be performed by any suitable metrology system known in the art, such as SEM. In addition, the metrology process may include performing any suitable measurement known in the art of any suitable attribute of a feature or defect formed on the wafer, such as a profile, thickness, CD, and the like.

다른 실시예에서, 상기 방법은 1 이상의 DBC(예컨대, 결함에 할당된 DBC)를 우선순위화하는 단계와, 그 우선순위화 단계의 결과에 기초하여 설계 데이터가 인쇄될 웨이퍼에 대하여 수행될 1 이상의 프로세스를 최적화하는 단계를 포함한다. 그러한 일 실시예에서, DBC는 그 DBC가 할당된 결함의 수에 기초하여 우선순위화될 수 있다. DBC가 할당된 결함의 수는 할당 단계의 결과로부터 결정할 수 있다. 그러한 일 예에서, 최대 수의 결함에 할당된 DBC는 가장 높은 우선순위가 할당될 수 있고, 다음으로 최대인 수의 결함에 할당된 DBC에는 다음으로 높은 우선순위가 할당될 수 있다.In another embodiment, the method prioritizes one or more DBCs (e.g., DBCs assigned to defects), and based on the results of the prioritization step, one or more DBs to be performed on the wafer on which the design data will be printed. Optimizing the process. In one such embodiment, the DBC may be prioritized based on the number of defects to which the DBC has been assigned. The number of defects assigned to the DBC can be determined from the result of the allocation step. In such an example, the DBC assigned to the maximum number of defects may be assigned the highest priority, and the DBC assigned to the next highest number of defects may be assigned the next highest priority.

또한(또는 대안적으로), DBC는 여기에 기술한 임의의 방법의 임의의 단계의 임의의 다른 결과와, 여기에 기술한 임의의 방법의 임의의 단계 결과의 임의 조합에 기초하여 우선순위화될 수 있다. 예컨대, DBC 우선순위화는 DBC가 할당되는 1 이상의 결함에 대한 DCI를 결정하는 단계와, 1 이상의 결함에 대한 DCI에 기초하여 DBC를 우선순위화하는 단계를 포함할 수 있다. DCI는 본 실시예에서 여기에 추가로 기술하는 바와 같이 결정될 수 있다. 다른 예에서, DBC를 우선순위화하는 단계는, DBC가 할당된 1 이상의 결함에 대한 KP 값을 결정하는 단계와, 1 이상의 결함에 대한 KP 값에 기초하여 DBC를 우선순위화하는 단계를 포함할 수 있다. 또 다른 예에서, DBC는 그 DBC가 할당된 결함의 수와, DBC가 할당된 1 이상의 결함에 대한 DCI의 조합에 기초하여 우선순위화될 수 있다. 이러한 방식에서, DBC를 우선순위화하는 단계는, 가장 높은 결함도에 대응하는 DBC에 가장 높은 우선순위가 할당되도록, DBC에 대응하는 설계 데이터 내에서 검출된 결함도에 기초하여 DBC를 우선순위화하는 단계를 포함할 수 있다.In addition (or alternatively), the DBC may be prioritized based on any combination of any other result of any step of any method described herein and any step result of any method described herein. Can be. For example, DBC prioritization may include determining a DCI for one or more defects to which the DBC is assigned and prioritizing the DBC based on the DCI for one or more defects. DCI may be determined as described further herein in this embodiment. In another example, prioritizing the DBC may include determining a KP value for the one or more defects to which the DBC has been assigned, and prioritizing the DBC based on the KP value for the one or more defects. Can be. In another example, a DBC may be prioritized based on a combination of the number of defects assigned that DBC and the DCI for one or more defects assigned the DBC. In this manner, prioritizing the DBC prioritizes the DBC based on the defect degree detected in the design data corresponding to the DBC such that the highest priority is assigned to the DBC corresponding to the highest defect degree. It may include the step.

또한, DBC는 가능하게는 여기에 기술한 다른 결과와 조합하여, 그 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 우선순위화될 수 있다. 설계 데이터의 1 이상의 속성은 예컨대, 설계 데이터 내의 특징의 치수, 설계 데이터 내의 특징의 밀도, 설계 데이터에 포함되는 특징의 유형, 설계 내의 DBC에 대응하는 설계 데이터의 위치, 결함에 대한 설계 데이터의 수율 영향의 민감성 등, 또는 그것의 일부 조합을 포함할 수 있다. 그러한 일 예에서, 결함에 의한 수율 영향에 더욱 민감한 설계 데이터에 대응하는 DBC에는 수율에 대한 결함의 영향이 덜 민감한 설계 데이터에 대응하는 DBC보다 더 높은 우선순위가 할당될 수 있다.In addition, a DBC may be prioritized based on one or more attributes of design data corresponding to that DBC, possibly in combination with other results described herein. One or more attributes of the design data may include, for example, the dimensions of the features in the design data, the density of the features in the design data, the types of features included in the design data, the location of the design data corresponding to the DBC in the design, and the yield of the design data for defects. Sensitivity of influence, or the like, or some combination thereof. In one such example, a DBC corresponding to design data that is more sensitive to yield effects due to defects may be assigned a higher priority than DBCs corresponding to design data that are less sensitive to impact of yield.

또한, DBC는 가능하게는 그 DBC에 대응하는 설계 데이터의 1 이상의 속성 및/또는 여기에 기술한 다른 결과와 조합하여, 설계의 1 이상의 속성에 기초하여 우선순위화될 수 있다. 설계의 1 이상의 속성은 예컨대, 용장도, 네트 리스트 등, 또는 그것의 일부 조합을 포함할 수 있다. 특히, 설계 데이터 내의 POI는 POI 내에 포함된 패턴 너머의 콘텍스트를 가질 수 있다. 그러한 콘텍스트는 예컨대, POI를 포함하는 셀의 라벨, POI를 포함하는 셀 위의 셀의 계층, POI에 대한 체계적 결함의 용장도의 영향 등을 포함할 수 있다. 따라서, 여기에 기술한 실시예에 사용된 1 이상의 속성은 DBC에 대응하는 설계 데이터가 위치하는 POI의 콘텍스트를 포함할 수 있고, 이는 설계 데이터 스페이스 내의 DBC에 대응하는 설계 데이터의 위치 및/또는 DBC에 대응하는 설계 데이터에 기초하여 결정될 수 있다(DBC에 대응하는 설계 데이터가 설계 데이터 내의 셀에 특정한 경우). 그러한 일 예에서, 용장도를 갖지 않아서 체계적 결함이 현저한 수율 영향을 가질 수 있는 설계 데이터에 대응하는 DBC보다, 용장도를 가져서 체계적 결함이 설계 내의 수율 영향을 갖지 않을 수 있는 설계 데이터에 대응하는 DBC에 더 낮은 우선순위가 할당될 수 있다. 셀의 그러한 콘텍스트는 당업계에 알려진 임의의 방식으로 취득 및/또는 결정될 수 있다.In addition, a DBC may be prioritized based on one or more attributes of the design, possibly in combination with one or more attributes of the design data corresponding to that DBC and / or other results described herein. One or more attributes of the design may include, for example, redundancy, netlist, and the like, or some combination thereof. In particular, the POI in the design data may have a context beyond the pattern included in the POI. Such context may include, for example, the label of a cell containing a POI, the hierarchy of cells above the cell containing the POI, the effect of redundancy of systematic defects on the POI, and the like. Thus, one or more attributes used in the embodiments described herein may include the context of the POI where the design data corresponding to the DBC is located, which may be the location of the design data corresponding to the DBC in the design data space and / or the DBC. Can be determined based on the design data corresponding to the (if the design data corresponding to the DBC is specific to a cell in the design data). In one such example, a DBC corresponding to design data that does not have redundancy and corresponding to design data where systematic defects may have a significant yield impact may correspond to design data that has redundancy so that systematic defects may not have a yield impact within the design. May be assigned a lower priority. Such context of the cell may be obtained and / or determined in any manner known in the art.

본 실시예에서의 1 이상의 프로세스를 최적화하는 단계는 초점, 조사량, 노광 툴, 레지스트, PEB 시간, PEB 온도, 에치 시간, 에치 가스 조성, 에치 툴, 퇴적 툴, 퇴적 시간, CMP 툴, CMP 프로세스의 1 이상의 매개변수 등과 같은 1 이상 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 바람직하게는, 프로세스의 매개변수는 DBC에 대응하는 설계 데이터의 결함도(예컨대, DBC에 대응하는 설계 데이터 내에서 검출된 결함의 수)를 저하시키기 위해, DBC에 대응하는 설계 데이터 내에서 선택된 결함의 1 이상의 속성(예컨대, DCI, KP 등)를 변경하기 위해서, 및/또는 DBC에 대응하는 설계 데이터가 포함되는 장치의 수율을 증가시키기 위해 변경된다.Optimizing one or more processes in this embodiment includes focusing, dose, exposure tool, resist, PEB time, PEB temperature, etch time, etch gas composition, etch tool, deposition tool, deposition time, CMP tool, CMP process. Altering any one or more parameters of one or more processes, such as one or more parameters and the like. Preferably, the parameter of the process is a defect selected in the design data corresponding to the DBC to lower the degree of defects in the design data corresponding to the DBC (eg, the number of defects detected in the design data corresponding to the DBC). To change one or more attributes of (e.g., DCI, KP, etc.), and / or to increase the yield of devices in which design data corresponding to the DBC is included.

또한, 1 이상의 프로세스의 1 이상의 매개변수는 우선순위화 단계에 의해 결정되는 바와 같이 최고 우선순위를 갖는 DBC 또는 우선순위화 단계에 의해 결정된 바와 같이 비교적 높은 우선순위를 갖는 DBC에 대해서만 최적화될 수 있다. 이러한 방식에서, 1 이상의 프로세스의 1 이상의 매개변수는 최대 결함도 및/또는 최대 수율 영향을 갖는 결함도를 나타내는 DBC에 대응하는 설계 데이터에 기초하여 변경 및/또는 최적화될 수 있다. 그와 같이, 우선순위화 단계의 결과는 수율에서의 최대 개선을 나타내기 위해, 1 이상의 프로세스의 1 이상의 매개변수를 변경 및/또는 최적화하기 위해 어느 DBC가 사용되어야 하는지를 지시한다.In addition, one or more parameters of one or more processes may be optimized only for DBCs having the highest priority as determined by the prioritization step or DBCs having a relatively high priority as determined by the prioritization step. . In this manner, one or more parameters of the one or more processes may be modified and / or optimized based on design data corresponding to the DBC representing the defect degree with the maximum defect degree and / or the maximum yield impact. As such, the result of the prioritization step indicates which DBC should be used to change and / or optimize one or more parameters of one or more processes to indicate maximum improvement in yield.

따라서, 본 실시예는, 어느 DBC가 수율에 대하여 가장 큰 영향을 갖는지에 관한 안내 없이, 여러 변경이 수율에서의 큰 또는 임의의 개선 없이 프로세스에 이루어질 수 있어서, 프로세스 최적화에 대한 변경 시간 및 비용을 증가시키는 프로세스를 변경 및/또는 최적화하기 위한 이전에 사용된 방법 및 시스템보다 본 실시예가 유리하다.Thus, this embodiment allows several changes to be made to the process without significant or any improvement in yield, without guidance of which DBC has the greatest impact on yield, thereby reducing the change time and cost for process optimization. This embodiment is advantageous over previously used methods and systems for modifying and / or optimizing the increasing process.

또한, 이러한 단계에서 변경 및/또는 최적화되는 프로세스가 여기에 기술한 실시예에서 DBC가 할당된 결함의 검출 이전에 웨이퍼 상의 DBC에 대응하는 설계 데이터를 인쇄하는데 사용된 프로세스만을 포함할지라도, 변경 및/또는 최적화된 1 이상의 프로세스는 DBC에 대응하는 설계 데이터를 포함하는 다른 설계를 인쇄하는데 사용되는 임의의 프로세스를 포함할 수 있다. 예컨대, 1 이상의 설계가 우선순위화 및/또는 여기에 기술한 방법의 임의의 다른 결과에 기초하여 DBC에 대응하는 설계 데이터를 포함하는 경우, 1 이상의 설계를 인쇄하는데 사용된 1 이상의 프로세스가 변경 및 최적화될 수 있어서, 각각의 다른 설계로 제조된 장치의 수율을 증가시킨다.Furthermore, although the process to be changed and / or optimized at this stage includes only the process used to print the design data corresponding to the DBC on the wafer prior to detection of the defects assigned by the DBC in the embodiments described herein, the modification and One or more optimized processes may include any process used to print another design that includes design data corresponding to the DBC. For example, if one or more designs include design data corresponding to a DBC based on prioritization and / or any other result of the methods described herein, the one or more processes used to print one or more designs may be modified and It can be optimized, increasing the yield of devices manufactured with each different design.

추가의 실시예에서, 상기 방법은 결함에 할당된 DBC에 기초하여 결함의 근본 원인을 결정하는 단계를 포함한다. 예컨대, 근본 원인은 결함에 할당된 DBC에 대응하는 설계 데이터의 1 이상의 속성에 기초하여 결정될 수 있다. 근본 원인을 결정하는데 사용된 설계 데이터의 속성은 여기에 기술한 임의의 설계 데이터 속성을 포함할 수 있다. 또한, 임의의 다른 정보 및/또는 여기에 기술한 임의의 방법의 임의의 단계의 결과가, 설계 데이터의 속성와 조합하여 결함의 근본 원인을 결정하기 위해 사용될 수 있다.In a further embodiment, the method includes determining the root cause of the defect based on the DBC assigned to the defect. For example, the root cause can be determined based on one or more attributes of the design data corresponding to the DBC assigned to the defect. The attributes of the design data used to determine the root cause may include any of the design data attributes described herein. In addition, any other information and / or the result of any step of any method described herein can be used to determine the root cause of the defect in combination with the attributes of the design data.

추가의 실시예에서, 상기 방법은 결함의 적어도 일부를 실험 프로세스 창 결과에 매핑하여 결함의 적어도 일부의 근본 원인을 결정하는 단계를 포함하며, 이는 여기에 기술하는 바와 같이 수행할 수 있다.In a further embodiment, the method includes mapping at least a portion of the defect to an experimental process window result to determine the root cause of at least a portion of the defect, which may be performed as described herein.

다른 실시예에서, 상기 방법은 1 이상의 DBC에 대응하는 근본 원인을 결정하는 단계와, 결함에 할당된 DBC에 대응하는 근본 원인에 기초하여 근본 원인을 결함에 할당하는 단계를 포함한다. 예컨대, DBC에 대응하는 설계 데이터에서 이전에 검출된 결함의 근본 원인은 DBC와 관련될 수 있다. 이전에 검출한 결함의 근본 원인은 여기에 기술한 임의의 방식 또는 당업계에 알려진 임의의 다른 적합한 방식으로 결정할 수 있다. 이러한 방식에서, 결함의 근본 원인은 결함에 할당된 DBC와 관련된 근본 원인일 수 있다.In another embodiment, the method includes determining a root cause corresponding to one or more DBCs and assigning a root cause to the defect based on the root cause corresponding to the DBC assigned to the defect. For example, the root cause of a defect previously detected in design data corresponding to the DBC may be associated with the DBC. The root cause of a previously detected defect can be determined in any manner described herein or in any other suitable manner known in the art. In this manner, the root cause of the defect may be the root cause associated with the DBC assigned to the defect.

추가의 실시예에서, 상기 방법은 1 이상의 DBC가 할당되는 결함에 의해 영향을 받는 웨이퍼 상에 형성된 다이의 퍼센티지를 결정하는 단계를 포함한다. 예컨대, 그 퍼센티지는 동일한 DBC가 할당된 결함이 적어도 한번 검출되는 웨이퍼에 걸친 다이의 수에 의해 결정될 수 있다. 그러한 퍼센티지는 동일한 DBC가 할당되는 적어도 하나의 결함이 검출되는 다이의 수를 검사된 전체 다이의 수로 나누어서 결정될 수 있다. 그러한 단계의 결과에 100을 곱해 퍼센티지에 이른다. 따라서, 퍼센티지는 동일한 DBC가 할당된 결함의 다이 영향 마진을 반영한다. 그러한 퍼센티지는 결함에 할당된 1 이상의 DBC에 대해 결정될 수 있고, 퍼센티지의 각각 또는 적어도 일부는 상기 방법에 의해 생성될 수 있는 바 차트와 같은 차트로 표시될 수 있다. 따라서, 그 차트는 결함에 할당된 DBC의 함수로서 다이 영향 마진을 나타낸다. 그러한 차트는 여기에 추가로 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 나타내질 수 있다. 상기 방법은 본 실시예에서 결정된 퍼센티지에 기초하여 1 이상의 DBC가 할당된 결함을 우선순위화하는 단계를 또한 포함할 수 있다.In a further embodiment, the method includes determining the percentage of die formed on a wafer that is affected by a defect to which one or more DBCs are assigned. For example, the percentage can be determined by the number of dies across the wafer where defects assigned the same DBC are detected at least once. Such percentage can be determined by dividing the number of dies for which at least one defect to which the same DBC is assigned is detected by the total number of dies inspected. The result of such a step is multiplied by 100 to reach a percentage. Thus, the percentage reflects the die impact margin of defects assigned the same DBC. Such percentage can be determined for one or more DBCs assigned to the defect, and each or at least a portion of the percentage can be represented by a chart, such as a bar chart, which can be generated by the method. Thus, the chart shows the die impact margin as a function of the DBC assigned to the defect. Such charts can be presented in a user interface that can be configured as further described herein. The method may also include prioritizing defects assigned one or more DBCs based on the percentage determined in this embodiment.

일부 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 웨이퍼 상의 위치의 수에 대한 적어도 하나의 DBC가 할당된 결함의 수의 비율을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 웨이퍼 상에 인쇄된 DBC에 대응하는 POI의 위치의 수에 대한 DBC가 할당된 수의 비율 또는 퍼센티지를 결정하여 마진 분석을 수행하는 단계를 포함할 수 있다. 그러한 실시예에서, 웨이퍼 상의 POI의 위치는 임의의 패턴 검색에 의해 식별될 수 있다. 또한, 여기에 기술한 상기 방법은 설계의 검사 영역 내의 POI의 위치를 식별하기 위한 임의의 패턴 검색 단계와, 설계의 검사 영역 내의 POI의 누적 영역을 결정하는 단계를 포함할 수 있다. 설계의 검사 영역 내의 POI의 누적 영역에 대한 DBC가 할당된 결함의 수의 비율은 POI에 대응하는 DBC의 결함 밀도를 결정하기 위해 사용될 수 있다. 상기 방법은 본 실시예에서 결정된 1 이상의 DBC를 우선순위화하는 단계를 또한 포함할 수 있다.In some embodiments, the method includes determining a POI in design data corresponding to at least one DBC, and determining a ratio of the number of defects assigned at least one DBC to the number of locations on the wafer. do. In this manner, the method may include performing a margin analysis by determining a ratio or percentage of the number assigned by the DBC to the number of positions of the POI corresponding to the DBC printed on the wafer. In such an embodiment, the location of the POI on the wafer can be identified by any pattern search. Further, the method described herein may include any pattern retrieval step for identifying the location of the POI within the inspection area of the design, and determining the cumulative area of the POI within the inspection area of the design. The ratio of the number of defects allocated to the DBC to the cumulative area of the POI in the inspection area of the design can be used to determine the defect density of the DBC corresponding to the POI. The method may also include prioritizing the one or more DBCs determined in this embodiment.

다른 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 1 이상의 POI를 결정하는 단계와, 설계 데이터 내의 1 이상의 POI의 위치의 수에 대한 DBC가 할당된 적어도 하나의 결함의 수의 비율을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 웨이퍼의 검사 영역에 걸친 설계 내의 POI의 위치의 수에 대한 웨이퍼 상에서 발견된 POI에 대응하는 DBC가 할당된 결함의 수의 비율 또는 퍼센티지를 결정하여 마진 분석을 수행하는 단계를 포함할 수 있다. 그러한 실시예에서, 웨이퍼 상의 POI의 위치는 임의의 패턴 검색에 의해 식별될 수 있다. 이러한 방법은 본 실시예에서 결정된 비율에 기초하여 1 이상의 DBC를 우선순위화하는 단계를 또한 포함할 수 있다.In another embodiment, the method includes determining at least one POI in the design data corresponding to the at least one DBC, and determining the number of at least one defect assigned a DBC for the number of locations of the at least one POI in the design data. Determining the ratio. In this manner, the method includes performing a margin analysis by determining the ratio or percentage of the number of defects assigned to the DBC corresponding to the POI found on the wafer to the number of positions of the POI in the design over the inspection area of the wafer. It may include. In such an embodiment, the location of the POI on the wafer can be identified by any pattern search. Such a method may also include prioritizing one or more DBCs based on the rate determined in this embodiment.

추가적인 실시예에서, 상기 방법은 적어도 하나의 DBC에 대응하는 설계 데이터 내의 POI를 결정하는 단계와, 적어도 하나의 DBC가 할당된 결함이 위치되는 웨이퍼 상에서 형성된 다이의 퍼센티지를 결정하는 단계와, 그 퍼센티지에 기초하여 POI에 우선순위를 할당하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함에 의해 영향을 받은 다이의 퍼센티지에 기초하여 마진 분석을 수행하는 단계를 포함할 수 있다. 예컨대, 동일한 DBC가 할당된 결함의 수는 웨이퍼 상의 검사 영역에 설계 데이터를 인쇄하는데 사용되는 레티클 내의 POI의 설계 인스턴스의 수와, 레티클이 웨이퍼 상에 인쇄되고 검사되는 회수로 나뉠 수 있다. 이러한 단계의 결과에 100을 곱해서 퍼센티지에 이른다. 이러한 방식에서, 상기 방법은 알려진 체계적 결함을 결함이 적어도 한번 검출되는 웨이퍼에 걸친 다이의 수에 의해 우선순위화하는 단계를 포함할 수 있다. 예컨대, POI가 다이의 1%에 비하여 다이의 10%에서 출현하는 경우 체계적 결함이 검출되는 POI에 높은 우선순위가 할당될 수 있다. 다른 예에서, 웨이퍼 상의 큰 수의 다이에서 검출된, 동일한 DBC가 할당된 결함에는 웨이퍼 상의 더 작은 수의 다이에서 검출된, 상이한 DBC가 할당된 결함보다 더 높은 우선순위가 할당될 수 있다. 또한, 상기 방법은 상이한 DBC가 할당된 결함이 위치하는 웨이퍼 상에 형성된 다이의 퍼센티지를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 따라서, 그러한 차트는 상이한 DBC에 대한 다이 기반 마진을 그래픽 식으로 나타낸다. 그러한 차트는 여기에 기술한 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. In a further embodiment, the method includes determining a POI in design data corresponding to at least one DBC, determining a percentage of the die formed on the wafer where the defect to which the at least one DBC is assigned is located, and the percentage of the die; Assigning a priority to the POI based on the. In this manner, the method may include performing a margin analysis based on the percentage of die affected by the defect. For example, the number of defects assigned the same DBC can be divided by the number of design instances of the POI in the reticle used to print the design data in the inspection area on the wafer and the number of times the reticle is printed and inspected on the wafer. The result of this step is multiplied by 100 to reach a percentage. In this manner, the method may include prioritizing known systematic defects by the number of dies across the wafer at which defects are detected at least once. For example, high priority may be assigned to POIs where systematic defects are detected when POIs appear in 10% of dies relative to 1% of dies. In another example, defects assigned the same DBC, detected on a large number of dies on a wafer, may be assigned higher priority than defects assigned on different DBCs, detected on a smaller number of dies on a wafer. The method may also include generating a chart, such as a bar chart, indicating the percentage of die formed on the wafer on which the defect to which the different DBC is assigned is located. Thus, such charts graphically represent die based margins for different DBCs. Such charts may be displayed in a user interface that may be configured as described herein.

추가의 실시예에서, 상기 방법은 1 이상의 DBC가 할당되는 결함이 검출되는 수에 의해 1 이상의 DBC를 우선순위화하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 DBC가 할당되는 결함의 전체 결함 수에 의해 알려진 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 그와 같이, 상기 방법은 웨이퍼-기반 마진에 기초하여 알려진 체계적 결함을 우선순위화하는 단계를 포함할 수 있다. 예컨대, 웨이퍼 상의 큰 수의 설계 인스턴스에서 검출된 결함에 할당된 DBC에는, 웨이퍼 상의 작은 수의 설계 인스턴스에서 검출된 결함에 할당되는 DBC보다 더 높은 우선순위가 할당된다. 그러한 우선 순위화는 결함이 검출된 웨이퍼에 걸친 설계 인스턴스의 장소의 퍼센티지에 기초하여 수행될 수 있다. 예컨대, 검출되고 DBC가 할당된 결함의 수는 웨이퍼에 걸친 DBC에 대응하는 전체 검사된 설계 인스턴스로 나뉠 수 있다. 이러한 단계의 결과에 100을 곱해 전술한 퍼센티지를 생성한다. 또한, 상기 방법은 상이한 DBC가 할당된 레티클에 걸친 설계 인스턴스의 수를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다.In a further embodiment, the method includes prioritizing the one or more DBCs by the number of defects to which one or more DBCs are assigned. In this manner, the method may include prioritizing a systematic defect known by the total number of defects of which the DBC is assigned. As such, the method may include prioritizing known systematic defects based on wafer-based margins. For example, a DBC assigned to a defect detected in a large number of design instances on a wafer is assigned a higher priority than a DBC assigned to a defect detected in a small number of design instances on a wafer. Such prioritization may be performed based on the percentage of the location of the design instance across the wafer where the defect was detected. For example, the number of defects detected and assigned to the DBC may be divided by the total inspected design instance corresponding to the DBC across the wafer. The result of this step is multiplied by 100 to generate the percentage mentioned above. The method may also include generating a chart, such as a bar chart, indicating a number of design instances across a reticle to which different DBCs have been assigned. Such charts can be displayed in a user interface that can be configured as described herein.

일부 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 적어도 한번 검출되는 웨이퍼 상의 설계 데이터를 인쇄하는데 사용된 레티클 상의 설계 인스턴스의 수에 의해 1 이상의 DBC를 우선순위화하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 결함이 적어도 한번 발견되는 레티클에 걸친 설계 인스턴스의 수에 의해 알려진 체계적 결함을 우선순위화하는 단계를 포함한다. 예컨대, 레티클 상에서 큰 수의 설계 인스턴스에서 검출된 결함에 할당되는 DBC에는, 레티클 상의 작은 수의 설계 인스턴스에서 검출된 결함에 할당되는 DBC보다 높은 우선순위가 할당될 수 있다. 또한, 상기 방법은 상이한 DBC가 할당된 결함이 검출되는 레티클에 걸친 설계 인스턴스의 수를 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 기술하는 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다.In some embodiments, the method includes prioritizing the one or more DBCs by the number of design instances on the reticle used to print the design data on the wafer at which one or more DBCs assigned defects are detected at least once. In this manner, the method includes prioritizing systematic defects known by the number of design instances across the reticle in which the defect is found at least once. For example, a DBC assigned to a defect detected in a large number of design instances on a reticle may be assigned a higher priority than a DBC assigned to a defect detected in a small number of design instances on a reticle. The method may also include generating a chart, such as a bar chart, indicating the number of design instances across the reticle for which defects assigned different DBCs are detected. Such charts can be displayed in a user interface that can be configured as described herein.

다른 실시예에서, 상기 방법은 1 이상의 DBC가 할당된 결함이 검출되는 레티클 상의 장소의 수와, 1 이상의 DBC가 할당된 결함의 위치에 가까운 설계 데이터의 부분에 적어도 유사한 레티클 상에 인쇄된 설계 데이터의 부분의 전체 수에 기초하여 1 이상의 DBC에 대한 레티클-기반 마진을 결정하는 단계를 포함한다. 예컨대, 레티클-기반 마진은 DBC가 할당된 적어도 하나의 결함이 검출된 적층 레티클 맵 내의 장소의 수를 레티클에 걸친 전체 검사 설계 인스턴스로 나눔으로써 결정될 수 있다. 이러한 단계의 결과에 100을 곱해서, DBC가 할당된 결함이 검출된 DBC에 대응하는 설계 인스턴스의 장소의 퍼센티지를 생성한다. 또한, 상기 방법은 상이한 DBC가 할당된 결함이 검출된 장소의 퍼센티지 또는 레티클-기반 마진을 나타내는 바 차트와 같은 차트를 생성하는 단계를 포함할 수 있다. 그러한 차트는 여기에 추가로 기술한 바와 같이 구성될 수 있는 유저 인터페이스에 표시될 수 있다. 상기 방법은 1 이상의 DBC에 대하여 결정된 레티클-기반 마진에 기초하여 1 이상의 DBC를 우선순위화하는 단계를 포함할 수 있다. 예컨대, 비교적 높은 레티클-기반 마진을 보이는 DBC에는 낮은 레티클-기반 마진을 보이는 DBC보다 더 높은 우선순위가 할당될 수 있다. 여기에 기술한 실시예의 단계는 동일한 DBC가 할당된 결함의 그룹에 대해 또는 DBC가 할당된 개별 결함에 대해 수행될 수 있다.In another embodiment, the method includes design data printed on a reticle that is at least similar to the number of places on the reticle where a defect assigned one or more DBCs is detected, and a portion of design data close to the location of the defects assigned one or more DBCs. Determining a reticle-based margin for the one or more DBCs based on the total number of portions of. For example, the reticle-based margin can be determined by dividing the number of places in the stacked reticle map where the DBC has been assigned at least one defect detected by the entire inspection design instance across the reticle. The result of this step is multiplied by 100, so that the DBC generates a percentage of the place of the design instance corresponding to the detected DBC. The method may also include generating a chart, such as a bar chart, indicating a percentage or reticle-based margin of where a defect to which a different DBC is assigned is detected. Such charts may be displayed in a user interface, which may be configured as further described herein. The method may include prioritizing one or more DBCs based on a reticle-based margin determined for one or more DBCs. For example, a DBC showing a relatively high reticle-based margin may be assigned a higher priority than a DBC showing a low reticle-based margin. The steps of the embodiments described herein may be performed for a group of defects assigned the same DBC or for individual defects assigned a DBC.

전술한 결함에 범주를 할당하기 위한 방법의 각 실시예는 여기에 기술한 임의의 방법 실시예를 포함할 수 있다. 또한, 전술한 결함에 범주를 할당하기 위한 방법의 각 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행될 수 있다.Each embodiment of a method for assigning a category to a defect as described above may include any method embodiment described herein. In addition, each embodiment of a method for assigning a category to a fault described above may be performed by any of the system embodiments described herein.

다른 실시예는 웨이퍼에 대한 검사 프로세스를 변경하는 방법에 관한 것이다. 이러한 방법은 설계 데이터 내의 1 이상의 POI가 인쇄되는 웨이퍼 상의 장소를 리뷰하는 단계를 포함한다. 상기 방법은 상기 리뷰 단계의 결과에 기초하여, 1 이상의 POI의 장소에서 결함이 검출되었어야 하는지를 판정하는 단계를 또한 포함한다. 또한, 상기 방법은 1 이상의 결함 포착률 및/또는 적어도 하나의 POI의 적어도 일부에 위치된 결함에 대한 S/N을 향상시키기 위해 검사 프로세스를 변경하는 단계를 포함한다. 이들 단계의 각각은 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 예컨대, 검사 프로세스의 1 이상의 매개변수는, 여기에 기술하는 바와 같이 결정될 수 있는, POI의 우선순위화에 기초하여 변경될 수 있다.Another embodiment is directed to a method of modifying an inspection process for a wafer. This method includes reviewing a location on the wafer where one or more POIs in the design data are printed. The method also includes determining, based on the results of the reviewing step, whether a defect should have been detected at the location of one or more POIs. The method also includes modifying the inspection process to improve S / N for one or more defect capture rates and / or defects located in at least a portion of the at least one POI. Each of these steps can be performed as further described herein. For example, one or more parameters of the inspection process may be changed based on prioritization of the POI, which may be determined as described herein.

상기 방법에 대한 하나의 이용 케이스는, 광 감지도 애플리케이션이다. 예컨대, 일 실시예에서, 검사 프로세스를 변경하는 단계는 검사 프로세스를 수행하기 위해 사용된 검사 시스템의 광학 모드를 변경하는 단계를 포함한다. 이러한 방식에서, 검사를 위해 사용된 광학 모드는 1 이상의 POI의 적어도 일부에 대응하는 1 이상의 결함을 검출하는 S/N을 향상시키기 위해 변경될 수 있다. 광학 모드는 당업계에 알려진 임의의 광학 모드를 포함할 수 있다.One use case for the method is a light sensitivity application. For example, in one embodiment, changing the inspection process includes changing the optical mode of the inspection system used to perform the inspection process. In this manner, the optical mode used for the inspection can be changed to improve the S / N for detecting one or more defects corresponding to at least a portion of the one or more POIs. The optical mode can include any optical mode known in the art.

다른 실시예에서, 상기 방법은 1 이상의 POI의 장소에서 결함이 검출되었어야 하는지를 판정하는 단계의 결과에 기초하여 검사 프로세스를 수행하는데 사용된 검사 시스템의 광학 모드를 결정하는 단계를 포함한다. 이러한 방식에서, 검출되었어야 하는 결함에 대한 가장 높은 S/N를 갖는 광학 모드가 결정될 수 있다. 광학 모드는 당업계에 알려진 임의의 광학 모드를 포함할 수 있다. 또한, 결정된 광학 모드 및/또는 검출되었어야 하는 결함은 검사 프로세스를 수행하기 위해 사용된 검사 시스템의 유형과 같이 변경된 검사 프로세스의 다른 매개변수를 선택하는데 사용될 수 있다.In another embodiment, the method includes determining an optical mode of the inspection system used to perform the inspection process based on the results of the step of determining whether a defect should have been detected at the location of one or more POIs. In this way, the optical mode with the highest S / N for defects that should have been detected can be determined. The optical mode can include any optical mode known in the art. In addition, the determined optical mode and / or defects that should have been detected may be used to select other parameters of the changed inspection process, such as the type of inspection system used to perform the inspection process.

일부 실시예에서, 검사 프로세스를 변경하는 단계는 1 이상의 POI와 관련된 DOI의 포착을 증가시키기 위해 검사 프로세스를 변경하는 단계를 포함한다. 포착을 증가시키기 위해 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 검사 프로세스의 매개변수를 변경함으로써 강화된 검출은 검사 결과 내의 POI와 관련된 DOI의 검출을 포함할 수 있다(예컨대, 수율 결정적 체계적 DOI 등에 대한 결함 카운트를 증가시키는 것). 포착을 증가시키기 위해 변경된 1 이상의 매개변수는 검사 프로세스의 임의의 결과 및/또는 리뷰 단계의 임의의 결과(예컨대, 1 이상의 POI가 인쇄되는 웨이퍼 상의 장소를 리뷰하는 단계의 결과만이 아님)에 기초하여 선택될 수 있다.In some embodiments, modifying the inspection process includes modifying the inspection process to increase the capture of DOIs associated with one or more POIs. Changing the inspection process to increase the capture may include changing any one or more parameters of the inspection process. Enhanced detection by changing the parameters of the inspection process may include detection of DOIs associated with POIs in the inspection results (eg, increasing defect counts for yield deterministic systematic DOI, etc.). One or more parameters changed to increase the capture are based on any result of the inspection process and / or any result of the review step (eg, not only the result of reviewing a place on the wafer where one or more POIs are printed). Can be selected.

일부 실시예에서, 검사 프로세스를 변경하는 단계는 검사 프로세스의 결과 내의 노이즈를 억제하기 위해 검사 프로세스를 변경하는 단계를 포함한다. 노이즈를 억제하기 위해 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 검사 프로세스의 매개변수를 변경함으로써 억제되는 노이즈는 검사 결과 내의 임의의 노이즈(예컨대, 백그라운드 노이즈, 뉴슨스 결함 등)를 포함할 수 있다. 노이즈를 억제하기 위해 변경되는 1 이상의 매개변수는 검사 프로세스의 임의의 결과 및/또는 리뷰 단계의 임의의 결과(예컨대, 1 이상의 POI가 인쇄되는 웨이퍼 상의 장소를 리뷰하는 단계의 결과만이 아님)에 기초하여 선택할 수 있다.In some embodiments, changing the inspection process includes modifying the inspection process to suppress noise in the results of the inspection process. Changing the inspection process to suppress noise may include changing any one or more parameters of the inspection process. Noise suppressed by changing the parameters of the inspection process may include any noise in the inspection result (eg, background noise, Newson's defect, etc.). The one or more parameters that are changed to suppress noise are dependent on any result of the inspection process and / or any result of the review step (e.g., not just the result of reviewing a place on the wafer where one or more POIs are printed). You can choose based on that.

추가의 실시예에서, 검사 프로세스는 관심없는 결함의 검출을 줄이고, 관심없는 결함의 비닝을 개선하기 위해 검사 프로세스를 변경하는 단계를 포함한다. 관심없는 결함의 검출을 줄이기 위해 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 검사 프로세스의 매개변수를 변경함으로써 덜 검사되는 관심없는 결함은 임의의 관심없는 결함을 포함할 수 있다(예컨대, 비-수율 관련적 체계적 결함, 콜드 스폿에서의 결함 등). 관심없는 결함의 검출을 줄이기 위해 변경된 1 이상의 매개변수는 검사 프로세스의 임의의 결과 및/또는 리뷰 단계의 임의의 결과(예컨대, 1 이상의 POI가 인쇄되는 웨이퍼 상의 장소를 리뷰하는 단계의 결과만이 아님)에 기초하여 선택될 수 있다.In a further embodiment, the inspection process includes modifying the inspection process to reduce detection of defects of no interest and to improve binning of defects of no interest. Changing the inspection process to reduce detection of defects of no interest may include changing any one or more parameters of the inspection process. Uninterested defects that are less examined by changing the parameters of the inspection process may include any uninterested defects (eg, non-yield related systematic defects, defects in cold spots, etc.). The one or more parameters changed to reduce the detection of defects of no interest are not the result of any result of the inspection process and / or any result of the review step (eg, reviewing a place on the wafer where one or more POIs are printed). Can be selected based on

1 이상의 결함 포착률을 향상시키기 위해 검사 프로세스를 변경하는 단계는 검사 프로세스의 임의의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 예컨대, 일 실시예에서, 검사 프로세스를 변경하는 단계는 검사 프로세스에서 사용된 알고리즘을 변경하는 단계를 포함한다. 변경되는 알고리즘은 결함 검출 알고리즘 또는 검사 프로세스에 사용된 임의의 다른 알고리즘일 수 있다. 변경된 알고리즘은 당업계에 알려진 임의의 적합한 알고리즘을 포함할 수 있다. 또한, 검사 프로세스를 변경하는 단계는 검사 프로세스에 사용된 1 이상의 알고리즘을 변경하는 단계를 포함할 수 있다.Changing the inspection process to improve one or more defect capture rates may include changing any one or more parameters of the inspection process. For example, in one embodiment, changing the inspection process includes changing the algorithm used in the inspection process. The algorithm to be modified may be a defect detection algorithm or any other algorithm used in the inspection process. The modified algorithm may include any suitable algorithm known in the art. In addition, changing the inspection process may include changing one or more algorithms used in the inspection process.

추가적인 실시예에서, 검사 프로세스를 변경하는 단계는 검사 프로세스에서 사용된 알고리즘의 1 이상의 매개변수를 변경하는 단계를 포함한다. 1 이상의 매개변수가 변경된 알고리즘은 결함 검출 알고리즘 또는 검사 프로세스에서 사용된 임의의 다른 알고리즘을 포함할 수 있다. 또한, 검사 프로세스를 변경하는 단계는 검사 프로세스에서 사용된 1 이상의 알고리즘의 1 이상의 매개변수를 변경하는 단계를 포함할 수 있다. 알고리즘 내의 1 이상의 매개변수는 알고리즘의 임의의 매개변수, 바람직하게는 결함 포착률에 영향을 미치는 매개변수를 포함할 수 있다.In a further embodiment, changing the inspection process includes changing one or more parameters of an algorithm used in the inspection process. Algorithms with one or more parameters changed may include a defect detection algorithm or any other algorithm used in the inspection process. In addition, changing the inspection process may include changing one or more parameters of one or more algorithms used in the inspection process. One or more parameters in the algorithm may include any parameter of the algorithm, preferably a parameter that affects the defect capture rate.

위에서 기술한 웨이퍼에 대한 검사 프로세스를 변경하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 방법 실시예의 임의의 다른 단계를 포함할 수 있다. 또한, 위에서 기술한 웨이퍼에 대한 검사 프로세스를 변경하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행될 수 있다.Each embodiment of a method for modifying an inspection process for a wafer described above may include any other step of any method embodiment described herein. In addition, each embodiment of the method for modifying the inspection process for the wafer described above may be performed by any of the system embodiments described herein.

추가적인 실시예는 설계 및 결함 데이터를 표시 및 분석하도록 구성된 시스템에 관한 것이다. 그러한 시스템의 일 실시예는 도 25에 도시된다. 도 25에 도시된 바와 같이, 시스템은 유저 인터페이스(182)를 포함한다. 유저 인터페이스(182)는 반도체 장치에 대한 1 이상의 설계 레이아웃(184), 반도체 장치의 적어도 일부가 형성된 웨이퍼에 대해 취득된 인라인 검사 데이터(186), 웨이퍼에 대해 취득된 전기 테스트 데이터(188)를 표시하도록 구성된다. 일 실시예에서, 전기 테스트 데이터는 로직 비트맵 데이터를 포함한다. 설계, 검사(또는 계측), 테스트 및 오버레이 데이터는 설계, 장치, 레티클 또는 웨이퍼 스페이스에 표현된다. 유저 인터페이스는 반도체 장치에 대한 모델링된 데이터 및/또는 웨이퍼에 대한 FA 데이터를 표시하도록 구성될 수 있다. 또한, 유저 인터페이스는 유저로부터의 입력(예컨대, 유저에 의한 핫 스폿 또는 DOI의 선택)에 기초하여 특정 핫 스폿 또는 DOI에 대한 정보를 표시하도록 구성될 수 있다. 이러한 방식에서, 유저 인터페이스는 상이한 핫 스폿 또는 DOI에 관한 정보를 상이한 시간에 표시하도록 구성될 수 있다. 하지만, 유저 인터페이스는 상이한 핫 스폿 또는 DOI를 지시하도록 1 이상의 상이한 표식(예컨대, 컬러, 심볼 등)을 사용하여 상이한 핫 스폿 또는 DOI에 관한 정보를 동시에 표시하도록 구성될 수 있다(예컨대, 웨이퍼 맵 또는 바 그래프로). 핫 스폿 데이터베이스 내의 정보의 표시를 사용하여, 유저는 주어진 분석 또는 검사 레시피에 의해 관심 있는 핫 스폿의 서브세트를 선택함으로써 1 이상의 핫 스폿 리스트를 생성할 수 있다. 유저 인터페이스는 디스플레이 장치(190) 상에 표시될 수 있다. 디스플레이 장치(190)는 당업계에 알려진 임의의 적합한 디스플레이 장치를 포함할 수 있다.Further embodiments relate to a system configured to display and analyze design and defect data. One embodiment of such a system is shown in FIG. 25. As shown in FIG. 25, the system includes a user interface 182. User interface 182 displays one or more design layouts 184 for the semiconductor device, inline inspection data 186 acquired for the wafer on which at least a portion of the semiconductor device is formed, and electrical test data 188 obtained for the wafer. It is configured to. In one embodiment, the electrical test data includes logic bitmap data. Design, inspection (or metrology), test, and overlay data is represented in the design, device, reticle, or wafer space. The user interface may be configured to display modeled data for the semiconductor device and / or FA data for the wafer. In addition, the user interface may be configured to display information about a particular hot spot or DOI based on input from the user (eg, selection of a hot spot or DOI by the user). In this manner, the user interface may be configured to display information about different hot spots or DOIs at different times. However, the user interface may be configured to simultaneously display information about different hot spots or DOIs using one or more different markers (eg, colors, symbols, etc.) to indicate different hot spots or DOIs (eg, wafer map or Bar graph). Using the display of information in the hot spot database, a user can generate one or more hot spot lists by selecting a subset of hot spots of interest by a given analysis or inspection recipe. The user interface may be displayed on the display device 190. Display device 190 may include any suitable display device known in the art.

시스템은 프로세서(192)를 또한 포함한다. 프로세서(192)는 유저 인터페이스를 통하여 유저로부터 분석을 수행하라는 지령을 수신시, 1 이상의 설계 레이아웃, 인라인 검사 데이터, 및 전기 테스트 데이터를 분석하도록 구성된다. 프로세서는 전술한 바와 같이 모델링된 데이터 및/또는 FA 데이터를 분석하도록 구성될 수 있다. 예컨대, 유저 인터페이스(182)는 1 이상의 아이콘(194)을 표시하도록 구성될 수 있다. 각각의 아이콘은 프로세서에 의해 수행될 수 있는 다른 기능에 대응할 수 있다. 이러한 방식에서, 5개의 아이콘이 도 25에 도시되지만, 유저 인터페이스는 가능한 기능의 수에 대응하는 임의의 수의 아이콘을 표시하도록 구성될 수 있다. 유저는 1 이상의 아이콘을 선택(예컨대, 클릭)함으로써, 프로세서가 1 이상의 기능을 수행하도록 지시할 수 있다. 또한, 유저 인터페이스는 당업계에 알려진 임의의 다른 방식(예컨대, 드롭다운 메뉴)으로 유저에게 이용가능하게 되는 각종 기능을 표시할 수 있다. 이러한 방식에서, 유저 인터페이스는 설계/레이아웃 시각화 및 분석 조작을, 인라인 프로세스 데이터 시각화 및 분석 조작, 및 기능/구조적 전기 테스트 데이터 시각화 및 분석 조작과 결합시키는 단일 통합 유저 인터페이스로서 구성될 수 있다.The system also includes a processor 192. The processor 192 is configured to analyze one or more design layouts, inline inspection data, and electrical test data upon receiving a command from the user to perform an analysis through the user interface. The processor may be configured to analyze the modeled data and / or FA data as described above. For example, user interface 182 may be configured to display one or more icons 194. Each icon may correspond to another function that may be performed by the processor. In this manner, five icons are shown in FIG. 25, but the user interface may be configured to display any number of icons corresponding to the number of possible functions. The user may instruct the processor to perform one or more functions by selecting (eg, clicking) one or more icons. In addition, the user interface may display various functions that are made available to the user in any other manner known in the art (eg, drop-down menus). In this manner, the user interface may be configured as a single integrated user interface that combines design / layout visualization and analysis manipulation with inline process data visualization and analysis manipulation, and functional / structural electrical test data visualization and analysis manipulation.

시스템은 증가한 해상도로 데이터를 처리하도록 구성될 수 있으며, 이는 통상적으로 "드릴 다운 능력(drill down capabilities)"라 칭한다. 예컨대, 시스템은 적층을 위한 2 이상의 다이를 선택하고, 다이 적층 결과 내에 나타낸 결함을 선택하고, 결함에 대한 일부 기능을 수행하기 위해, 웨이퍼 상에서 검출된 결함을 나타내는 웨이퍼 맵과 같은 입력을 사용하도록 구성될 수 있다. 시스템은 1 이상의 도메인으로부터의 데이터를 함께 사용하도록 구성될 수 있으며, 이는 통상적으로 "드릴 어크로스 능력(drill across capabilities)"이라 칭한다.The system can be configured to process data at increased resolution, which is commonly referred to as "drill down capabilities". For example, the system may be configured to use inputs such as wafer maps representing defects detected on the wafer to select two or more dies for stacking, select defects represented within the die stacking results, and perform some function on the defects. Can be. The system can be configured to use data from one or more domains together, which is commonly referred to as "drill across capabilities."

일 실시예에서, 유저 인터페이스는 설계 레이아웃, 인라인 검사 데이터, 전기 테스트 데이터, 및 여기에 기술한 임의의 다른 정보의 적어도 2개의 오버레이(196)를 표시하도록 구성된다. 그러한 일 실시예에서, 전기 테스트 데이터는 로직 비트맵 데이터를 포함한다. 그러한 실시예에서, 프로세서는 여기에 기술한 임의의 실시예에 따라 상이한 데이터를 오버레이 하도록 구성될 수 있다. 이러한 방식에서, 시스템은 3개의 도메인(예컨대, 설계, 검사, 및 전기 테스트)의 2 이상으로부터의 데이터의 오버레이를 생성 및 표시하도록 구성될 수 있다. 데이터의 그러한 오버레이는 전기 테스트 결과에 영향을 미치는 결함(예컨대, 전기적 오류를 야기함으로써)을 식별하기 위해 매핑 및 전기 테스트 결과(예컨대, 전기적 오류)를 사용하여 결함의 물리적 위치를 논리적 위치에 매핑하는데 사용될 수 있다.In one embodiment, the user interface is configured to display at least two overlays 196 of the design layout, inline inspection data, electrical test data, and any other information described herein. In one such embodiment, the electrical test data includes logic bitmap data. In such embodiments, the processor may be configured to overlay different data in accordance with any of the embodiments described herein. In this manner, the system can be configured to generate and display an overlay of data from two or more of three domains (eg, design, inspection, and electrical test). Such overlay of data is used to map physical locations of the defects to logical locations using mapping and electrical test results (eg, electrical errors) to identify defects (eg, causing electrical errors) that affect electrical test results. Can be used.

일 실시예에서, 프로세서는 유저 인터페이스를 통하여 유저로부터의 결정을 수행하도록 하는 지령을 수신시 설계 데이터 스페이스 내의 결함 밀도를 결정하도록 또한 구성된다. 이러한 방식에서, 시스템은 여기에 추가로 기술하는 바와 같이 오류 밀도 계산을 수행하도록 구성될 수 있다. 유저 인터페이스는 오류 밀도 계산의 결과를 표시하도록 구성될 수 있다.In one embodiment, the processor is further configured to determine a defect density in the design data space upon receipt of an instruction to perform a determination from the user via the user interface. In this manner, the system can be configured to perform error density calculations as described further herein. The user interface may be configured to display the result of the error density calculation.

추가적인 실시예에서, 프로세서는 유저 인터페이스를 통하여 유저로부터 결함 샘플링을 수행하라는 지령을 수신이 리뷰를 위한 결함 샘플링을 수행하도록 구성된다. 추가의 실시예에서, 프로세서는 유저 인터페이스를 통하여 유저로부터 그룹화를 수행하라는 지령 수신이 설계 데이터 스페이스 내의 결함의 위치에 가까운 설계 레이아웃의 유사도에 기초하여 결함을 그룹화하도록 구성된다. 이러한 방식에서, 시스템은 샘플링 및 데이터 저감(예컨대, 패턴 의존적 비닝에 의한 데이터 저감) 기술을 수행하도록 구성될 수 있다. 이러한 기술은 여기에 추가로 기술하는 바와 같이 수행될 수 있다.In a further embodiment, the processor is configured to receive defect sampling from the user via the user interface to perform defect sampling for review. In a further embodiment, the processor is configured such that receiving instructions to perform grouping from the user via the user interface groups the defects based on the similarity of the design layout close to the position of the defect in the design data space. In this manner, the system can be configured to perform sampling and data reduction (eg, data reduction by pattern dependent binning) techniques. Such techniques may be performed as further described herein.

일부 실시예에서, 프로세서는 시간에 걸친 결함 그룹의 KP 값을 감시하고, 시간에 걸친 그 KP 값에 기초하여 결함 그룹의 중요도를 결정하도록 구성된다. 이러한 방식에서, 시스템은 결함 트래킹(tracking)을 위해 구성될 수 있다(예컨대, DTT 방법을 사용하여 및/또는 이미지를 사용하여). 유저 인터페이스는 시간에 결친 KP 값 및 결함 그룹의 중요도의 감시 결과를 표시하도록 구성될 수 있다. 도 25에 도시된 프로세서 및 시스템은 여기에 추가로 기술하는 바와 같이 구성될 수 있다. 예컨대, 프로세서 및 시스템은 여기에 기술한 임의의 다른 방법의 임의의 다른 단계를 수행하도록 구성될 수 있다. 또한, 도 25에 도시한 시스템은 검사 시스템과 같이 여기에 기술한 다른 구성요소를 포함할 수 있고, 그것은 위에서 추가로 기술한 바와 같이 구성될 수 있다. 도 25에 도시한 시스템은 여기에 기술한 방법의 모든 이점을 갖는다.In some embodiments, the processor is configured to monitor the KP value of the defect group over time and determine the importance of the defect group based on the KP value over time. In this manner, the system can be configured for defect tracking (eg, using a DTT method and / or using an image). The user interface may be configured to display monitoring results of missed KP values and importance of defect groups over time. The processor and system shown in FIG. 25 may be configured as further described herein. For example, the processor and system may be configured to perform any other step of any other method described herein. In addition, the system shown in FIG. 25 may include other components described herein, such as an inspection system, which may be configured as further described above. The system shown in FIG. 25 has all the advantages of the method described herein.

추가의 실시예는 웨이퍼 상에서 검출되는 전기적 결함의 근본 원인을 결정하는 컴퓨터-구현 방법에 관한 것이다. 그러한 일 실시예에서, 전기적 결함에 대한 웨이퍼의 검사 결과는 로직 장치에 대한 비트맵을 포함할 수 있다. 상기 방법은 설계 데이터 스페이스 내의 전기적 결함의 위치를 결정하는 단계를 포함한다. 설계 데이터 스페이스 내의 전기적 결함의 위치는 여기에 기술한 바와 같이 결정할 수 있다.Further embodiments relate to computer-implemented methods of determining the root cause of electrical defects detected on a wafer. In one such embodiment, the inspection result of the wafer for electrical defects may include a bitmap for the logic device. The method includes determining a location of an electrical fault within a design data space. The location of electrical faults in the design data space can be determined as described herein.

일부 실시예에서, 상기 방법은 체계적 결함과 같은 결함의 공간적 시그네처를 프로세스 조건에 상호 연관짓는 단계를 포함한다. 예컨대, 스캔-기반 및 구조적 테스트 결과를 웨이퍼 스페이스 좌표로 변환시킨 후에, 특정 공간적 시그네처는 1 이상의 프로세스 조건에 상호 연관지어질 수 있다. 결함 데이터의 공간적 시그네처 분석을 수행하기 위한 방법 및 시스템은 미국 특허 제5,991,699호(Kulkarni et al.), 제6,445,199호(Satya et al.), 및 제6,718,526호(Eldredge et al.)에 개시되며, 그 특허문헌은 여기에 완전히 언급된 것과 같이 참고자료로 포함된다. 여기에 기술된 방법 및 시스템은 그 특허문헌에 기재된 임의의 방법의 임의의 단계를 수행하도록 구성될 수 있다.In some embodiments, the method includes correlating spatial signatures of defects, such as systematic defects, to process conditions. For example, after converting scan-based and structural test results into wafer space coordinates, certain spatial signatures may be correlated to one or more process conditions. Methods and systems for performing spatial signature analysis of defect data are disclosed in US Pat. Nos. 5,991,699 (Kulkarni et al.), 6,445,199 (Satya et al.), And 6,718,526 (Eldredge et al.). The patent document is incorporated by reference as if fully set forth herein. The methods and systems described herein may be configured to perform any step of any of the methods described in that patent document.

상기 방법은 전기적 결함 부분의 위치가 1 이상의 프로세스 조건에 대응하는 공간적 시그네처를 규정하는지를 판정하는 단계를 포함한다. 이러한 단계는 전기적 결함 부분의 공간적 시그네처를 프로세스 조건에 대응하는 공간적 시그네처 세트에 비교하거나, 전기적 결함 부분의 위치에 룰을 적용하거나, 임의의 적합한 방식으로 수행될 수 있다. 또한, 전기적 결함 부분의 위치가 1 이상의 프로세스 조건에 대응하는 공간적 시그네처를 규정하는 경우, 상기 방법은 전기적 결함 부분의 근본 원인을 1 이상의 프로세스 조건으로서 식별하는 단계를 포함한다. 이러한 방식에서, 전술한 방법은 로직 비트맵 데이터에 대한 공간적 시그네처 분석을 수행하는 단계를 포함할 수 있다. 상기 방법은 식별 단계의 결과를 저장 매체에 저장하는 단계를 추가로 포함한다. 식별 단계의 결과는 여기에 기술한 임의의 결과를 포함할 수 있다. 또한, 상기 방법은 여기에 추가로 기술한 바와 같이 저장 단계를 수행할 수 있다. 저장 매체는 여기에 기술한 임의의 저장 매체를 포함할 수 있다.The method includes determining if the location of the electrical defect portion defines a spatial signature corresponding to one or more process conditions. This step can be performed by comparing the spatial signature of the electrical defect portion to the spatial signature set corresponding to the process conditions, applying a rule to the location of the electrical defect portion, or in any suitable manner. Further, if the location of the electrical defect portion defines a spatial signature corresponding to one or more process conditions, the method includes identifying the root cause of the electrical defect portion as one or more process conditions. In this manner, the above-described method may include performing spatial signature analysis on logic bitmap data. The method further includes storing the result of the identifying step in a storage medium. The results of the identification step can include any of the results described herein. In addition, the method may perform a storing step as further described herein. Storage media may include any of the storage media described herein.

전술한 전기적 결함의 근본 원인을 결정하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 방법 실시예의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 전기적 결함의 근본 원인을 결정하기 위한 방법의 실시예의 각각은 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each embodiment of the method for determining the root cause of the aforementioned electrical defect may include any other step of any method embodiment described herein. In addition, each of the embodiments of the method for determining the root cause of the above-described electrical defects may be performed by any system embodiment described herein.

다른 결함의 근본 원인이 여기에 기술한 방법에서 또한 결정될 수 있다. 예컨대, 프로세스 창에 걸쳐 매핑된 패턴 그룹에 의한 웨이퍼 기반 또는 레티클 기반 공간적 시그네처(및 그것의 조합)는 근본원인 결정에서의 보조를 위한 상관관계 결정에 특히 유용하다. 일 예에서, 프로세스 창의 일 에지에서, 결함 x 및 y는 마진적이고, 웨이퍼의 외부로부터 먼저 오류가 될 경향이 있다. 프로세스 창의 다른 에지에서, 결함 z는 웨이퍼의 에지에서 먼저 오류가 되는 경향이 있다. 그리하여, 가능한 근본 원인은 어느 체계적 결함이 웨이퍼 상에서 가장 자주(그리고 아마도 외측 환형 링에 대해서) 오류가 되는지를 관찰함으로써 결정될 수 있다.The root cause of other defects can also be determined in the methods described herein. For example, wafer-based or reticle-based spatial signatures (and combinations thereof) by groups of patterns mapped across process windows are particularly useful for determining correlations for assistance in root-cause decisions. In one example, at one edge of the process window, defects x and y are marginal and tend to be errors first from the outside of the wafer. At the other edge of the process window, defect z tends to be an error first at the edge of the wafer. Thus, the possible root cause can be determined by observing which systematic defects are the most frequent (and possibly for the outer annular ring) errors on the wafer.

다른 실시예는 리뷰, 범주/조사를 위한 탐색, 및 온-툴, 오프-툴 및 온-SEM을 포함하는 입증/근본 원인 분석을 위해, 웨이퍼 상에서 검출된 결함을 선택하는 컴퓨터-구현 방법에 관한 것이다. 그 방법은 웨이퍼의 1 이상의 영역을 식별하는 단계를 포함한다. 1 이상의 영역은 웨이퍼 상의 1 이상의 결함 유형의 위치와 관련된다. 1 이상의 그러한 영역의 일 실시예는 도 26에 도시된다. 도 26에 도시한 바와 같이, 웨이퍼(200) 상의 영역(198)은 웨이퍼 상의 1 이상의 결함 유형의 위치와 관련되는 것으로서 식별될 수 있다. 예컨대, 이러한 영역은 리소그라피 프로세스 또는 웨이퍼 중앙으로부터 웨이퍼 에지로의 에치 변화 동안, 웨이퍼의 외측 에지 근처의 초점 에러에 의해 야기되는 결함 유형과 관련될 수 있다.Another embodiment relates to a computer-implemented method of selecting defects detected on a wafer for review, search for categories / investigations, and proof / root cause analysis, including on-tool, off-tool, and on-SEM. will be. The method includes identifying one or more regions of the wafer. One or more regions are associated with the location of one or more defect types on the wafer. One embodiment of one or more such areas is shown in FIG. 26. As shown in FIG. 26, region 198 on wafer 200 may be identified as associated with the location of one or more defect types on the wafer. For example, such regions may be associated with defect types caused by focus errors near the outer edges of the wafer during the lithography process or the etch change from the wafer center to the wafer edge.

상기 방법은 리뷰를 위해 1 이상의 영역에서만 검출된 결함을 선택하는 단계를 포함한다. 예컨대, 도 26에 도시한 바와 같이, 웨이퍼 맵(202)은 영역(198)의 레이아웃과 중첩될 수 있다. 이러한 방식에서, 웨이퍼 맵(202)에서 도시된 결함은 그것들이 위치한 영역 및 그 영역과 관련된 1 이상의 결함 유형에 기초하여 리뷰를 위해 선택될 수 있다. 그러한 일 예에서, 도 26에 도시한 영역이 웨이퍼의 외측 에지 근처에서의 탈-초점 에러와 관련되면, 상기 방법은 영역(198) 내의 결함을(단지, 우선적으로, 또는 중점적으로) 선택할 수 있다. 대안적으로, 상기 결함은 영역(198) 이외의 웨이퍼 상의 영역으로부터 선택될 수 있다.The method includes selecting a detected defect in only one or more areas for review. For example, as shown in FIG. 26, wafer map 202 may overlap the layout of region 198. In this manner, the defects shown in the wafer map 202 may be selected for review based on the area where they are located and one or more defect types associated with that area. In one such example, if the region shown in FIG. 26 is associated with a defocus error near the outer edge of the wafer, the method may select a defect (only, preferentially, or mainly) in region 198. . Alternatively, the defect may be selected from an area on the wafer other than the area 198.

도 26에 단지 하나의 영역이 도시될지라도, 웨이퍼는 임의의 복수의 적합한 영역으로 분리될 수 있다는 것을 이해해야 한다. 또한, 영역은 도 26에 도시한 바와 같은 환형 영역, 각도 영역 및 반경 방향 영역, 및 직사각형 영역으로서 웨이퍼 상에서 규정될 수 있다. 하지만, 영역은 불규칙한(예컨대, 다각형) 형상을 가질 수 있다. 또한, 영역의 모든, 일부 또는 아무것도 형상 및/또는 사이즈와 같은 동일한 특성을 가질 수 있다.Although only one region is shown in FIG. 26, it should be understood that the wafer may be separated into any plurality of suitable regions. Further, the region can be defined on the wafer as an annular region, an angular region and a radial region, and a rectangular region as shown in FIG. However, the region may have an irregular (eg, polygonal) shape. In addition, all, some or nothing of the area may have the same characteristics, such as shape and / or size.

전술한 방법은 결함 샘플의 리뷰 결과가 다이로부터 웨이퍼로 보간될 수 있도록 결함 샘플을 제공하는데 사용될 수 있다. 대조적으로, 통상적인 리뷰 샘플 플랜은 레시피 최적화를 위한 100 내지 200개의 결함과, 전체 웨이퍼에 걸친 퍼짐을 감시하기 위한 10 내지 100개의 결함을 포함한다. 하지만, 수만의 핫 스폿이 하나의 다이에만 존재할 수 있다. 핫 스폿은 탐색을 위해 리뷰될 수 있다. 체계적 결함은 감시 및 입증을 위해 리뷰될 수 있다. 따라서, 이러한 모집단으로부터 100 또는 200개의 결함을 선택한 후에도, 바람직하게는 그 모두를 동일한 다이 상에서 리뷰하지는 않는다. 대신에, 선택된 결함은 복수의 다이에 걸쳐 퍼져 있는 것이 바람직하다. 전술한 방법은 특정 결함 유형과 웨이퍼 상의 특정 영역 사이의 상관관계를 식별하기 위해 영역 분석 결과를 사용한다. 그와 같이, 여기에 기술한 방법은 웨이퍼 위치-특정 결함을 식별하기 위해 사용될 수 있다. 이러한 방식에서, 상기 방법은 다이-웨이퍼 보간에 사용하기에 적합한 결과를 제공하기 위해 샘플링 플랜을 이들 영역으로 편향시키는 단계를 포함할 수 있다. 방법은 선택 단계에서의 결과를 저장 매체에 저장하는 단계를 추가로 포함한다. 선택 단계의 결과는 여기에 기술한 임의의 결과를 포함할 수 있다. 또한, 상기 방법은 저장 단계를 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 저장 매체는 여기에 기술한 임의의 저장 매체를 포함할 수 있다.The method described above can be used to provide a defect sample so that the review results of the defect sample can be interpolated from the die to the wafer. In contrast, a typical review sample plan contains 100 to 200 defects for recipe optimization and 10 to 100 defects for monitoring spread across the entire wafer. However, tens of thousands of hot spots can exist on only one die. Hot spots can be reviewed for search. Systematic flaws can be reviewed for monitoring and verification. Thus, even after selecting 100 or 200 defects from this population, preferably not all of them are reviewed on the same die. Instead, the selected defect is preferably spread over a plurality of dies. The method described above uses region analysis results to identify correlations between specific defect types and specific regions on a wafer. As such, the method described herein can be used to identify wafer location-specific defects. In this manner, the method may include biasing the sampling plan into these regions to provide results suitable for use in die-wafer interpolation. The method further includes storing the result of the selection step in a storage medium. The result of the selection step may include any result described herein. In addition, the method may be performed as further described herein the storage step. Storage media may include any of the storage media described herein.

전술한 리뷰를 위한 결함을 선택하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 방법 실시예의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 리뷰를 위한 결함을 선택하기 위한 방법의 각각의 실시예는 여기에 기술한 임의의 시스템 실시예에 의해 수행할 수 있다.Each embodiment of a method for selecting a defect for the foregoing review may include any other step of any method embodiment described herein. In addition, each embodiment of the method for selecting a defect for the aforementioned review may be performed by any of the system embodiments described herein.

다른 실시예는 설계 데이터에 대한 1 이상의 수율 관련 프로세스를 평가하기 위한 컴퓨터-구현 방법에 관한 것이다. 그러한 일 실시예가 도 27에 도시된다. 도 27에 도시한 단계는 그러한 방법을 실시하는데 필수적인 것이 아니다. 1 이상의 단계가 도 27에 도시한 방법으로부터 배제되거나 그것에 부가될 수 있고, 그 방법은 여전히 본 실시예의 범주 내에서 실시할 수 있다.Another embodiment is directed to a computer-implemented method for evaluating one or more yield related processes for design data. One such embodiment is shown in FIG. 27. The steps shown in FIG. 27 are not essential to implementing such a method. One or more steps may be excluded from, or added to, the method shown in FIG. 27, and the method may still be practiced within the scope of this embodiment.

도 27에 도시한 바와 같이, 상기 방법은 단계 204에 도시한 바와 같이, 룰 체킹(rule checking)을 사용하여 설계 데이터 내의 잠재적 오류를 식별하는 단계를 포함한다. 대안적으로, 설계 데이터 내의 잠재적 오류는 리피터 분석 또는 결함 밀도 맵으로부터 관찰된 잠재적 핫 스폿을 사용하여 식별될 수 있다. 이러한 단계에서 식별된 잠재적 오류는 1 이상의 다른 유형의 DOI를 포함할 수 있다. 일부 실시예에서, 이러한 단계에서 식별된 잠재적 오류는 포스트-패턴 잠재적 오류(예컨대, 포스트-에치 잠재적 오류)를 포함할 수 있다. 또한, 잠재적 오류가 일단 식별되면, 설계를 통하여 설계 도처에 전파될 수 있으며, 이는 설계 내의 공통 패턴에 대한 탐색에 의해 검출될 수 있다(예컨대, 임의의 패턴 탐색). 일부 실시예에서, 상기 방법은 모든 유사한 POI의 위치를 식별하기 위한 임의의 패턴 탐색 단계를 포함한다. 공통 패턴은 모든 잠재적 오류를 찾기 위해 회전되거나 플립(flip)된 패턴에 대한 탐색에 의해 식별될 수 있다. 또한, 설계 데이터 내의 잠재적 오류는 당업계에 알려진 임의의 다른 적합한 방법(예컨대, 모델링), 소프트웨어, 및/또는 알고리즘을 사용하여 단계 204에서 식별될 수 있다. 또한, 잠재적 오류는 설계 데이터에 대해서 제조되는 장치의 오류를 야기할 수 있거나, 실제로는 장치의 오류를 야기하지 않고 장치의 1 이상의 전기적 매개변수를 바람직하지 않은 방식으로 변경할 수 있는 설계 데이터 내의 영역 또는 패턴을 포함할 수 있다.As shown in FIG. 27, the method includes identifying potential errors in design data using rule checking, as shown in step 204. Alternatively, potential errors in the design data can be identified using potential hot spots observed from repeater analysis or defect density maps. Potential errors identified at this stage may include one or more other types of DOI. In some embodiments, the potential errors identified in this step may include post-pattern potential errors (eg, post-etch potential errors). In addition, once a potential error is identified, it can be propagated throughout the design throughout the design, which can be detected by searching for common patterns within the design (eg, searching for any pattern). In some embodiments, the method includes any pattern searching step to identify the location of all similar POIs. Common patterns can be identified by searching for rotated or flipped patterns to find all potential errors. In addition, potential errors in design data may be identified at step 204 using any other suitable method (eg, modeling), software, and / or algorithm known in the art. In addition, potential errors can cause errors in the device being manufactured with respect to the design data, or areas or patterns within the design data that can change one or more electrical parameters of the device in an undesirable manner without actually causing the device to fail. It may include.

단계 206에 도시한 바와 같이, 상기 방법은 잠재적 오류의 1 이상의 속성을 판정하는 단계를 또한 포함한다. 결정된 잠재적 오류의 속성은 예컨대, 유형을 포함할 수 있다. 잠재적 오류의 속성은 실험적 테스트, 시뮬레이션 결과, 설계 데이터 또는 임의의 다른 방법에 의해 취득될 수 있다. 상기 방법이 전술한 바와 같은 잠재적 오류를 식별하는 단계를 포함하기 때문에, 상기 방법은 가능한 한 많은 잠재적 오류를 제거하기 위해 제조 이전에 설계 데이터를 변경하는 단계를 포함할 수 있다. 설계 데이터의 그러한 변경은 여기에 기술하는 바와 같이 수행할 수 있다. 하지만, 모든 잠재적 오류가 제조 이전에 제거될 수 있는 아니라는 것을 고려할 수 있다. 또한, 여기에 기술한 방법에서 식별된 잠재적 오류는 제조 동안 실제로는 오류를 생성하거나 그렇지 않을 수 있거나, 수율에 영향을 주거나 그렇지 않을 수 있다. 따라서, 잠재적 오류의 일부는 제조(따라서 검사) 이전에 제거될 수 있지만, 여기에 기술한 방법은, 잠재적 오류가 실제로 오류가 되는 경우 그것이 가능한 한 빨리 검출될 수 있도록, 설계 검사가 수행되어야 하는 개소에 대한 중요한 정보를 제공할 수 있다. 또한, 여기에 기술한 방법은, 설계 데이터 부분이 설계 내의 잠재적 오류를 포함하고 있는 웨이퍼 상의 영역의 검사가 가장 적합한 검사 매개변수로 수행될 수 있고, 이에 의해 잠재적 오류가 실제 오류를 야기하는 경우, 검사에 의해 검사될 확률을 증가시기 위해, 설계의 얼마나 상이한 영역이 검사되어야하는지에 대한 중요한 정보를 제공할 수 있다.As shown in step 206, the method also includes determining one or more attributes of the potential error. The nature of the potential error determined may include, for example, the type. The nature of potential errors can be obtained by experimental tests, simulation results, design data, or any other method. Because the method includes identifying potential errors as described above, the method may include modifying the design data prior to manufacture to eliminate as many potential errors as possible. Such alteration of design data may be performed as described herein. However, it is contemplated that not all potential errors can be eliminated prior to manufacture. In addition, potential errors identified in the methods described herein may or may not actually produce errors during manufacturing, or may affect yield. Thus, some of the potential errors can be eliminated prior to manufacture (and hence inspection), but the method described here is a place where design checks should be performed so that if a potential error actually fails, it can be detected as soon as possible. It can provide important information about. In addition, the method described herein can be performed with inspection parameters where the portion of the design data contains potential errors in the design with the most appropriate inspection parameters, whereby the potential errors cause actual errors, In order to increase the probability of inspection by inspection, it can provide important information about how different areas of the design should be examined.

단계 208에 도시한 바와 같이, 상기 방법은 잠재적 오류의 1 이상의 속성에 기초하여 잠재적 오류가 검출가능하지를 결정하는 단계를 포함한다. 잠재적 오류가 검출가능한지의 여부는 각종 검사 시스템의 알려진 능력과 조합하여 잠재적 오류의 속성에 기초하여 결정될 수 있다. 단계 210에 도시한 바와 같이, 상기 방법은 1 이상의 속성에 기초하여 복수의 상이한 검사 시스템(예컨대, BF, DF, 전압 콘트라스트, EC, 전자 빔 등)의 어느 것이 잠재적 오류를 검출하는데 가장 적합한지를 결정하는 단계를 포함한다.As shown in step 208, the method includes determining whether a potential error is detectable based on one or more attributes of the potential error. Whether a potential error is detectable can be determined based on the nature of the potential error in combination with the known capabilities of various inspection systems. As shown in step 210, the method determines which of a plurality of different inspection systems (eg, BF, DF, voltage contrast, EC, electron beam, etc.) is best suited for detecting potential errors based on one or more attributes. It includes a step.

일부 실시예에서, 상기 방법은 단계 212에 도시한 바와 같이, 가장 적합하다고 결정된 검사 시스템의 1 이상의 매개변수를 선택하는 단계를 포함한다. 그러한 일 실시예에서, 그 매개변수는 잠재적 결함의 1 이상의 매개변수에 기초하여 선택된다. 매개변수는 여기에 추가로 기술하는 바와 같이 선택될 수 있다. 또한, 이러한 단계에서 선택된 매개변수는 변경 및/또는 제어가능한 검사 시스템의 임의의 매개변수를 포함할 수 있다. 그러한 매개변수의 일 예는 광학 모드 또는 검사 모드이다. 바람직하게는, 매개변수는 잠재적 오류에 대한 웨이퍼의 검사를 최적화하기 위해(예컨대, 잠재적 오류의 장소에서의 결함의 결함 포착률을 증가시키고, 잠재적 오류에서의 결함에 대한 감지도를 증가시키는 등) 선택된다.In some embodiments, the method includes selecting one or more parameters of the inspection system determined to be most suitable, as shown in step 212. In one such embodiment, the parameter is selected based on one or more parameters of the potential defect. The parameter may be selected as further described herein. In addition, the parameters selected in this step can include any parameters of the inspection system that can be changed and / or controlled. One example of such a parameter is optical mode or inspection mode. Preferably, the parameters are selected to optimize the inspection of the wafer for potential errors (e.g., to increase the defect capture rate of defects at the location of potential errors, increase the sensitivity for defects in potential errors, etc.). do.

일부 실시예에서, 상기 방법은, 가능하게는 여기에 기술한 임의의 다른 정보(예컨대, 결함에 대한 설계 데이터의 민감도, 결함에 대한 설계 데이터에 대응하는 전기적 매개변수의 민감도 등)와 조합하여, 잠재적 오류의 위치에 가까운 설계 데이터의 1 이상의 속성에 기초하여 1 이상의 잠재적 오류를 우선순위화하는 단계를 포함한다. 그러한 우선순위화는 여기에 추가로 기술하는 바와 같이 수행할 수 있다. 또한, 가장 적합한 검사 시스템 및 검사 시스템의 매개변수는 여기에 추가로 기술하는 바와 같이 그러한 우선순위화의 결과에 기초하여 선택될 수 있다. 예컨대, 그러한 실시예에서, 가장 중요한 결함이 검사 프로세스에서 검출되도록, 가장 적합한 검사 시스템 및 검사 시스템의 매개변수는 가장 높은 우선순위를 갖는 잠재적 오류에 대한 검사를 최적화하도록 선택될 수 있다. 그러한 가장 적합한 검사 시스템의 결정 및 매개변수의 선택은 가장 낮은 우선순위를 갖는 잠재적 오류에 대한 검사의 최적화를 가져오거나 그렇지 않을 수 있다.In some embodiments, the method, possibly in combination with any other information described herein (eg, the sensitivity of design data to a defect, the sensitivity of an electrical parameter corresponding to the design data to a defect, etc.), Prioritizing the one or more potential errors based on the one or more attributes of the design data close to the location of the potential errors. Such prioritization may be performed as further described herein. In addition, the most suitable inspection system and parameters of the inspection system may be selected based on the results of such prioritization as further described herein. For example, in such an embodiment, the most suitable inspection system and parameters of the inspection system may be selected to optimize the inspection for potential errors with the highest priority so that the most significant defect is detected in the inspection process. Determination of such a most suitable inspection system and selection of parameters may or may not lead to optimization of inspection for potential errors with the lowest priority.

다른 실시예에서, 상기 방법은, 단계 214에 도시한 바와 같이, 설계 데이터로 제조된 장치의 수율에 대한 잠재적 오류의 영향을 결정하는 단계를 포함한다. 이러한 방식에서, 상기 방법은 레시피 최적화 및 감시를 위해 사용될 수 있다. 추가의 실시예에서, 상기 방법은 검출 불가능하지만 수율에 영향을 미치는 것으로 결정된 잠재적 오류의 영향을 결정하는 단계를 포함할 수 있다. 이러한 방식에서, 상기 방법은 검사에 의해 검출 불가능한 수율 손실의 퍼센티지를 결정하는 단계를 포함할 수 있다. 여기에 개시된 방법에 사용될 수 있는 수율 예측 방법의 일 예는 여기에 완전히 언급한 것과 같이 참고자료로 포함 미국 특허 제6,813,572(Satya et al.)에 개시된다.In another embodiment, the method includes determining the effect of potential error on the yield of the device manufactured with the design data, as shown in step 214. In this way, the method can be used for recipe optimization and monitoring. In a further embodiment, the method may include determining the impact of a potential error that is not detectable but determined to affect yield. In this manner, the method may include determining a percentage of yield loss that is not detectable by the inspection. One example of a yield prediction method that can be used in the methods disclosed herein is disclosed in US Pat. No. 6,813,572 (Satya et al.), Incorporated by reference as if fully set forth herein.

따라서, 전술한 방법은 완전히 자동적인 예측, 트래킹, 및 핫 스폿의 유효화에 사용될 수 있다(일부 초기의 수동 셋업이 수행된 후). 전술한 방법은 복수의 상이한 검사 시스템의 어느 것이 잠재적 오류를 검출하는데 적합한지의 결정 결과를 저장 매체에 저장하는 단계를 추가로 포함한다. 이러한 단계의 결과는 여기에 기술한 임의의 결과일 수 있다. 또한, 이러한 방법은 여기에 추가로 기술하는 바와 같은 저장 단계를 수행할 수 있다. 저장 매체는 여기에 기술한 임의의 저장 매체를 포함할 수 있다.Thus, the method described above can be used for fully automatic prediction, tracking, and validation of hot spots (after some initial manual setup is performed). The above method further comprises storing the result of the determination of which of the plurality of different inspection systems is suitable for detecting a potential error on a storage medium. The result of this step may be any result described herein. In addition, the method may perform a storage step as described further herein. Storage media may include any of the storage media described herein.

전술한 1 이상의 수율 관련 프로세스를 평가하기 위한 실시예의 각각은 여기에 기술한 임의의 방법의 임의의 다른 단계를 포함할 수 있다. 또한, 전술한 1 이상의 수율 관련 프로세스를 평가하기 위한 각 실시예는 여기에 기술한 임의의 시스템에 의해 수행할 수 있다.Each of the embodiments for evaluating one or more yield related processes described above may include any other step of any of the methods described herein. In addition, each embodiment for evaluating one or more yield related processes described above may be performed by any of the systems described herein.

여기에 기술한 방법 및 시스템 실시예는 토털 설계(total design)를 제공하기 위해 사용될 수 있다. 예컨대, 전술한 바와 같이, 상기 방법은 결함(인라인 및/또는 전기적 검사에 의해 검출된)을 체계적 결함 및 랜덤 결함으로 분리하는 단계를 포함할 수 있다. 여기에 기술한 상기 방법 및 시스템은 핫 스폿을 관리하는데 사용할 수 있다.The method and system embodiments described herein can be used to provide a total design. For example, as described above, the method may include separating the defects (detected by inline and / or electrical inspection) into systematic defects and random defects. The methods and systems described herein can be used to manage hot spots.

결함관련 매개변수적 수율 손실은 반도체 제조 프로세스의 일 매개변수에 기초하여 장치의 전기적 매개변수를 결정하는 시뮬레이션과 같은 시뮬레이션에 대한 입력으로서 사용될 수 있다. 이러한 방식에서, 매개변수적 수율 손실에 관련된 결함은 시뮬레이션을 조정 또는 최적화하기 위해 웨이퍼에 대해 수행되는 프로세스에 관한 정보와 조합하여 사용될 수 있다. 또한, 시뮬레이션 결과는 매개변수적 수율 손실에 관련된 결함을 줄이기 위해 변경될 수 있는 웨이퍼에 대해 수행된 프로세스의 매개변수를 식별하는데 사용될 수 있다. 또한, 여기에 기술한 시뮬레이션 및 방법의 결과는 매개변수적 수율 손실을 줄이기 위해 프로세스의 어느 매개변수가 중요한지를 식별하기 위해 사용될 수 있다.Defect-related parametric yield loss can be used as input to a simulation, such as a simulation that determines the electrical parameters of a device based on one parameter of a semiconductor manufacturing process. In this manner, defects related to parametric yield loss can be used in combination with information about the process performed on the wafer to adjust or optimize the simulation. In addition, the simulation results can be used to identify the parameters of the process performed on the wafer that can be altered to reduce defects associated with parametric yield loss. In addition, the results of the simulations and methods described herein can be used to identify which parameters of a process are important to reduce parametric yield loss.

체계적 패터닝 손실에 관련된 결함은 장치의 설계와 프로세스 사이의 상호작용에 관련된 패턴 결함을 식별하기 위해 사용될 수 있다. 이러한 방식에서, 결함에 대한 정보는, 결함을 줄이기 위해, 프로세스를 변경하거나, 설계를 변경하거나, 프로세스 및 설계를 변경하기 위하여 사용될 수 있다.Defects related to systematic patterning loss can be used to identify pattern defects related to the interaction between the design of the device and the process. In this way, information about the defect can be used to change the process, change the design, or change the process and the design to reduce the defect.

전술한 단계는 학습한 레슨을 고려하여 미래의 설계를 개선하기 위해 사용되는 설계 피드백 단계 동안에 수행될 수 있다. 즉, 핫 스폿 데이터베이스로부터의 지식 전달 및 감시 단계는 설계 단계에 제공될 수 있다(예컨대, 기술 검색 및 개발, 제품 설계, EET 설계 등). 이러한 단계는 다중-소스 스페이스 내에서 수행될 수 있다(예컨대, 설계, 웨이퍼, 테스트 및 프로세스 스페이스의 임의의 것 사이의 상관관계를 사용하여). 이러한 단계는 특정 셀 설계에 강한 상관관계를 갖는 핫 스폿에 기초하여 설계를 개선하는 단계를 포함할 수 있다. 또한, 이러한 단계는 제안된 설계 룰에 강한 상관관계를 갖는 핫 스폿을 사용하여 설계를 개선하는 단계를 포함할 수 있다.The above steps may be performed during the design feedback step used to improve future designs in view of the lessons learned. That is, the knowledge transfer and monitoring steps from the hot spot database may be provided to the design phase (eg, technology search and development, product design, EET design, etc.). This step can be performed within a multi-source space (eg, using correlation between any of the design, wafer, test, and process spaces). This step can include improving the design based on hot spots that have a strong correlation to the particular cell design. This step may also include improving the design using hot spots that have a strong correlation to the proposed design rule.

랜덤 결함에 대한 정보는 결함 한계 수율(defect limited yield)(즉, 모든 체계적 및 리피터 결함이 제거되는 경우 달성될 수 있는 최대 가능 수율)을 결정하기 위해 사용될 수 있다. 그러한 정보는 상위 수율 손상자인 랜덤 결함을 식별하기 위해 장치에 대한 랜덤 결함의 영향을 결정하는 시뮬레이션과 조합하여, 온라인 및 오프라인 감시에 사용될 수 있다.Information about random defects can be used to determine defect limited yield (ie, the maximum possible yield that can be achieved if all systematic and repeater defects are eliminated). Such information can be used for on-line and off-line monitoring in combination with simulations to determine the impact of random defects on the device to identify random defects that are higher yield impairments.

여기에 기술한 방법은 그 방법의 결과를 사용하여 반도체 제조 프로세스를 감시하는 단계를 포함할 수 있다. 반도체 제조 프로세스를 감시하는데 사용된 결과는 여기에 기술한 임의의 결과(예컨대, 인라인 검사 데이터, 체계적 결함 정보, 랜덤 결함 정보, 오류 밀도 맵, 비닝 결과 등) 또는 여기에 기술한 결과의 임의의 조합을 포함할 수 있다. 여기에 기술한 방법은 여기에 기술한 임의의 방법의 결과에 기초하여 1 이상의 반도체 제조 프로세스의 1 이상의 매개변수를 변경하는 단계를 또한 포함할 수 있다. 반도체 제조 프로세스의 매개변수는 피드백 기술, 피드포워드 기술, 인 시투 기술, 또는 그것의 일부 조합을 사용하여 제어할 수 있다. 이러한 방식에서, 여기에 기술한 방법 및 그 방법에 의해 생성한 결과는 SPC 애플리케이션을 위해 사용할 수 있다.The method described herein can include monitoring the semiconductor manufacturing process using the results of the method. The results used to monitor the semiconductor manufacturing process may be any results described herein (eg, inline inspection data, systematic defect information, random defect information, error density maps, binning results, etc.) or any combination of the results described herein. It may include. The method described herein may also include changing one or more parameters of the one or more semiconductor fabrication processes based on the results of any of the methods described herein. Parameters of the semiconductor manufacturing process may be controlled using feedback techniques, feedforward techniques, in situ techniques, or some combination thereof. In this manner, the methods described herein and the results generated by the methods can be used for SPC applications.

여기에 추가로 기술하는 바와 같이, 여기에 기술한 방법 및 시스템은 개선된 비닝, 리뷰 샘플링, 검사 셋업, 및 여기에 기술한 임의의 다른 분석에 대해 설계 데이터에 기초하여 온-툴 수율 예측을 위해 사용될 수 있다. 여기에 기술한 방법 및 시스템은 현재 사용되는 방법 및 시스템에 비하여 수많은 장점을 갖는다. 예컨대, KP 분석을 위해 현재 사용되는 방법 및 시스템은 사이즈 분포 및/또는 범주에 의한 결함 밀도를 고려함으로써 전체 랜덤 수율 손실 예측을 위해 이력 수율 데이터를 사용한다. 그러한 방법 및 시스템의 하나의 단점은, 다른 결함 그룹화(예컨대, 사이즈 빈, 범주 빔, 계층)가 1 이상의 결함이 다이를 파손하는 확률을 계산시 고려되지 않는다는 것이다. 또한, 이들 방법 및 시스템은 셋 업을 위해 통계적으로 현저한 이력 데이터를 필요로 한다. 다른 예에서, KP 분석을 위해 현재 사용되는 방법 및 시스템은, 검출된 결함의 KP를 잘 예측하기 위해 일 영역 내의 사이즈 및/또는 범주를 고려함으로써, 이력 수율 데이터 및 결함당 수율 손실 예측을 사용한다. 그러한 방법 및 시스템의 하나의 단점은 통계적으로 현저한 이력 데이터가 셋업을 위해 필요하다는 것이다. 추가의 예에서, 주요 영역 분석(CAA; critical area analysis)을 위해 현재 사용되는 방법 및 시스템은, 결함에 의해 수율 손실 예측을 결정하고, 각종 결함 사이즈에 대한 구조(라인 폭, 간격)에 의해 전체 다이에 걸친 주요 영역의 사전-계산에 의존한다는 것이다. 그 접근법은 비교적 연산 집약적이지만, 일단 계산되면, 장소에 기초하여 주요 영역보다 큰 영역을 갖는 결함은 파손자로서 예측된다. 그러한 방법 및 시스템의 하나의 단점은 셋업을 위해 통계적으로 현저한 이력 데이터가 필요하다는 것이다. 또한, 그러한 방법 및 시스템은 연산 집약적인 사전-처리를 포함하고, 그러한 방법 및 시스템의 정확도는 결함 좌표 정확도에 의해 제한된다는 것이다.As further described herein, the methods and systems described herein provide for on-tool yield prediction based on design data for improved binning, review sampling, inspection setup, and any other analysis described herein. Can be used. The methods and systems described herein have numerous advantages over the methods and systems currently used. For example, currently used methods and systems for KP analysis use historical yield data for overall random yield loss prediction by considering defect density by size distribution and / or category. One disadvantage of such methods and systems is that other defect groupings (eg, size bins, category beams, layers) are not taken into account when calculating the probability of one or more defects breaking a die. In addition, these methods and systems require statistically significant historical data for setup. In another example, currently used methods and systems for KP analysis use historical yield data and yield loss prediction per defect by considering the size and / or category within a region to better predict the KP of the detected defect. . One disadvantage of such methods and systems is that statistically significant historical data is required for setup. In a further example, currently used methods and systems for critical area analysis (CAA) determine yield loss prediction by defects, and overall by structure (line width, spacing) for various defect sizes. Relies on pre-calculation of key areas across the die. The approach is relatively computationally intensive, but once calculated, defects with areas larger than the main area based on location are predicted as breakers. One disadvantage of such methods and systems is that statistically significant historical data is required for setup. In addition, such methods and systems include computationally intensive pre-processing, and the accuracy of such methods and systems is limited by defect coordinate accuracy.

대조적으로, 여기에 기술한 방법 및 시스템은 매우 높은 좌표 정밀도를 활용하며, 이는 여기에 기술한 CAA 및 방법에 대한 개선된 수율 예측 정확도의 결과를 낳는다. 여기에 기술한 방법 및 시스템은 액티브 CAA에 대해 사용될 수 있다. 예컨대, 여러 사이즈 및 장소에 걸쳐 룩업 테이블을 생성하기 위해 데이터를 사전-처리하는 대신에, 본 접근법은 개선된 장소 및 사이즈에 기초하여 수율을 계산한다. 이는 설계 데이터가 검사 시스템에 이용가능하게 되는 것을 필요로 하고, 더욱 연산적으로 효율적인 잠재성을 갖는다. 또한, 여기에 기술한 방법 및 시스템은 체계적 결함에 대한 분석을 또는 패턴 그룹화에 의해 세이브하는 것을 포함하며, 이는 연산 효율성을 추가로 개선할 수 있다. 또한, 여기에 기술한 방법 및 시스템은 온-툴 결과의 수율을 예측하는데 사용할 수 있으며, 이는 웨이퍼가 척(chuck) 상에 있는 동안 그 결과가 리뷰(예컨대, 레시피 최적화를 위한 수동 리뷰, 고 해상도 이미지 그랩(grab) 등)를 위한 결함을 우선순위화하는데 사용되게 한다.In contrast, the methods and systems described herein utilize very high coordinate precision, which results in improved yield prediction accuracy for the CAAs and methods described herein. The methods and systems described herein can be used for an active CAA. For example, instead of pre-processing the data to generate a lookup table across multiple sizes and locations, this approach calculates yield based on the improved location and size. This requires design data to be available to the inspection system and has a more computationally efficient potential. In addition, the methods and systems described herein include saving analysis of systematic defects or by pattern grouping, which can further improve computational efficiency. In addition, the methods and systems described herein can be used to predict the yield of on-tool results, which allows the results to be reviewed (eg, manual review for recipe optimization, high resolution while the wafer is on the chuck). Used to prioritize defects for image grabs, etc.).

본 발명의 각종 측면의 추가의 변형예 및 대안적인 실시예는 본 설명의 관점으로부터 당업자에게 자명할 것이다. 예컨대, 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템이 제공된다. 따라서, 본 설명은 단지 예시적인 것이며, 본 발명을 수행하기 위한 일반적 방식을 당업자에게 교시하기 위한 것으로서 이해되어야 한다. 여기에 도시하고 기술한 본 발명의 형태는 현재의 바람직한 실시예로서 취해진 것을 이해해야 한다. 본 발명의 본 실시예의 이점을 취한 후에 당업자에게 자명해지는 바와 같이, 요소 및 재료는 여기에 도시하고 기술한 것으로 대체될 수 있으며, 부분 및 프로세스는 역전될 수 있으며, 본 발명의 특정 특징은 독립적으로 활용될 수 있다. 이하의 청구의 범위에 기술하는 바와 같은 본 발명의 정신 및 범주를 이탈하지 않고, 여기에 기술한 요소에 변형이 이루어질 수 있다.
Further variations and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art from the point of view of this description. For example, methods and systems are provided for utilizing design data in combination with inspection data. Accordingly, the description is to be regarded as illustrative only and as teaching the person skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. As will be apparent to those skilled in the art after taking advantage of this embodiment of the present invention, the elements and materials may be replaced with those shown and described herein, the parts and processes may be reversed, and certain features of the present invention may be independently Can be utilized. Modifications may be made to the elements described herein without departing from the spirit and scope of the invention as described in the following claims.

Claims (58)

컴퓨터 시스템을 사용하여 설계 데이터 스페이스(design data space) 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법에 있어서,
웨이퍼 상의 정렬 사이트(alignment site)에 대해 검사 시스템에 의해 취득된 데이터를 미리 결정된 정렬 사이트에 대한 데이터와 정렬하는 단계;
상기 설계 데이터 스페이스 내의 상기 미리 결정된 정렬 사이트의 위치에 기초하여, 상기 설계 데이터 스페이스 내의 상기 웨이퍼 상의 상기 정렬 사이트의 위치를 결정하는 단계;
상기 설계 데이터 스페이스 내의 상기 웨이퍼 상의 상기 정렬 사이트의 위치에 기초하여, 상기 설계 데이터 스페이스 내의 상기 검사 시스템에 의해 상기 웨이퍼에 대해 취득된 검사 데이터의 위치를 결정하는 단계; 및
상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성(attribute), 및 상기 검사 데이터의 하나 이상의 속성에 기초하여, 상기 웨이퍼의 상이한 부분 상의 결함을 검출하기 위한 감지도(sensitivity)를 결정하는 단계
를 포함하고,
상기 검사 데이터의 상기 하나 이상의 속성은, 하나 이상의 결함이 상기 상이한 부분에서 검출된 경우에 하나 이상의 이미지 노이즈 속성, 또는 상기 이미지 노이즈 속성의 일부 조합을 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.
A computer-implemented method of using computer systems to determine the location of inspection data within a design data space,
Aligning the data obtained by the inspection system with respect to the alignment site on the wafer with the data for the predetermined alignment site;
Determining a location of the alignment site on the wafer in the design data space based on the location of the predetermined alignment site in the design data space;
Determining a location of inspection data acquired for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space; And
Sensing for detecting a defect on a different portion of the wafer based on a location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data Determining Sensitivity
Including,
Wherein the one or more attributes of the inspection data include one or more image noise attributes, or some combination of the image noise attributes, when one or more defects are detected in the different portions. Computer-implemented method of determining the number.
제1항에 있어서, 상기 검사 데이터의 위치는 서브-픽셀 정확도로 결정되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The computer-implemented method of claim 1, wherein the location of the inspection data is determined with sub-pixel accuracy. 제1항에 있어서, 상기 미리 결정된 정렬 사이트에 대한 데이터는 데이터 구조(structure) 내에 저장된 설계 데이터를 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The computer-implemented method of claim 1, wherein the data for the predetermined alignment site includes design data stored in a data structure. 제1항에 있어서, 상기 미리 결정된 정렬 사이트에 대한 데이터는 상기 미리 결정된 정렬 사이트가 상기 웨이퍼 상에 어떻게 인쇄될지를 나타내는 하나 이상의 시뮬레이션된 이미지를 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법. The method of claim 1, wherein the data for the predetermined alignment site includes one or more simulated images that indicate how the predetermined alignment site is to be printed on the wafer. Computer-implemented method of determining. 제1항에 있어서, 상기 미리 결정된 정렬 사이트에 대한 데이터는 상기 미리 결정된 정렬 사이트의 하나 이상의 속성을 포함하고, 상기 웨이퍼 상의 정렬 사이트에 대한 데이터는 상기 웨이퍼 상의 정렬 사이트의 하나 이상의 속성을 포함하며, 상기 정렬하는 단계는, 상기 미리 결정된 정렬 사이트의 하나 이상의 속성을 상기 웨이퍼 상의 정렬 사이트의 하나 이상의 속성에 정렬하는 단계를 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the data for the predetermined alignment site includes one or more attributes of the predetermined alignment site, the data for the alignment site on the wafer includes one or more attributes of the alignment site on the wafer, Wherein the aligning includes aligning one or more attributes of the predetermined alignment site to one or more attributes of the alignment site on the wafer. . 제5항에 있어서, 상기 미리 결정된 정렬 사이트의 하나 이상의 속성은 상기 미리 결정된 정렬 사이트의 중심(centroid)을 포함하고, 상기 웨이퍼 상의 정렬 사이트의 하나 이상의 속성은 상기 웨이퍼 상의 정렬 사이트의 중심을 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.6. The method of claim 5, wherein at least one property of the predetermined alignment site comprises a centroid of the predetermined alignment site, and at least one property of the alignment site on the wafer comprises a center of the alignment site on the wafer. Computer-implemented method for determining the location of inspection data within a design data space. 제1항에 있어서, 상기 미리 결정된 정렬 사이트에 대한 데이터는 데이터 구조 내에 저장된 설계 데이터에 정렬된 검사 시스템에 의해 취득된 데이터를 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The computer of claim 1, wherein the data for the predetermined alignment site includes data obtained by an inspection system aligned with design data stored in a data structure. How to implement. 제1항에 있어서, 상기 미리 결정된 정렬 사이트에 대한 데이터는 상기 설계 데이터 스페이스 내의 설계 좌표에 정렬된 표준 기준 다이 이미지(standard reference die image)의 적어도 일부분을 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The inspection data of claim 1, wherein the data for the predetermined alignment site comprises at least a portion of a standard reference die image aligned to design coordinates in the design data space. A computer-implemented method of determining the location of a. 제1항에 있어서, 상기 미리 결정된 정렬 사이트는 x 방향 및 y 방향에서 유일한 하나 이상의 속성을 갖는 적어도 하나의 정렬 특성(feature) 또는 적어도 두 개의 정렬 특성을 포함하고, 상기 적어도 두 개의 정렬 특성 중 제1 정렬 특성은 상기 x 방향에서 유일한 하나 이상의 속성을 가지며, 상기 적어도 두 개의 정렬 특성 중 제2 정렬 특성은 상기 y 방향에서 유일한 하나 이상의 속성을 갖는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the predetermined alignment site comprises at least one alignment feature or at least two alignment features having one or more attributes unique in the x and y directions, wherein the first of the at least two alignment features is selected. Wherein the first alignment characteristic has only one or more attributes in the x direction, and the second alignment characteristic of the at least two alignment characteristics has only one or more attributes in the y direction. Computer-implemented way. 제1항에 있어서, 상기 검사 시스템을 이용하여 상기 미리 결정된 정렬 사이트를 선택하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The computer-implemented method of claim 1, further comprising selecting the predetermined alignment site using the inspection system. 제10항에 있어서, 상기 선택하는 단계에서 이용되는 검사 시스템의 이미징 모드는 상기 검사 데이터를 취득하는 데에 이용되는 검사 시스템의 이미징 모드와 상이한 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.12. The method of claim 10, wherein the imaging mode of the inspection system used in the selecting step is different from the imaging mode of the inspection system used to acquire the inspection data. Computer-implemented method. 제1항에 있어서, 상기 웨이퍼 상의 정렬 사이트의 위치를 결정하는 단계는 상기 웨이퍼에 대한 검사 전에 수행되고, 상기 검사 데이터의 위치를 결정하는 단계는 상기 웨이퍼에 대한 검사 동안에 수행되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The design data of claim 1, wherein determining a location of the alignment site on the wafer is performed prior to inspection of the wafer and determining the location of the inspection data is performed during inspection of the wafer. A computer-implemented method of determining the location of test data in a space. 제1항에 있어서, 상기 검사 데이터의 위치를 결정하는 단계는 상기 웨이퍼에 대한 검사에 후속하여 수행되고, 상기 검사 데이터의 위치를 결정하는 단계는 상기 웨이퍼 상에서 검출된 결함에 대응하는 검사 데이터의 일부분에 대해 수행되며, 상기 결함에 대응하지 않는 검사 데이터의 일부분에 대해서는 수행되지 않는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein determining the location of the inspection data is performed subsequent to the inspection of the wafer, and determining the location of the inspection data is a portion of the inspection data corresponding to the defect detected on the wafer. For a portion of inspection data that does not correspond to the defect, wherein the location of the inspection data within the design data space is determined. 제1항에 있어서, 상기 정렬 사이트에 대한 데이터는 상기 검사 데이터의 스와스(swath) 내에 있고, 상기 검사 데이터의 위치를 결정하는 단계는, 상기 정렬 사이트의 위치에 기초하여 상기 설계 데이터 스페이스 내의 스와스의 위치를 결정하는 단계와 상기 스와스의 위치에 기초하여 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 추가 스와스의 위치를 결정하는 단계를 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.2. The method of claim 1, wherein the data for the alignment site is within a swath of the inspection data, and determining the location of the inspection data comprises: swaying in the design data space based on the position of the alignment site. Determining the location of the swath of the inspection data in the design data space based on the position of the swath and determining the position of the additional swath of the inspection data in the design data space. Computer-implemented way. 제1항에 있어서, 상기 설계 데이터의 하나 이상의 속성은, 상이한 프로세스 계층들 또는 그것의 일부 조합에 대하여, 상기 웨이퍼에 대한 상기 검사 데이터가 취득된 프로세스 계층에 대하여, 설계 데이터, 상이한 설계 데이터, 또는 그것의 일부 조합에 대하여, 웨이퍼, 상이한 웨이퍼, 또는 그것의 일부 조합에 대하여 이전에 취득된 검사 데이터의 하나 이상의 속성에 기초하여 선택되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the one or more attributes of the design data are, for different process layers or some combination thereof, for the process layer from which the inspection data for the wafer was obtained, for the design data, for the different design data, or A computer for determining the location of inspection data within the design data space, for some combination thereof, selected based on one or more attributes of inspection data previously acquired for a wafer, a different wafer, or some combination thereof. How to implement. 제1항에 있어서, 상기 설계 데이터의 하나 이상의 속성은, 상이한 부분들에서 이전에 검출된 결함의 수율 임계(yield criticality), 상기 상이한 부분들에서 이전에 검출된 결함의 오류 확률(fault probability), 또는 그것의 일부 조합에 기초하여 선택되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the one or more attributes of the design data comprise: yield criticality of defects previously detected in different portions, fault probability of defects previously detected in different portions, Or based on some combination thereof, computer-implemented method for determining the location of inspection data within a design data space. 제1항에 있어서, 상기 감지도를 결정하는 단계는, 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치 및 콘텍스트 맵(context map)에 기초하여, 상기 웨이퍼의 상이한 부분들 상의 결함을 검출하기 위한 감지도를 결정하는 단계를 포함하고, 상기 콘텍스트 맵은 상기 설계 데이터 스페이스에 걸친 상기 설계 데이터의 하나 이상의 속성에 대한 값을 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein determining the sensitivity comprises: detecting sensitivity on different portions of the wafer based on a context map and a location of the inspection data within the design data space. Determining a location of inspection data within a design data space, wherein the context map comprises a value for one or more attributes of the design data over the design data space. 제17항에 있어서, 상기 감지도를 결정하는 단계는, 상기 웨이퍼의 상이한 부분들 상의 결함을 검출하기 위해 상기 검사 데이터와 함께 사용되는 감지도 임계치를 결정하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.18. The design data of claim 17, wherein determining the sensitivity further comprises determining a sensitivity threshold used with the inspection data to detect defects on different portions of the wafer. A computer-implemented method of determining the location of test data in a space. 제17항에 있어서, 상기 감지도를 결정하는 단계는, 상기 웨이퍼의 검사 동안에 상기 검사 시스템에 의해 수행되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.18. The computer-implemented method of claim 17, wherein determining the sensitivity is performed by the inspection system during inspection of the wafer. 제17항에 있어서, 상기 감지도를 결정하는 단계는, 상기 웨이퍼에 대한 상기 검사 데이터의 취득이 완료된 후에 수행되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.18. The computer-implemented method of claim 17, wherein determining the sensitivity is performed after acquisition of the inspection data for the wafer is complete. 제1항에 있어서, 상기 웨이퍼 상에서 제조되고 있는 장치의 설계에 대한 개략적인 데이터(schematic data)의 하나 이상의 속성, 상기 장치에 대한 물리적 레이아웃의 기대되는(expected) 전기적 거동(behavior)의 하나 이상의 속성, 또는 그것의 일부 조합에 기초하여, 상기 웨이퍼 상의 결함을 검출하기 위한 하나 이상의 매개변수를 변경하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein one or more attributes of schematic data about the design of the device being manufactured on the wafer, and one or more attributes of the expected electrical behavior of the physical layout for the device. And based on some combination thereof, changing one or more parameters for detecting a defect on the wafer. 제1항에 있어서, 상기 웨이퍼 상에서 수행될 전기 테스트 프로세스의 하나 이상의 매개변수에 기초하여, 상기 검사 데이터를 사용하여 상기 웨이퍼 상의 결함을 검출하기 위한 하나 이상의 매개변수를 변경하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising changing one or more parameters for detecting defects on the wafer using the inspection data based on one or more parameters of an electrical test process to be performed on the wafer. Computer-implemented method of determining the location of inspection data within a design data space. 제1항에 있어서, 상기 검사 데이터를 사용하여 상기 웨이퍼 상에서 검출되는 결함에 기초하여 상기 웨이퍼 상에서 수행될 전기 테스트 프로세스의 하나 이상의 매개변수를 변경하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising changing one or more parameters of an electrical test process to be performed on the wafer based on defects detected on the wafer using the inspection data. Computer-implemented method of determining location. 제1항에 있어서, 피드백(feedback) 제어 기술을 사용하여, 상기 방법의 하나 이상의 단계의 결과에 기초하여, 상기 검사 시스템에 의해 수행되는 검사 프로세스의 하나 이상의 매개변수를 주기적으로 변경하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising periodically changing one or more parameters of an inspection process performed by the inspection system, based on the results of one or more steps of the method, using a feedback control technique. Computer-implemented method for determining the location of inspection data within a design data space. 제1항에 있어서, 피드백 제어 기술을 사용하여, 상기 방법의 하나 이상의 단계의 결과에 기초하여, 상기 검사 시스템에 의해 수행되는 검사 프로세스의 하나 이상의 매개변수를 자동으로 변경하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising automatically changing one or more parameters of an inspection process performed by the inspection system, based on the results of one or more steps of the method, using a feedback control technique. Computer-implemented method of determining the location of inspection data within a design data space. 제1항에 있어서, 상기 방법의 하나 이상의 단계의 결과를 사용하여 지식 베이스(knowledge base)를 생성하는 단계와, 상기 지식 베이스를 사용하여 상기 검사 시스템에 의해 수행되는 검사 프로세스를 생성하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising generating a knowledge base using the results of one or more steps of the method, and generating a test process performed by the inspection system using the knowledge base. Computer-implemented method for determining the location of inspection data within a design data space. 제1항에 있어서, 상기 설계 데이터 스페이스 내의 결함에 대응하는 검사 데이터의 부분들의 위치와 콘텍스트 맵에 기초하여, 상기 웨이퍼의 상이한 부분들 상에서 검출된 결함을 분류하는 단계를 더 포함하며, 상기 콘텍스트 맵은 상기 설계 데이터 스페이스에 걸친 상기 설계 데이터의 하나 이상의 속성에 대한 값을 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising classifying the detected defects on different portions of the wafer based on a location and a context map of portions of inspection data corresponding to the defect in the design data space. Is a value for one or more attributes of the design data over the design data space. 제27항에 있어서, 상기 분류하는 단계는, 상기 웨이퍼의 검사 동안에 상기 검사 시스템에 의해 수행되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.28. The computer-implemented method of claim 27, wherein the sorting is performed by the inspection system during inspection of the wafer. 제27항에 있어서, 상기 분류하는 단계는, 상기 웨이퍼에 대한 상기 검사 데이터의 취득이 완료된 후에 수행되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.28. The computer-implemented method of claim 27, wherein the classifying step is performed after the acquisition of the inspection data for the wafer is complete. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은, 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치에 기초하여 상기 설계 데이터 스페이스 내의 결함의 위치를 결정하는 단계와, 상기 설계 데이터 스페이스 내의 결함의 위치 및 설계 데이터 스페이스 내의 상기 설계 데이터의 하나 이상의 속성에 기초하여, 상기 결함이 뉴슨스(nuisance) 결함인지를 결정하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, and the method further comprises: determining a position of the defect in the design data space based on the position of the inspection data in the design data space. And determining, based on the location of the defect in the design data space and one or more attributes of the design data in the design data space, whether the defect is a nuisance defect. A computer-implemented method of determining the location of test data in a computer. 제30항에 있어서, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성에 기초하여, 뉴슨스 결함인 것으로 결정되지 않은 결함이 체계적(systematic) 또는 랜덤(random) 결함인지를 결정하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.31. The method of claim 30, further comprising determining, based on one or more attributes of design data in the design data space, whether a defect that is not determined to be a Newson's defect is a systematic or random defect. , Computer-implemented method of determining the location of inspection data within a design data space. 제1항에 있어서, 상기 검사 데이터는 PWQ(process window qualification)에 대해 취득되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The computer-implemented method of claim 1, wherein the inspection data is acquired for process window qualification (PWQ). 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치와 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성에 기초하여, 상기 결함을 분류하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, and the method is based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Classifying the defect further comprising: classifying the location of inspection data within a design data space. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치와 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성에 기초하여, 상기 결함을 그룹으로 비닝(binning) 하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, and the method is based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Binning the defects into groups, wherein the location of the inspection data within the design data space is determined. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성, 및 상기 설계 데이터가 위에 인쇄되는 레티클(reticle)에 대하여 취득된 레티클 검사 데이터의 하나 이상의 속성에 기초하여, 상기 결함을 그룹으로 비닝하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, and wherein the method includes the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and the design. Binning the defects into groups based on one or more attributes of the reticle inspection data obtained for the reticle on which the data is printed above, wherein the location of the inspection data within the design data space is determined. Computer-implemented method. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성, 및 상기 검사 데이터의 하나 이상의 속성에 기초하여, 상기 결함을 그룹으로 비닝하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, and wherein the method includes the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and the inspection. And based on one or more attributes of the data, binning the defects into groups. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성, 상기 검사 데이터의 하나 이상의 속성, 및 상기 설계 데이터가 위에 인쇄되는 레티클에 대하여 취득된 레티클 검사 데이터의 하나 이상의 속성에 기초하여, 상기 결함을 그룹으로 비닝하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, the method further comprising: the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, the inspection data And binning the defects into groups based on one or more attributes of and one or more attributes of the reticle inspection data obtained for the reticle on which the design data is printed. A computer-implemented method of determining the location of a. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성, 상기 검사 데이터의 하나 이상의 속성, 및 상이한 프로세스 계층들 또는 그것의 일부 조합에 대하여, 상기 웨이퍼에 대한 검사 데이터가 취득된 프로세스 계층에 대하여, 설계 데이터, 상이한 설계 데이터 또는 그것의 일부 조합에 대하여, 웨이퍼, 상이한 웨이퍼 또는 그것의 일부 조합에 대해 이전에 취득된 검사 데이터의 하나 이상의 속성에 기초하여, 상기 결함을 그룹으로 비닝하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, the method further comprising: the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, the inspection data For one or more attributes of, and for different process layers or some combination thereof, for a process layer from which inspection data for the wafer was obtained, for a design data, for different design data, or for some combination thereof, a wafer, a different wafer Or binning the defects into groups, based on one or more attributes of inspection data previously obtained for some combination thereof, computer-implemented to determine the location of inspection data within a design data space. Way. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치와, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성에 기초하여, 리뷰를 위해 상기 결함의 적어도 일부를 선택하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, and the method is based on a location of the inspection data in the design data space and one or more attributes of design data in the design data space. And selecting at least a portion of the defect for review. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치와, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성에 기초하여, 상기 결함이 리뷰되는 시퀀스(sequence)를 결정하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about defects on the wafer, and the method is based on a location of the inspection data in the design data space and one or more attributes of design data in the design data space. And determining a sequence in which the defect is reviewed. 제1항에 있어서, 상기 검사 데이터는 상기 웨이퍼 상의 결함에 대한 데이터를 포함하고, 상기 방법은 리뷰를 위해 상기 결함의 적어도 일부를 선택하는 단계를 더 포함하고, 상기 결함의 적어도 일부는 상기 설계 데이터의 하나 이상의 속성의 상이한 값들을 갖는 상기 설계 데이터 스페이스 내의 설계 데이터의 각각의 부분 내에 위치한 적어도 하나의 결함을 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the inspection data includes data about a defect on the wafer, and the method further comprises selecting at least a portion of the defect for review, wherein at least a portion of the defect is the design data. And at least one defect located within each portion of the design data in the design data space having different values of one or more attributes of the computer-implemented method. 제1항에 있어서, 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치 및 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성에 기초하여, 상기 웨이퍼의 상이한 부분들에 대해 취득된, 상기 검사 시스템의 하나 이상의 검출기로부터의 출력의 하나 이상의 미리 결정된 속성을 추출하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The system of claim 1, wherein one or more detectors of the inspection system are acquired for different portions of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Extracting one or more predetermined attributes of the output from the computer-implemented method for determining the location of inspection data within the design data space. 제42항에 있어서, 상기 설계 데이터의 하나 이상의 속성은, 상이한 프로세스 계층들 또는 그것의 일부 조합에 대하여, 상기 웨이퍼에 대한 검사 데이터가 취득되는 프로세스 계층에 대하여, 설계 데이터, 상이한 설계 데이터 또는 그것의 일부 조합에 대하여, 상기 웨이퍼, 상이한 웨이퍼 또는 그것의 일부 조합에 대해 이전에 취득된 하나 이상의 속성에 기초하여 선택되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.43. The method of claim 42, wherein the one or more attributes of the design data are, for different process layers or some combination thereof, for the process layer from which inspection data for the wafer is obtained, for the design data, for the different design data, or for its combination. And for some combinations, is selected based on one or more attributes previously obtained for the wafer, different wafers, or some combination thereof. 제1항에 있어서, 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성, 및 상기 검사 데이터의 하나 이상의 속성에 기초하여, 상기 웨이퍼의 상이한 부분에 대해 취득된, 상기 검사 시스템의 하나 이상의 검출기로부터의 출력의 하나 이상의 미리 결정된 속성을 추출하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the position of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data are acquired for different portions of the wafer. Extracting one or more predetermined attributes of the output from the one or more detectors of the inspection system, wherein the location of the inspection data within the design data space is determined. 제1항에 있어서, 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치와, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성에 기초하여, 상기 웨이퍼 상에서 검출된 하나 이상의 결함에 대한 오류 확률 값을 결정하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein determining an error probability value for one or more defects detected on the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Further comprising a location of the inspection data within the design data space. 제1항에 있어서, 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치에 기초하여 상기 설계 데이터 스페이스 내의 상기 웨이퍼 상에서 검출된 결함의 위치 좌표를 결정하는 단계와, 상기 설계 데이터의 평면도에 기초하여 상기 결함의 위치 좌표를 설계 셀 좌표로 옮기는(translate) 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising: determining a position coordinate of a defect detected on the wafer in the design data space based on a position of the inspection data in the design data space, and determining the position of the defect based on a plan view of the design data. And translating the position coordinates into the design cell coordinates. 제46항에 있어서, 오버레이 공차(overlay tolerance)를 사용하여 상기 결함 주위의 상이한 영역을 결정하는 단계와, 하나 이상의 셀 유형이 체계적으로 결함 있는 셀 유형인지를 결정하고, 상기 체계적으로 결함 있는 셀 유형 내의 하나 이상의 체계적으로 결함 있는 구조(geometry)의 하나 이상의 위치를 결정하기 위해, 상기 영역을 사용하여, 상기 하나 이상의 셀 유형에 대하여 결함 리피터(repeater) 분석을 수행하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.47. The method of claim 46, further comprising determining different areas around the defect using overlay tolerance, determining whether one or more cell types are systematically defective cell types, and determining the systematically defective cell type. Design data, further comprising performing defect repeater analysis for the one or more cell types, using the region to determine one or more locations of one or more systematically defective geometries within the design data. A computer-implemented method of determining the location of test data in a space. 제47항에 있어서, 상기 체계적으로 결함 있는 셀 유형에 인접하여(proximate) 위치한 셀에 대한 설계 데이터의 하나 이상의 속성, 구조, 또는 그것의 일부 조합에 기초하여, 공간적으로 체계적인 결함이 상기 체계적으로 결함 있는 셀 유형 내에서 발생하는지를 결정하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.48. The method of claim 47, wherein the spatially systematic defect is based on one or more attributes, structures, or some combination thereof, of design data for a cell located proximate to the systematically defective cell type. And determining the location of the inspection data within the design data space. 제1항에 있어서, 상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치에 기초하여, 상기 설계 데이터 스페이스 내의 상기 웨이퍼 상에서 검출된 결함의 위치를 결정하는 단계와, 상기 설계 데이터의 하나 이상의 속성에 대한 미리 결정된 값이 상기 설계 데이터 스페이스 내의 위치의 함수로서 저장되는 데이터 구조를 사용하여, 상기 결함의 위치에 대응하는 상기 설계 데이터의 하나 이상의 속성에 대한 값을 결정하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising: determining a location of a detected defect on the wafer in the design data space based on the location of the inspection data in the design data space, and determining a predetermined value for one or more attributes of the design data. Using a data structure in which a value is stored as a function of a location in the design data space, further comprising determining a value for one or more attributes of the design data corresponding to the location of the defect. Computer-implemented method of determining the location of data. 제1항에 있어서, 레티클 검사 시스템에 의해 생성된 레티클의 이미지는 상기 설계 데이터 스페이스 내의 설계 데이터로서 사용되고, 상기 레티클은 상기 설계 데이터를 상기 웨이퍼 상에 인쇄하는데 사용되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The inspection in a design data space of claim 1, wherein an image of the reticle generated by the reticle inspection system is used as design data in the design data space, and the reticle is used to print the design data on the wafer. Computer-implemented method of determining the location of data. 제1항에 있어서, 레티클 이미지가 상기 웨이퍼 상에 어떻게 인쇄될지를 나타내는 시뮬레이션된 이미지는 상기 설계 데이터 스페이스 내의 설계 데이터로서 사용되는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The computer-implemented method of claim 1, wherein a simulated image showing how a reticle image is to be printed on the wafer is used as design data in the design data space. . 제1항에 있어서, 상기 웨이퍼 상에 상기 설계 데이터를 인쇄하는데 사용되는 레티클에 대해 취득된 레티클 검사 데이터에 기초하여, 상기 설계 데이터 스페이스 내의 설계 데이터에 대한 콘텍스트 맵을 생성하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising generating a context map for design data in the design data space based on the reticle inspection data obtained for the reticle used to print the design data on the wafer. Computer-implemented method of determining the location of inspection data within a design data space. 제1항에 있어서, 상기 설계 데이터 스페이스 내의 검사 데이터의 위치 및 콘텍스트 맵을 사용하여 상기 웨이퍼 상의 레티클 결함의 인쇄 가능성을 결정하기 위해, 웨이퍼 검사 프로세스를 최적화하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising optimizing a wafer inspection process to determine the printability of reticle defects on the wafer using a location map and a context map of inspection data within the design data space. Computer-implemented method of determining the location of test data. 제1항에 있어서, 상기 검사 데이터 및 표준 기준 다이(die) 기반 검사를 위한 표준 기준 다이를 사용하여, 상기 웨이퍼 상의 결함을 검출하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.2. The method of claim 1, further comprising detecting a defect on the wafer using a standard reference die for the inspection data and a standard reference die based inspection. Computer-implemented way. 제1항에 있어서, 상기 검사 데이터, 표준 기준 다이, 및 표준 기준 다이 기반 검사에 대한 섭동(perturbation) 매트릭스 내의 표준 기준 다이와 관련된 웨이퍼 노이즈의 표현(representation)을 사용하여, 상기 웨이퍼 상의 결함을 검출하는 단계를 더 포함하는, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, further comprising detecting defects on the wafer using a representation of wafer noise associated with a standard reference die in a perturbation matrix for the inspection data, a standard reference die, and a standard reference die based inspection. Further comprising the steps of: determining the location of inspection data within the design data space. 제1항에 있어서, 상기 웨이퍼 및 추가적인 웨이퍼는 웨이퍼 레벨 프로세스 매개변수 조정(modulation)을 사용하여 처리되며, 상기 방법은 상기 웨이퍼와 추가적인 웨이퍼 상의 다이에 대한 검사 데이터를 통상적인 표준 기준 다이와 비교함으로써, 상기 웨이퍼 및 추가적인 웨이퍼 상의 결함을 검출하는 단계를 더 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하는 컴퓨터-구현 방법.The method of claim 1, wherein the wafer and additional wafers are processed using wafer level process parameter modulation, wherein the method compares inspection data for the die on the wafer and the additional wafers with a conventional standard reference die, And detecting defects on the wafer and additional wafers. 설계 데이터 스페이스(design data space) 내의 검사 데이터의 위치를 결정하도록 구성된 시스템에 있어서,
설계 데이터를 포함하는 저장 매체; 및
상기 저장 매체와 연결된 프로세서를 포함하고,
상기 프로세서는,
웨이퍼 상의 정렬 사이트(alignment site)에 대해 검사 시스템에 의해 취득된 데이터를 미리 결정된 정렬 사이트에 대한 데이터와 정렬하고,
상기 설계 데이터 스페이스 내의 상기 미리 결정된 정렬 사이트의 위치에 기초하여, 상기 설계 데이터 스페이스 내의 상기 웨이퍼 상의 상기 정렬 사이트의 위치를 결정하고,
상기 설계 데이터 스페이스 내의 상기 웨이퍼 상의 상기 정렬 사이트의 위치에 기초하여, 상기 설계 데이터 스페이스 내의 상기 검사 시스템에 의해 상기 웨이퍼에 대해 취득된 검사 데이터의 위치를 결정하며,
상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성(attribute), 및 상기 검사 데이터의 하나 이상의 속성에 기초하여, 상기 웨이퍼의 상이한 부분 상의 결함을 검출하기 위한 감지도(sensitivity)를 결정하도록 구성된 것이고,
상기 검사 데이터의 상기 하나 이상의 속성은, 하나 이상의 결함이 상기 상이한 부분에서 검출된 경우에 하나 이상의 이미지 노이즈 속성, 또는 상기 이미지 노이즈 속성의 일부 조합을 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템.
A system configured to determine a location of inspection data within a design data space,
A storage medium containing design data; And
A processor coupled with the storage medium,
The processor comprising:
Align the data obtained by the inspection system for the alignment site on the wafer with the data for the predetermined alignment site,
Based on the location of the predetermined alignment site in the design data space, determine the location of the alignment site on the wafer in the design data space,
Based on the position of the alignment site on the wafer in the design data space, determine the position of inspection data acquired for the wafer by the inspection system in the design data space,
Sensing for detecting a defect on a different portion of the wafer based on a location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data Configured to determine sensitivity,
Wherein the one or more attributes of the inspection data include one or more image noise attributes, or some combination of the image noise attributes, when one or more defects are detected in the different portions. The system is configured to determine.
설계 데이터 스페이스(design data space) 내의 검사 데이터의 위치를 결정하도록 구성된 시스템에 있어서,
웨이퍼 상의 정렬 사이트(alignment site)에 대한 데이터 및 상기 웨이퍼에 대한 검사 데이터를 획득하도록 구성된 검사 시스템;
설계 데이터를 포함하는 저장 매체; 및
상기 검사 시스템과 상기 저장 매체에 연결된 프로세서를 포함하고,
상기 프로세서는,
상기 웨이퍼 상의 정렬 사이트에 대한 데이터를 미리 결정된 정렬 사이트에 대한 데이터와 정렬하고,
상기 설계 데이터 스페이스 내의 상기 미리 결정된 정렬 사이트의 위치에 기초하여, 상기 설계 데이터 스페이스 내의 상기 웨이퍼 상의 상기 정렬 사이트의 위치를 결정하고,
상기 설계 데이터 스페이스 내의 상기 웨이퍼 상의 상기 정렬 사이트의 위치에 기초하여, 상기 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하며,
상기 설계 데이터 스페이스 내의 상기 검사 데이터의 위치, 상기 설계 데이터 스페이스 내의 설계 데이터의 하나 이상의 속성(attribute), 및 상기 검사 데이터의 하나 이상의 속성에 기초하여, 상기 웨이퍼의 상이한 부분 상의 결함을 검출하기 위한 감지도(sensitivity)를 결정하도록 구성된 것이고,
상기 검사 데이터의 상기 하나 이상의 속성은, 하나 이상의 결함이 상기 상이한 부분에서 검출된 경우에 하나 이상의 이미지 노이즈 속성, 또는 상기 이미지 노이즈 속성의 일부 조합을 포함하는 것인, 설계 데이터 스페이스 내의 검사 데이터의 위치를 결정하도록 구성된 시스템.
A system configured to determine a location of inspection data within a design data space,
An inspection system configured to obtain data about alignment sites on a wafer and inspection data for the wafer;
A storage medium containing design data; And
A processor coupled to the inspection system and the storage medium,
The processor comprising:
Align the data for the alignment site on the wafer with the data for the predetermined alignment site,
Based on the location of the predetermined alignment site in the design data space, determine the location of the alignment site on the wafer in the design data space,
Based on the location of the alignment site on the wafer in the design data space, determine the location of inspection data in the design data space,
Sensing for detecting a defect on a different portion of the wafer based on a location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data Configured to determine sensitivity,
Wherein the one or more attributes of the inspection data include one or more image noise attributes, or some combination of the image noise attributes, when one or more defects are detected in the different portions. The system is configured to determine.
KR1020137006368A 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data KR101665168B1 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US73794705P 2005-11-18 2005-11-18
US73829005P 2005-11-18 2005-11-18
US60/738,290 2005-11-18
US60/737,947 2005-11-18
US11/561,735 US7676077B2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
US11/561,659 2006-11-20
PCT/US2006/061113 WO2007120280A2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
US11/561,735 2006-11-20
US11/561,659 US7570796B2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087014775A Division KR101285967B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Related Child Applications (4)

Application Number Title Priority Date Filing Date
KR1020137009234A Division KR101530456B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020147015035A Division KR101565071B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009231A Division KR101370154B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157000055A Division KR101613048B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Publications (2)

Publication Number Publication Date
KR20130043223A true KR20130043223A (en) 2013-04-29
KR101665168B1 KR101665168B1 (en) 2016-10-11

Family

ID=38610775

Family Applications (11)

Application Number Title Priority Date Filing Date
KR1020167033159A KR101789004B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157000055A KR101613048B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020147015035A KR101565071B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020187021977A KR20180088924A (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009231A KR101370154B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020177029140A KR101885585B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157030359A KR101672157B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157002473A KR101682838B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137006368A KR101665168B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020087014775A KR101285967B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009234A KR101530456B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Family Applications Before (8)

Application Number Title Priority Date Filing Date
KR1020167033159A KR101789004B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157000055A KR101613048B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020147015035A KR101565071B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020187021977A KR20180088924A (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009231A KR101370154B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020177029140A KR101885585B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157030359A KR101672157B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020157002473A KR101682838B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020087014775A KR101285967B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
KR1020137009234A KR101530456B1 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data

Country Status (5)

Country Link
EP (1) EP1955225A4 (en)
JP (12) JP5465880B2 (en)
KR (11) KR101789004B1 (en)
IL (14) IL191527A (en)
WO (2) WO2007120279A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170143485A (en) * 2015-05-20 2017-12-29 케이엘에이-텐코 코포레이션 Voltage Contrast-based Fault and Fault Reasoning in Logic Chip
KR20180076370A (en) * 2015-11-26 2018-07-05 케이엘에이-텐코 코포레이션 How to save dynamic layer content within a design file
KR20200005682A (en) * 2017-06-06 2020-01-15 케이엘에이 코포레이션 Reticle Optimization Algorithms and Optimal Target Design

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
EP1955225A4 (en) * 2005-11-18 2009-11-04 Kla Tencor Tech Corp Methods and systems for utilizing design data in combination with inspection data
WO2009020885A1 (en) * 2007-08-03 2009-02-12 Flir Systems, Inc. Wireless remote detector systems and methods
US9710903B2 (en) 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
KR101841897B1 (en) * 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
WO2011004534A1 (en) * 2009-07-09 2011-01-13 株式会社 日立ハイテクノロジーズ Semiconductor defect classifying method, semiconductor defect classifying apparatus, and semiconductor defect classifying program
CN102484084B (en) * 2009-07-17 2014-12-10 克拉-坦科股份有限公司 Scanner performance comparison and matching using design and defect data
US20130068949A1 (en) * 2010-05-31 2013-03-21 Kotoko Urano Charged particle beam device provided with automatic aberration correction method
EP2447889A1 (en) * 2010-10-29 2012-05-02 Siemens Aktiengesellschaft Method for modeling a defect management in a manufacturing process and for handling the defect during the production process based on said modeled defect management
TWI574136B (en) * 2012-02-03 2017-03-11 應用材料以色列公司 Method of design-based defect classification and system thereof
US8718353B2 (en) * 2012-03-08 2014-05-06 Kla-Tencor Corporation Reticle defect inspection with systematic defect filter
JP5943722B2 (en) 2012-06-08 2016-07-05 三菱重工業株式会社 Defect determination apparatus, radiation imaging system, and defect determination method
JP6092602B2 (en) * 2012-12-04 2017-03-08 株式会社安永 Defect inspection apparatus and defect inspection method
US9202763B2 (en) 2013-01-16 2015-12-01 Kabushiki Kaisha Toshiba Defect pattern evaluation method, defect pattern evaluation apparatus, and recording media
JP6152281B2 (en) 2013-02-25 2017-06-21 株式会社ニューフレアテクノロジー Pattern inspection method and pattern inspection apparatus
US10114368B2 (en) * 2013-07-22 2018-10-30 Applied Materials Israel Ltd. Closed-loop automatic defect inspection and classification
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
TWI660856B (en) * 2014-07-08 2019-06-01 以色列奧寶科技有限公司 Improved systems and methods for computerized direct writing
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
SG11201703585RA (en) * 2014-11-25 2017-06-29 Kla Tencor Corp Analyzing and utilizing landscapes
US9830421B2 (en) * 2014-12-31 2017-11-28 Kla-Tencor Corp. Alignment of inspection to design using built in targets
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US9875536B2 (en) 2015-03-31 2018-01-23 Kla-Tencor Corp. Sub-pixel and sub-resolution localization of defects on patterned wafers
US9639645B2 (en) 2015-06-18 2017-05-02 Globalfoundries Inc. Integrated circuit chip reliability using reliability-optimized failure mechanism targeting
US9940704B2 (en) 2015-06-19 2018-04-10 KLA—Tencor Corporation Pre-layer defect site review using design
US9891275B2 (en) 2015-06-24 2018-02-13 International Business Machines Corporation Integrated circuit chip reliability qualification using a sample-specific expected fail rate
TWI684225B (en) * 2015-08-28 2020-02-01 美商克萊譚克公司 Self directed metrology and pattern classification
US9576772B1 (en) * 2015-08-31 2017-02-21 Fei Company CAD-assisted TEM prep recipe creation
KR102387459B1 (en) 2015-11-20 2022-04-15 삼성전자주식회사 A method for forming pattern of a semiconductor device
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
CN108700818B (en) * 2015-12-22 2020-10-16 Asml荷兰有限公司 Apparatus and method for process window characterization
US11205119B2 (en) * 2015-12-22 2021-12-21 Applied Materials Israel Ltd. Method of deep learning-based examination of a semiconductor specimen and system thereof
KR102451650B1 (en) * 2016-02-05 2022-10-11 에스케이하이닉스 주식회사 Stacked type seniconductor apparatus
KR102483787B1 (en) * 2016-02-25 2023-01-04 에스케이하이닉스 주식회사 Apparatus and Method for Modeling of Defect to Semiconductor Apparatus, and Computer Program Therefor, and System for Inspection of Defect to Semiconductor Apparatus
US10339262B2 (en) * 2016-03-29 2019-07-02 Kla-Tencor Corporation System and method for defining care areas in repeating structures of design data
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9646961B1 (en) 2016-04-04 2017-05-09 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, TS-short-configured, and metal-short-configured, NCEM-enabled fill cells
US10740888B2 (en) * 2016-04-22 2020-08-11 Kla-Tencor Corporation Computer assisted weak pattern detection and quantification system
US11443083B2 (en) * 2016-05-12 2022-09-13 Asml Netherlands B.V. Identification of hot spots or defects by machine learning
US11010886B2 (en) * 2016-05-17 2021-05-18 Kla-Tencor Corporation Systems and methods for automatic correction of drift between inspection and design for massive pattern searching
US10192302B2 (en) * 2016-05-25 2019-01-29 Kla-Tencor Corporation Combined patch and design-based defect detection
US10304177B2 (en) * 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
US10902576B2 (en) * 2016-08-12 2021-01-26 Texas Instruments Incorporated System and method for electronic die inking after automatic visual defect inspection
US10204290B2 (en) * 2016-10-14 2019-02-12 Kla-Tencor Corporation Defect review sampling and normalization based on defect and design attributes
US10395358B2 (en) * 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US11047806B2 (en) * 2016-11-30 2021-06-29 Kla-Tencor Corporation Defect discovery and recipe optimization for inspection of three-dimensional semiconductor structures
US11237119B2 (en) * 2017-01-10 2022-02-01 Kla-Tencor Corporation Diagnostic methods for the classifiers and the defects captured by optical tools
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
JP6819451B2 (en) * 2017-05-08 2021-01-27 信越化学工業株式会社 Large synthetic quartz glass substrate and its evaluation method and manufacturing method
KR102301556B1 (en) * 2017-05-22 2021-09-13 케이엘에이 코포레이션 Zone analysis for recipe optimization and measurement
KR102440742B1 (en) * 2017-05-25 2022-09-05 삼성전자주식회사 Inspecting system for semiconductor device and operating method of the same
US10648925B2 (en) * 2017-06-05 2020-05-12 Kla-Tencor Corporation Repeater defect detection
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10620135B2 (en) * 2017-07-19 2020-04-14 Kla-Tencor Corp. Identifying a source of nuisance defects on a wafer
KR102614266B1 (en) * 2017-08-22 2023-12-14 삼성전자주식회사 A method for wafer inspection, and a method for manufacturing a semiconductor device using the same
US11037286B2 (en) * 2017-09-28 2021-06-15 Applied Materials Israel Ltd. Method of classifying defects in a semiconductor specimen and system thereof
KR20190073756A (en) 2017-12-19 2019-06-27 삼성전자주식회사 Semiconductor defect classification device, method for classifying defect of semiconductor, and semiconductor defect classification system
RU2754208C1 (en) * 2018-02-26 2021-08-30 Ф. Хоффманн-Ля Рош Аг Methods and systems for calibration and use of camera for determination of analyte in sample
US10585049B2 (en) * 2018-03-10 2020-03-10 Kla-Tencor Corporation Process-induced excursion characterization
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
US11797950B2 (en) * 2018-08-27 2023-10-24 Basf Corporation Method and system to digitally track and monitor an automotive refinish repair process
JP7113086B2 (en) * 2018-10-18 2022-08-04 株式会社図研 Design support device, design support method and program
US11049745B2 (en) * 2018-10-19 2021-06-29 Kla Corporation Defect-location determination using correction loop for pixel alignment
US11600505B2 (en) 2018-10-31 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for systematic physical failure analysis (PFA) fault localization
CN109583721A (en) * 2018-11-16 2019-04-05 北京奇虎科技有限公司 A kind of appraisal procedure of channel, device and electronic equipment
JP2020161769A (en) * 2019-03-28 2020-10-01 Tasmit株式会社 Image generation method
TWI700644B (en) * 2019-04-02 2020-08-01 精英電腦股份有限公司 Synchronous positioning device and method for circuit board or plate member
JP7245733B2 (en) * 2019-06-26 2023-03-24 株式会社日立ハイテク Wafer observation device and wafer observation method
US11526152B2 (en) * 2019-12-19 2022-12-13 X Development Llc Techniques for determining fabricability of designs by searching for forbidden patterns
CN111708255B (en) * 2020-06-19 2023-03-07 上海华虹宏力半导体制造有限公司 Method for forming SSA table of OPC
JP2022047442A (en) * 2020-09-11 2022-03-24 パナソニックIpマネジメント株式会社 Information processing method and information processing system
US20240053278A1 (en) * 2021-03-30 2024-02-15 Siemens Industry Software Inc. Method and system for detecting a false error on a component of a board inspected by an aoi machine
KR20230033445A (en) 2021-09-01 2023-03-08 에스케이하이닉스 주식회사 Method for failure analysis on semiconductor wafer and system thereof
KR102518783B1 (en) * 2022-06-23 2023-04-06 큐알티 주식회사 Beam controller capable of adaptive deformation, a test apparatus for semiconductor device using the same, and a test method for semiconductor device using the same
US20240112326A1 (en) * 2022-09-30 2024-04-04 Kla Corporation Inspection of adaptive patterned workpieces with dynamic design and deep learning-based rendering
KR102594471B1 (en) * 2022-12-07 2023-10-26 주식회사디아이 Multi-test zone controller for semiconductor test equipment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5578821A (en) * 1992-05-27 1996-11-26 Kla Instruments Corporation Electron beam inspection system and method
US6918101B1 (en) * 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US20050205782A1 (en) * 1997-08-07 2005-09-22 Yuko Iwabuchi Method and an apparatus of an inspection system using an electron beam

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02110565A (en) * 1988-10-20 1990-04-23 Matsushita Electron Corp Method and device for photo-mask inspection
US5054097A (en) * 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5252507A (en) * 1990-03-30 1993-10-12 Tactical Fabs, Inc. Very high density wafer scale device architecture
JP3017839B2 (en) * 1991-06-10 2000-03-13 東芝機械株式会社 Defect inspection method and inspection device
JPH06119452A (en) * 1992-10-02 1994-04-28 Fujitsu Ltd Device and method for processing image
JPH06265480A (en) * 1993-03-12 1994-09-22 Toshiba Corp Pattern defect inspection method and device
JP3370379B2 (en) * 1993-03-19 2003-01-27 株式会社日立製作所 Method for manufacturing semiconductor device
JP3051279B2 (en) * 1993-05-13 2000-06-12 シャープ株式会社 Bump appearance inspection method and bump appearance inspection device
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
JP3466286B2 (en) * 1994-08-09 2003-11-10 富士通株式会社 Pattern inspection method and pattern inspection device
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
JPH0915161A (en) * 1995-06-27 1997-01-17 Hitachi Ltd Method and equipment for defect inspection
JPH10260011A (en) * 1997-03-19 1998-09-29 Olympus Optical Co Ltd Positioning device
JPH11251377A (en) * 1998-03-02 1999-09-17 Hitachi Ltd Defect inspection method/device and observation or analysis method/system for defect
JP4073088B2 (en) * 1998-08-20 2008-04-09 株式会社ルネサステクノロジ Manufacturing method of semiconductor substrate
JP2000065747A (en) * 1998-08-26 2000-03-03 Toshiba Corp Apparatus and method for inspection of defect of pattern
JP2000306964A (en) * 1999-04-22 2000-11-02 Hitachi Ltd Inspection data processing method, and inspection data processor
JP2000200356A (en) * 1999-01-08 2000-07-18 Hitachi Ltd Method and device for defect classification
JP3350477B2 (en) * 1999-04-02 2002-11-25 セイコーインスツルメンツ株式会社 Wafer inspection equipment
JP2001230289A (en) * 2000-02-15 2001-08-24 Hitachi Ltd Fault analyzing method and system
US7120285B1 (en) * 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6862142B2 (en) * 2000-03-10 2005-03-01 Kla-Tencor Technologies Corporation Multi-detector microscopic inspection system
US6507800B1 (en) * 2000-03-13 2003-01-14 Promos Technologies, Inc. Method for testing semiconductor wafers
JP3920003B2 (en) * 2000-04-25 2007-05-30 株式会社ルネサステクノロジ Inspection data processing method and apparatus
FR2813145B1 (en) * 2000-08-18 2002-11-29 St Microelectronics Sa METHOD FOR MANUFACTURING A CAPACITOR WITHIN AN INTEGRATED CIRCUIT, AND CORRESPONDING INTEGRATED CIRCUIT
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP3678133B2 (en) * 2000-10-30 2005-08-03 株式会社日立製作所 Inspection system and semiconductor device manufacturing method
EP1337838B1 (en) * 2000-11-30 2007-01-10 SIGMA-C Software AG Method and device for determining the properties of an integrated circuit
JP2002244275A (en) * 2001-02-15 2002-08-30 Toshiba Corp Method and device for defect inspection of photomask and recording medium
JP4014379B2 (en) * 2001-02-21 2007-11-28 株式会社日立製作所 Defect review apparatus and method
JP2003023056A (en) * 2001-07-10 2003-01-24 Hitachi Ltd Method for sorting defect of semiconductor device, method for predicting yield of the semiconductor device, method for manufacturing the semiconductor device, defect-sorting system of semiconductor device and semiconductor device-sorting apparatus, and program used therefor and recording medium
JP4122735B2 (en) * 2001-07-24 2008-07-23 株式会社日立製作所 Semiconductor device inspection method and inspection condition setting method
JP3904419B2 (en) * 2001-09-13 2007-04-11 株式会社日立製作所 Inspection device and inspection system
US6751519B1 (en) * 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
JP2003215060A (en) * 2002-01-22 2003-07-30 Tokyo Seimitsu Co Ltd Pattern inspection method and inspection apparatus
JP2004031709A (en) * 2002-06-27 2004-01-29 Seiko Instruments Inc Waferless measuring recipe generating system
JP4073265B2 (en) * 2002-07-09 2008-04-09 富士通株式会社 Inspection apparatus and inspection method
US6959251B2 (en) * 2002-08-23 2005-10-25 Kla-Tencor Technologies, Corporation Inspection system setup techniques
JP2004117016A (en) * 2002-09-24 2004-04-15 Nec Kansai Ltd Semiconductor wafer defect inspecting apparatus
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
JP2004227886A (en) * 2003-01-22 2004-08-12 Hitachi High-Technologies Corp Scanning electron microscope
JP2004296592A (en) * 2003-03-26 2004-10-21 Dainippon Screen Mfg Co Ltd Defect classification equipment, defect classification method, and program
JP2004294358A (en) * 2003-03-28 2004-10-21 Hitachi High-Technologies Corp Method and apparatus for inspecting defect
JP4230838B2 (en) * 2003-06-27 2009-02-25 株式会社日立ハイテクノロジーズ Inspection recipe setting method and defect inspection method in defect inspection apparatus
US6952653B2 (en) * 2003-04-29 2005-10-04 Kla-Tencor Technologies Corporation Single tool defect classification solution
JP2004333386A (en) * 2003-05-09 2004-11-25 Nec Corp Reticle inspection apparatus and reticle inspection method
JP4229767B2 (en) * 2003-06-30 2009-02-25 株式会社東京精密 Image defect inspection method, image defect inspection apparatus, and appearance inspection apparatus
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
KR20050072166A (en) * 2004-01-02 2005-07-11 삼성전자주식회사 Method for testing wafer
JP4771714B2 (en) * 2004-02-23 2011-09-14 株式会社Ngr Pattern inspection apparatus and method
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
JP4778685B2 (en) * 2004-03-10 2011-09-21 株式会社日立ハイテクノロジーズ Pattern shape evaluation method and apparatus for semiconductor device
JP2005283326A (en) * 2004-03-30 2005-10-13 Hitachi High-Technologies Corp Defect review method and its device
US7137083B2 (en) * 2004-04-01 2006-11-14 Verigy Ipco Verification of integrated circuit tests using test simulation and integrated circuit simulation with simulated failure
JP4154374B2 (en) * 2004-08-25 2008-09-24 株式会社日立ハイテクノロジーズ Pattern matching device and scanning electron microscope using the same
US7760347B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
EP1955225A4 (en) * 2005-11-18 2009-11-04 Kla Tencor Tech Corp Methods and systems for utilizing design data in combination with inspection data

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5578821A (en) * 1992-05-27 1996-11-26 Kla Instruments Corporation Electron beam inspection system and method
US20050205782A1 (en) * 1997-08-07 2005-09-22 Yuko Iwabuchi Method and an apparatus of an inspection system using an electron beam
US6918101B1 (en) * 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170143485A (en) * 2015-05-20 2017-12-29 케이엘에이-텐코 코포레이션 Voltage Contrast-based Fault and Fault Reasoning in Logic Chip
KR20180076370A (en) * 2015-11-26 2018-07-05 케이엘에이-텐코 코포레이션 How to save dynamic layer content within a design file
KR20200005682A (en) * 2017-06-06 2020-01-15 케이엘에이 코포레이션 Reticle Optimization Algorithms and Optimal Target Design

Also Published As

Publication number Publication date
KR20140091575A (en) 2014-07-21
JP2016029376A (en) 2016-03-03
KR101672157B1 (en) 2016-11-02
JP6127170B2 (en) 2017-05-10
KR101285967B1 (en) 2013-07-12
JP6364036B2 (en) 2018-07-25
JP2016139811A (en) 2016-08-04
JP5965467B2 (en) 2016-08-03
IL234290A (en) 2016-10-31
KR20180088924A (en) 2018-08-07
JP2009516832A (en) 2009-04-23
IL234344A (en) 2016-11-30
IL253189B (en) 2018-11-29
WO2007120280A2 (en) 2007-10-25
IL191527A0 (en) 2008-12-29
KR101565071B1 (en) 2015-11-03
KR20130055014A (en) 2013-05-27
IL234289A (en) 2016-10-31
JP2015062034A (en) 2015-04-02
KR101370154B1 (en) 2014-03-04
KR20130055013A (en) 2013-05-27
WO2007120279A2 (en) 2007-10-25
JP6023116B2 (en) 2016-11-09
JP2013238606A (en) 2013-11-28
KR20080080130A (en) 2008-09-02
IL234318A (en) 2017-04-30
IL234317A (en) 2017-04-30
KR101613048B1 (en) 2016-04-15
JP2012168195A (en) 2012-09-06
JP2014167476A (en) 2014-09-11
JP5932723B2 (en) 2016-06-08
JP5465880B2 (en) 2014-04-09
EP1955225A2 (en) 2008-08-13
IL234343A (en) 2016-11-30
KR101789004B1 (en) 2017-10-20
KR101530456B1 (en) 2015-06-22
JP2016136151A (en) 2016-07-28
KR20160138593A (en) 2016-12-05
IL230260A (en) 2016-05-31
JP6312642B2 (en) 2018-04-18
EP1955225A4 (en) 2009-11-04
KR20150013351A (en) 2015-02-04
KR101885585B1 (en) 2018-08-07
JP5466264B2 (en) 2014-04-09
KR20150033708A (en) 2015-04-01
JP5869657B2 (en) 2016-02-24
JP2016106228A (en) 2016-06-16
IL253189A0 (en) 2017-08-31
IL191527A (en) 2014-09-30
JP2013217940A (en) 2013-10-24
JP2016011962A (en) 2016-01-21
IL234316A (en) 2017-04-30
JP6312641B2 (en) 2018-04-18
KR101665168B1 (en) 2016-10-11
KR20150123962A (en) 2015-11-04
JP2015092173A (en) 2015-05-14
IL234346A (en) 2017-07-31
KR101682838B1 (en) 2016-12-12
IL234319A (en) 2016-10-31
KR20170118240A (en) 2017-10-24
WO2007120280A3 (en) 2008-09-18
IL234345A (en) 2016-11-30
IL230235A (en) 2015-05-31
WO2007120279A3 (en) 2008-11-20
JP6360845B2 (en) 2018-07-18
JP5763712B2 (en) 2015-08-12

Similar Documents

Publication Publication Date Title
KR101285967B1 (en) Methods and systems for utilizing design data in combination with inspection data
US9401014B2 (en) Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) Methods and systems for determining a position of inspection data in design data space

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
A107 Divisional application of patent
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190925

Year of fee payment: 4